KR100822156B1 - Aqueous cleaning composition for removing residues and method using same - Google Patents

Aqueous cleaning composition for removing residues and method using same Download PDF

Info

Publication number
KR100822156B1
KR100822156B1 KR1020060099285A KR20060099285A KR100822156B1 KR 100822156 B1 KR100822156 B1 KR 100822156B1 KR 1020060099285 A KR1020060099285 A KR 1020060099285A KR 20060099285 A KR20060099285 A KR 20060099285A KR 100822156 B1 KR100822156 B1 KR 100822156B1
Authority
KR
South Korea
Prior art keywords
fluoride
composition
substrate
hydroxide
mixtures
Prior art date
Application number
KR1020060099285A
Other languages
Korean (ko)
Other versions
KR20070041350A (en
Inventor
아이핑 유
로베르토 존 로비토
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20070041350A publication Critical patent/KR20070041350A/en
Application granted granted Critical
Publication of KR100822156B1 publication Critical patent/KR100822156B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/16Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions using inhibitors
    • C23G1/18Organic inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본원에서는 기판으로부터 식각후 및/또는 박리후 포토레지스트 잔재물, 플라즈마 식각, 박리 잔재물 및 이들의 혼합물(이에 국한되지 않음) 등의 잔재물을 제거하기 위한 조성물 및 방법에 관해 개시한다. 일 양태에서, 본 발명은 물, 4차 수산화암모늄 화합물, 불화물 함유 화합물 및 경우에 따라 부식 억제제를 포함하며 유기 용매 첨가물을 포함하지 않고 pH가 9보다 큰 조성물과 기판을 접촉시키는 단계를 포함하는, 기판으로부터 잔재물을 제거하는 방법을 제공한다.Disclosed herein are compositions and methods for removing residues such as, but not limited to, photoresist residues, plasma etching, exfoliation residues, and mixtures thereof, after etching and / or after exfoliation. In one aspect, the present invention comprises contacting a substrate with a composition comprising water, a quaternary ammonium hydroxide compound, a fluoride containing compound and optionally a corrosion inhibitor and no organic solvent additive, wherein the composition has a pH greater than 9, Provided is a method for removing residue from a substrate.

식각, 잔재물, 수산화암모늄 Etching, Residue, Ammonium Hydroxide

Description

잔재물을 제거하기 위한 수성 세정 조성물 및 이것을 사용하는 방법{AQUEOUS CLEANING COMPOSITION FOR REMOVING RESIDUES AND METHOD USING SAME}Aqueous cleaning composition for removing residue and method of using the same {AQUEOUS CLEANING COMPOSITION FOR REMOVING RESIDUES AND METHOD USING SAME}

미세전자 소자의 제조에는 여러 단계가 이용된다. 집적 회로를 제조하기 위한 제조 기법에서는, 반도체의 여러 표면들을 선택적으로 식각할 것이 종종 요구된다. 종래에, 물질을 선택적으로 제거하기 위해, 매우 다양한 여러 유형의 식각 공정이 다양한 수준으로 성공적으로 이용되어 왔다. 또한, 미세전자 소자 내의 다양한 층들을 선택적으로 식각하는 것은 집적 회로 제조 공정에 있어서 결정적이고 중요한 단계로 간주된다.Several steps are used to manufacture microelectronic devices. In fabrication techniques for fabricating integrated circuits, it is often required to selectively etch various surfaces of the semiconductor. In the past, a wide variety of different types of etching processes have been used successfully at various levels to selectively remove materials. In addition, the selective etching of the various layers in the microelectronic device is considered a critical and important step in the integrated circuit fabrication process.

반응성 이온 식각(RIE)은 바이아(via), 금속선 및 트렌치(trench) 형성 과정 중에 패턴 전사를 위해 점점 더 많이 이용되고 있는 공정이다. 예를 들어, 복수의 인터커넥트 배선층을 필요로 하는, 초고속 DRAMS 및 마이크로프로세서 등의 복잡한 반도체 소자는 RIE를 이용하여 바이아, 금속선 및 트렌치 구조체를 형성한다. 바이아는 층간 유전막을 통해 규소, 규화물 또는 금속의 제1 배선층과 제2 배선층을 서로 접촉시키는 데 사용된다. 금속선은 인터커넥트 소자로서 사용되는 전도성 구조체이다. 금속선 구조체의 형성에는 트렌치 구조체가 이용된다. 바이아, 금속선 및 트렌치 구조체는 일반적으로 Al, Al/Cu, Cu, Ti, TiN, Ta, TaN, W, TiW, 규소 등의 금속 및 합금 또는 텅스텐 규화물, 티탄 규화물 또는 코발트 규화물 등의 규화물을 노출시킨다. RIE 공정은 일반적으로 재스퍼터링된 산화물뿐만 아니라, 바이아, 금속선 또는 트렌치 구조체를 리소그래피로 형성하는 데 사용되는 포토레지스트 및 반사 방지 피복 재료 유래의 유기물을 포함할 수도 있는 잔재물(착물 혼합물의 잔재물)을 남기게 된다.Reactive ion etching (RIE) is an increasingly used process for pattern transfer during via, metal wire and trench formation. For example, complex semiconductor devices such as ultrafast DRAMS and microprocessors, which require a plurality of interconnect wiring layers, use RIE to form vias, metal wires and trench structures. The vias are used to contact the first wiring layer and the second wiring layer of silicon, silicide, or metal with each other through an interlayer dielectric film. Metal wires are conductive structures used as interconnect elements. Trench structures are used to form the metal wire structures. Vias, metal wires and trench structures generally expose metals and alloys such as Al, Al / Cu, Cu, Ti, TiN, Ta, TaN, W, TiW, silicon or silicides such as tungsten silicides, titanium silicides or cobalt silicides . RIE processes generally leave residues (residues of complex mixtures) that may include resputtered oxides as well as organics from photoresist and antireflective coating materials used to lithographically form vias, metal wires or trench structures. do.

RIE 또는 다른 식각 공정 후에는, 반응제, 일반적으로 산화 처리를 위한 산소 함유 기체 또는 환원 처리를 위한 수소 함유 기체 등(이에 국한되는 것은 아님)의 활성화된 반응성 기체(들)를 함유하는 플라즈마로 패턴 형성된 포토레지스트를 박리 처리(ashing)하여 플라즈마 포토레지스트 잔재물 세정을 수행하는 것이 일반적이다. RIE 공정과 마찬가지로, 플라즈마 식각 또는 플라즈마 박리 세정은 유기물(예를 들어, 포토레지스트 잔재물, 반사 방지 물질 잔재물 등)을 포함하는 잔재물과, 플라즈마 식각 화학법 및 처리되는 기판에 따라 티탄, 구리 또는 관련 금속의 산화물 또는 할로겐화물 등의 플라즈마 식각시 생성되는 부산물의 조합물을 남기게 된다.After the RIE or other etching process, the pattern into a plasma containing activated reactive gas (s) such as, but not limited to, a reactant, typically an oxygen containing gas for oxidation treatment or a hydrogen containing gas for reduction treatment, and the like. It is common to perform plasma photoresist residue cleaning by ashing the formed photoresist. As with the RIE process, plasma etching or plasma stripping cleaning is performed on titanium, copper, or related metals, depending on the residues including organics (eg, photoresist residues, antireflective material residues, etc.) and plasma etch chemistry and the substrate being processed. Leaving a combination of by-products generated during plasma etching of oxides or halides.

따라서, 플라즈마 및/또는 RIE 및 산화 박리 처리/환원 박리 처리를 이용하는 선택적 식각으로부터 생성되는 잔재물 등의 잔재물을 제거할 수 있는 선택적 세정 조성물 및 방법을 제공할 것이 요망된다. 뿐만 아니라, 금속, 고유전("고 k") 물질(예를 들어, 유전 상수가 4.1보다 큰 물질), 규소, 규화물 및/또는 저유전("저 k") 물질(예를 들어, 유전 상수가 4.0 미만 또는 3.5 미만 또는 3.0 미만인 물질), 예컨대 세정 조성물에 노출될 수도 있는 증착 산화물을 포함하는 층간 유전막 물질에 비해, 상기 잔재물에 대한 선택성이 큰, 식각 및 박리 잔재물 등의 잔재물을 제거할 수 있는 선택적 조성물 및 방법을 제공할 것이 요망된다. 민감성 저유전막 또는 다공성 저유전막, 예를 들어 수소 실세퀴옥산(HSQ), 메틸실세퀴옥산(MSQ), FOx, 어플라이드 머티어리얼즈 인코포레이티드(Applied Materials, Inc.) 제품인 BLACK DIAMONDTM 막 및 TEOS(테트라에틸오르토실리케이트)와 상용성이고 이와 함께 사용될 수 있는 조성물을 제공할 것이 요망된다. 이에 더하여, 그 폐기가 환경에 유해하지 않은 수계 조성물도 요망된다.Accordingly, it is desirable to provide selective cleaning compositions and methods capable of removing residues, such as residues generated from selective etching using plasma and / or RIE and oxidation stripping treatments / reduction stripping treatments. In addition, metals, high dielectric ("high k") materials (eg, dielectric constants greater than 4.1), silicon, silicides, and / or low dielectric ("low k") materials (eg, dielectric constants) Is less than 4.0 or less than 3.5 or less than 3.0), such as residues such as etch and exfoliation residues having greater selectivity to the residues, as compared to interlayer dielectric film materials comprising deposition oxides that may be exposed to cleaning compositions. It is desirable to provide optional compositions and methods. Sensitive low dielectric or porous low dielectric films, such as hydrogen silsesquioxane (HSQ), methylsilsesquioxane (MSQ), FOx, BLACK DIAMOND membranes from Applied Materials, Inc. And compositions that are compatible with and can be used with TEOS (tetraethylorthosilicate). In addition, there is also a need for an aqueous composition whose disposal is not harmful to the environment.

본원에서는 조성물에 노출될 수도 있는 금속, 저유전 및/또는 고유전 물질은 임의의 원치않는 정도로 부식시키지 않고 기판으로부터 플라즈마 식각후 잔재물, 플라즈마 박리후 잔재물 등의 잔재물 또는 기타 잔재물(이에 국한되지 않음)을 선택적으로 제거할 수 있는 수계 조성물에 관해 개시한다. 또한, 본원에 개시된 조성물은 산화규소 또는 금속선 또는 구리를 포함하는 층간막 등의 특정 유전체에 대해 최소의 식각률을 나타낼 수 있다. 본 세정 조성물은 유기 용매 첨가물을 실질적으로 포함하지 않아서 반도체 제조 공정은 유기 폐기물을 감소시키고 소유 비용이 저감된다. 일 양태에서, 본 발명은 물, 4차 수산화암모늄 화합물, 불화물 함유 화합물 및 경우에 따라 부식 억제제를 포함하며 유기 용매 첨가물을 실질적으로 포함하지 않고 pH가 9보다 큰, 기판으로부터 잔재물을 제거하기 위한 조성물을 제공한다.Metals, low dielectric and / or high dielectric materials that may be exposed to the compositions herein include, but are not limited to, residues such as residues after plasma etching, residues after plasma exfoliation, or the like, from the substrate without causing any unwanted corrosion. Disclosed is an aqueous composition capable of selectively removing. In addition, the compositions disclosed herein may exhibit minimal etch rates for certain dielectrics, such as silicon oxide or interlayer films comprising metal wires or copper. The present cleaning composition is substantially free of organic solvent additives such that the semiconductor manufacturing process reduces organic waste and lowers cost of ownership. In one aspect, the present invention provides a composition for removing residue from a substrate comprising water, quaternary ammonium hydroxide compounds, fluoride containing compounds and optionally corrosion inhibitors and substantially free of organic solvent additives and having a pH greater than 9 To provide.

또한 본원에서는, 본원에 개시된 세정 조성물과 기판을 접촉시키는 것을 포함하는, 기판으로부터 식각 및/또는 박리 잔재물을 비롯한 잔재물을 제거하는 방법에 관해 개시한다. 본원에 개시된 일 양태에서, 본 발명은 기판의 적어도 일부분에 포토레지스트를 피복하는 단계, 상기 포토레지스트 상에 리소그래피로 패턴을 형성하는 단계, 상기 기판의 적어도 일부분에 상기 패턴을 전사하는 단계, 상기 패턴을 상기 기판 내로 식각하여 패턴 형성된 기판을 형성하는 단계; 패턴 형성된 기판을 활성화된 반응성 기체에 노출시켜서, 포토레지스트의 적어도 일부분을 제거하고 잔재물을 남기는 단계 및 물, 4차 수산화암모늄 화합물, 불화물 함유 화합물 및 경우에 따라 부식 억제제를 포함하며 유기 용매 첨가물을 실질적으로 포함하지 않고 pH가 9보다 큰 조성물에 상기 패턴 형성된 기판을 접촉시켜 잔재물을 제거하는 단계를 포함하는, 패턴을 형성하는 방법을 제공한다. Also disclosed herein is a method of removing residue, including etch and / or exfoliation residue from a substrate, comprising contacting the substrate with the cleaning composition disclosed herein. In one aspect disclosed herein, the present invention provides a method of coating a photoresist on at least a portion of a substrate, forming a pattern lithographically on the photoresist, transferring the pattern to at least a portion of the substrate, the pattern Etching the substrate into the substrate to form a patterned substrate; Exposing the patterned substrate to an activated reactive gas to remove at least a portion of the photoresist and leave residue, and include water, quaternary ammonium hydroxide compounds, fluoride containing compounds and optionally corrosion inhibitors and substantially free of organic solvent additives. It provides a method of forming a pattern, comprising the step of removing the residue by contacting the patterned substrate with a composition having a pH of greater than 9 without including.

또 다른 양태에서, 본 발명은 물, 4차 수산화암모늄 화합물, 불화물 함유 화합물 및 경우에 따라 부식 억제제를 포함하며 유기 용매 첨가물을 실질적으로 포함하지 않고 pH가 9보다 큰 조성물과 기판을 접촉시키는 단계를 포함하는, 기판으로부터 잔재물을 제거하는 방법을 제공한다.In another embodiment, the present invention provides a method of contacting a substrate with a composition comprising a water, a quaternary ammonium hydroxide compound, a fluoride containing compound and optionally a corrosion inhibitor, and substantially free of organic solvent additives and having a pH greater than 9 It provides a method for removing the residue from the substrate, including.

본 발명은 잔재물을 선택적으로 제거하기 위한, 예를 들어 비제한적인 예로 반응성 이온 식각, 플라즈마 식각, 플라즈마 박리 또는 이들의 조합 등의 식각에 의해 생성된 잔재물 등의 잔재물을 처리하기 위한 전술한 구성을 포함하는 조성물 및 방법에 관한 것이다. 본원에 개시된 세정 조성물은 수계이며 유기 용매 첨가물 을 실질적으로 포함하지 않는데, 즉 유기 용매 첨가물을 1% 이하 또는 0.5% 이하 또는 0.1% 이하의 양으로 포함한다. 이 세정 조성물은 유기 용매 첨가물에 대한 필요성을 없앰으로써 소유 비용을 저감함과 동시에 하부 금속 및 유전층을 부식시키지 않고 기판으로부터 잔재물을 제거하는 데 유용하다.The present invention provides the above-described configuration for selectively removing residues, for example, for treating residues such as residues generated by etching such as, but not limited to, reactive ion etching, plasma etching, plasma exfoliation, or a combination thereof. It relates to compositions and methods comprising. The cleaning compositions disclosed herein are water-based and substantially free of organic solvent additives, ie comprise organic solvent additives in amounts of 1% or less or 0.5% or less or 0.1% or less. This cleaning composition is useful for removing remnants from the substrate while eliminating the need for organic solvent additives while reducing the cost of ownership while corrosion of the underlying metal and dielectric layer.

상기 세정 조성물은 물, 4차 수산화암모늄 화합물, 불화물 함유 화합물 및 경우에 따라 부식 억제제를 포함하며, pH가 9보다 크다. 특정 구체예에서, 상기 세정 조성물은 필수적으로 물, 4차 수산화암모늄 화합물, 불화물 함유 화합물 및 경우에 따라 부식 억제제로 구성되며, 조성물의 세정능에 불리한 영향을 주지 않고 하부 기판 표면을 손상시키지 않는다면 다른 성분들도 포함한다. 또 다른 구체예에서, 상기 세정 조성물은 물, 4차 수산화암모늄 화합물, 불화물 함유 화합물 및 경우에 따라 부식 억제제로 구성된다.The cleaning composition comprises water, a quaternary ammonium hydroxide compound, a fluoride containing compound and optionally a corrosion inhibitor, with a pH greater than 9. In certain embodiments, the cleaning composition consists essentially of water, quaternary ammonium hydroxide compounds, fluoride containing compounds and optionally corrosion inhibitors, and other components, provided that they do not adversely affect the cleanability of the composition and do not damage the underlying substrate surface. This includes them. In another embodiment, the cleaning composition consists of water, quaternary ammonium hydroxide compounds, fluoride containing compounds and optionally corrosion inhibitors.

미세전자 소자에 유용한 기판과 관련된 세정 방법에서는, 제거하고자 하는 전형적 잔재물이, 예를 들어 유기 화합물, 예컨대 노광 및/또는 박리된 포토레지스트 물질, 박리된 포토레지스트 잔재물, UV- 또는 X-선 경화 포토레지스트, C-F-함유 중합체, 저분자량 및 고분자량 중합체 및 기타 유기 식각 잔재물; 무기 화합물, 예컨대 산화금속, 화학 기계적 연마(CMP) 슬러리 유래의 세라믹 입자 및 기타 무기 식각 잔재물; 금속 함유 화합물, 예컨대 유기 금속 잔재물 및 금속 유기 화합물; 이온성 및 중성의 경질 및 중질 무기(금속) 종, 수분, 및 연마 및 식각 처리와 같은 처리에 의해 발생하는 입자를 비롯한 불용성 물질을 포함할 수 있다. 한 특정 구체예에서, 제거된 잔재물은 반응성 이온 식각, 플라즈마 식각 및/또는 플라즈마 박리에 의해 생성된 것과 같은 공정 잔재물이다.In cleaning methods involving substrates useful for microelectronic devices, typical residues to be removed are, for example, organic compounds such as exposed and / or exfoliated photoresist materials, exfoliated photoresist residues, UV- or X-ray cured photos. Resists, CF-containing polymers, low and high molecular weight polymers and other organic etching residues; Inorganic compounds such as metal oxides, ceramic particles from chemical mechanical polishing (CMP) slurries and other inorganic etch residues; Metal containing compounds such as organometallic residues and metal organic compounds; Insoluble materials including ionic and neutral hard and heavy inorganic (metal) species, moisture, and particles generated by treatments such as polishing and etching treatments. In one specific embodiment, the residue removed is a process residue such as produced by reactive ion etching, plasma etching and / or plasma exfoliation.

잔재물은 일반적으로 금속, 규소, 규산염 및/또는 층간 유전막 물질, 예를 들어 증착된 산화규소 및 유도체화된 산화규소, 예컨대 HSQ, MSQ, FOX, TEOS 및 회전 코팅(spin-on) 유리, 화학 증착 유전 물질, 및/또는 고유전 물질, 예컨대 규산하프늄, 산화하프늄, 바륨 스트론튬 티탄산염(BST), TiO2, TaO5도 포함하는 기판에 존재하며, 이때 잔재물과 금속, 규소, 규화물, 층간 유전막 물질, 저유전 물질, 다공성 저유전 물질 및/또는 고유전 물질 양자가 세정 조성물과 접촉하게 된다. 본원에 개시된 조성물 및 방법에 의하면, 금속, 규소, 이산화규소, 층간 유전막 물질, 저유전 물질, 다공성 저유전 물질 및/또는 고유전 물질은 유의적으로 부식시키지 않으면서 포토레지스트, BARC, 갭 필(gap fill) 및/또는 공정 잔재물과 같은 잔재물을 선택적으로 제거할 수 있다. 특정 구체예에서, 기판은 구리, 구리 합금, 티탄, 질화티탄, 탄탈, 질화탄탈, 텅스텐 및/또는 티탄/텅스텐 합금(이에 국한되지 않음)을 비롯한 금속을 포함할 수 있다. 한 구체예에서, 본원에 개시된 조성물은 민감한 저유전막을 포함하는 기판에 적합하게 이용될 수 있다.Residues are generally metal, silicon, silicate and / or interlayer dielectric film materials such as deposited silicon oxide and derivatized silicon oxide such as HSQ, MSQ, FOX, TEOS and spin-on glass, chemical vapor deposition Dielectric materials and / or dielectric materials such as hafnium silicate, hafnium oxide, barium strontium titanate (BST), TiO 2 , TaO 5 , which are also present in substrates, with residues and metals, silicon, silicides, interlayer dielectric film materials Both low dielectric material, porous low dielectric material and / or high dielectric material are brought into contact with the cleaning composition. According to the compositions and methods disclosed herein, metals, silicon, silicon dioxides, interlayer dielectric film materials, low dielectric materials, porous low dielectric materials, and / or high dielectric materials are not significantly corroded without photoresist, BARC, gap fill ( residues such as gap fills) and / or process residues may be selectively removed. In certain embodiments, the substrate can include metals including, but not limited to, copper, copper alloys, titanium, titanium nitride, tantalum, tantalum nitride, tungsten and / or titanium / tungsten alloys. In one embodiment, the compositions disclosed herein can be suitably used for a substrate comprising a sensitive low dielectric film.

본원에 개시된 조성물은 약 65 중량%∼약 99.9 중량% 또는 약 75 중량%∼약 98 중량% 또는 약 90 중량%∼약 98 중량%의 물을 포함한다. 물은, 예를 들어 불화물 함유 화합물을 포함하는 수용액과 같이, 그 구성요소의 일 성분으로서 부수적으로 포함될 수도 있고 또는 별도로 첨가될 수도 있다. 물의 예로는 탈이온수, 초순수, 증류수, 2차 증류수 또는 금속 함량이 적은 탈이온수를 포함하나 이에 국한되는 것은 아니다.The compositions disclosed herein comprise about 65 wt% to about 99.9 wt% or about 75 wt% to about 98 wt% or about 90 wt% to about 98 wt% water. Water may be incidentally included as one component of its components, such as, for example, an aqueous solution containing a fluoride containing compound, or may be added separately. Examples of water include, but are not limited to, deionized water, ultrapure water, distilled water, secondary distilled water or deionized water with a low metal content.

본원에 개시된 조성물은 약 0.5 중량%∼약 15 중량% 또는 약 1 중량%∼약 10 중량% 또는 약 1 중량%∼약 5 중량%의 4차 수산화암모늄 화합물을 포함한다. 대표적인 4차 수산화암모늄은 화학식 [N-R1R2R3R4]+OH-(식 중, R1, R2, R3 및 R4는 각각 독립적으로 알킬기, 히드록시알킬기임)의 화합물 및 이의 조합물일 수 있다. 본원에서 사용되는 "알킬"이란 용어는 탄소 원자수 1∼20, 또는 탄소 원자수 1∼8, 또는 탄소 원자수 1∼4의 직쇄 또는 분지쇄 비치환 탄화수소기를 의미한다. 적절한 알킬기의 예로는 메틸, 에틸, 프로필, 이소프로필, 부틸 및 tert-부틸을 들 수 있다. "저급 알킬"이란 표현은 탄소 원자수 1∼4의 알킬기를 의미한다. 본원에서 사용되는 "히드록시알킬"이란 용어는 탄소 원자수 1∼20, 또는 탄소 원자수 1∼8, 또는 탄소 원자수 1∼4의 탄화수소기를 포함하는 직쇄 또는 분지쇄 비치환 히드록실기를 의미한다. 적절한 히드록시알킬기의 예로는 히드록시에틸 및 히드록시프로필을 포함한다. 적절한 4차 수산화암모늄 화합물의 예로는 수산화테트라메틸암모늄(TMAH), 수산화테트라에틸암모늄, 수산화테트라부틸암모늄(TBAH), 수산화테트라프로필암모늄, 수산화트리메틸에틸암모늄, 수산화 (2-히드록시에틸)트리메틸암모늄, 수산화 (2-히드록시에틸)트리에틸암모늄, 수산화 (2-히드록시에틸)트리프로필암모늄, 수산화 (1-히드록시프로필)트리메틸암모늄, 수산화에틸트리메틸암모늄, 수산화디에틸디메틸암모늄 및 수산화벤질트리메틸암모늄을 들 수 있다.The compositions disclosed herein comprise about 0.5% to about 15% by weight or about 1% to about 10% by weight or about 1% to about 5% by weight of the quaternary ammonium hydroxide compound. Representative quaternary ammonium hydroxide of the formula [NR 1 R 2 R 3 R 4] + OH - compounds and derivatives of (in the formula, R 1, R 2, R 3 and R 4 being each independently alkyl, hydroxy alkyl) May be a combination. As used herein, the term "alkyl" refers to a straight or branched chain unsubstituted hydrocarbon group having 1 to 20 carbon atoms, or 1 to 8 carbon atoms, or 1 to 4 carbon atoms. Examples of suitable alkyl groups include methyl, ethyl, propyl, isopropyl, butyl and tert-butyl. The expression "lower alkyl" means an alkyl group having 1 to 4 carbon atoms. As used herein, the term "hydroxyalkyl" refers to a straight or branched chain unsubstituted hydroxyl group containing a hydrocarbon group of 1 to 20 carbon atoms, or 1 to 8 carbon atoms, or 1 to 4 carbon atoms. do. Examples of suitable hydroxyalkyl groups include hydroxyethyl and hydroxypropyl. Examples of suitable quaternary ammonium hydroxide compounds include tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide, tetrabutylammonium hydroxide (TBAH), tetrapropylammonium hydroxide, trimethylethylammonium hydroxide, (2-hydroxyethyl) trimethylammonium , (2-hydroxyethyl) triethylammonium hydroxide, (2-hydroxyethyl) tripropylammonium hydroxide, (1-hydroxypropyl) trimethylammonium hydroxide, ethyltrimethylammonium hydroxide, diethyldimethylammonium hydroxide and benzyltrimethyl hydroxide Ammonium.

본원에 개시된 조성물은 불화물 함유 화합물도 포함한다. 불화물 함유 화합 물 또는 이의 혼합물은 조성물의 총 중량을 기준으로 약 0.1 중량%∼약 15 중량% 또는 약 0.1 중량%∼약 10 중량% 또는 약 0.2 중량%∼약 5 중량%의 양으로 존재한다. 불화물 함유 화합물은 화학식 R5R6R7R8NF(식 중, R5, R6, R7 및 R8은 각각 독립적으로 수소, 알콜기, 알콕시기, 알킬기임)의 화합물 및 이의 혼합물을 포함할 수 있다. 이러한 화합물의 예로는 불화암모늄, 불화테트라메틸암모늄, 불화테트라에틸암모늄, 불화테트라부틸암모늄 및 이들의 혼합물을 들 수 있다. 불화물 함유 화합물의 그 밖의 예로는 불화붕산, 불화수소산, 불화붕산염, 불화붕산, 테트라부틸암모늄 사불화붕산염, 암모늄 헥사플루오라이드 및 불화콜린을 들 수 있다. 또 다른 구체예에서, 상기 불화물 함유 화합물은 지방족 1차, 2차 또는 3차 아민의 불화물 염으로 사용될 수 있다.The compositions disclosed herein also include fluoride containing compounds. The fluoride containing compound or mixture thereof is present in an amount from about 0.1% to about 15% or from about 0.1% to about 10% or from about 0.2% to about 5% by weight based on the total weight of the composition. The fluoride-containing compound is a compound of the formula R 5 R 6 R 7 R 8 NF (wherein R 5 , R 6 , R 7 and R 8 are each independently hydrogen, an alcohol group, an alkoxy group, an alkyl group) and a mixture thereof. It may include. Examples of such compounds include ammonium fluoride, tetramethylammonium fluoride, tetraethylammonium fluoride, tetrabutylammonium fluoride and mixtures thereof. Other examples of the fluoride-containing compound include boric fluoride, hydrofluoric acid, fluoroborate, boric fluoride, tetrabutylammonium tetrafluoroborate, ammonium hexafluoride and choline fluoride. In another embodiment, the fluoride containing compounds can be used as fluoride salts of aliphatic primary, secondary or tertiary amines.

본원에 개시된 조성물은 약 0 중량%∼약 15 중량% 또는 약 0.2 중량%∼약 10 중량% 또는 약 0.5 중량%∼약 5 중량%의 부식 억제제를 경우에 따라 포함할 수 있다. 유사 출원을 통해 당해 기술 분야에 공지된 임의의 부식 억제제, 예컨대 본원에서 참고로 인용하는 미국 특허 제5,417,877호에 개시된 것들을 사용할 수 있다. 부식 억제제는, 예를 들어 유기산, 유기산 염, 페놀 또는 트리아졸일 수 있다. 특정 부식 억제제의 예로는 안트라닐산, 갈산, 벤조산, 이소프탈산, 말레산, 푸마르산, D,L-말산, 말론산, 프탈산, 말레산 무수물, 프탈산 무수물, 벤조트리아졸(BZT), 레조르시놀, 카르복시벤조트리아졸, 디에틸 히드록실아민 및 이들의 락트산 및 시트르산 염 등을 들 수 있다. 사용될 수 있는 부식 억제제의 또 다른 예로 는 카테콜, 파이로갈롤, 및 갈산의 에스테르를 들 수 있다. 적절한 부식 억제제의 그 밖의 예로는 프럭토스, 암모늄 티오설페이트, 글리신, 락트산, 테트라메틸구아니딘, 이미노디아세트산 및 디메틸아세토아세트아미드를 들 수 있다. 특정 구체예에서, 부식 억제제는 머캅토기 함유 화합물, 예컨대 2-머캅토-5-메틸벤즈이미다졸 및 2-머캅토티아졸린이나 이에 국한되는 것은 아니다. 부식 억제제의 또 다른 예로는 화합물의 α- 또는 β-위치 중 한 쪽에 히드록실 및/또는 카르복실 기를 보유하는 머캅토기 함유 화합물을 들 수 있다. 이러한 머캅토기 함유 화합물의 구체적인 예로는 3-머캅토-1,2-프로판디올(티오글리세롤이라고도 칭함), 3-(2-아미노페닐티오)-2-히드록시머캅탄, 3-(2-히드록시에틸티오)-2-히드록시프로필머캅탄, 2-머캅토프로피온산, 3-머캅토프로폰산 및 이들의 혼합물을 들 수 있다.The compositions disclosed herein may optionally include about 0% to about 15% or about 0.2% to about 10% or about 0.5% to about 5% by weight corrosion inhibitor. Similar applications may use any corrosion inhibitor known in the art, such as those disclosed in US Pat. No. 5,417,877, which is incorporated herein by reference. Corrosion inhibitors can be, for example, organic acids, organic acid salts, phenols or triazoles. Examples of specific corrosion inhibitors include anthranilic acid, gallic acid, benzoic acid, isophthalic acid, maleic acid, fumaric acid, D, L-malic acid, malonic acid, phthalic acid, maleic anhydride, phthalic anhydride, benzotriazole (BZT), resorcinol, Carboxybenzotriazole, diethyl hydroxylamine and their lactic acid and citric acid salts; Still other examples of corrosion inhibitors that may be used include catechol, pyrogallol, and esters of gallic acid. Other examples of suitable corrosion inhibitors include fructose, ammonium thiosulfate, glycine, lactic acid, tetramethylguanidine, iminodiacetic acid and dimethylacetoacetamide. In certain embodiments, corrosion inhibitors include, but are not limited to, mercapto group containing compounds such as 2-mercapto-5-methylbenzimidazole and 2-mercaptothiazoline. Another example of a corrosion inhibitor is a mercapto group-containing compound having hydroxyl and / or carboxyl groups in either the α- or β-position of the compound. Specific examples of such a mercapto group-containing compound include 3-mercapto-1,2-propanediol (also referred to as thioglycerol), 3- (2-aminophenylthio) -2-hydroxymercaptan, 3- (2-hydroxy Hydroxyethylthio) -2-hydroxypropyl mercaptan, 2-mercaptopropionic acid, 3-mercaptoproponic acid, and mixtures thereof.

특정 구체예에서, 본원에 개시된 조성물은 조성물의 세정능에 불리한 영향을 주지 않고 하부 기판 표면을 손상시키지 않는다면 1종 이상의 추가 성분 또는 첨가제를 더 포함할 수 있다. 이러한 첨가제의 예로는 조성물의 총 중량을 기준으로 최대 총 5 중량%의 양의 계면활성제, 킬레이트제, 화학 변성제, 염료, 살생물제 및/또는 기타 첨가제를 포함하나 이에 국한되는 것은 아니다.In certain embodiments, the compositions disclosed herein may further comprise one or more additional ingredients or additives so long as they do not adversely affect the cleanability of the composition and do not damage the underlying substrate surface. Examples of such additives include, but are not limited to, surfactants, chelating agents, chemical denaturants, dyes, biocides, and / or other additives in amounts up to 5% by weight, based on the total weight of the composition.

본원에 개시된 조성물은 pH 범위가 9 초과 내지 약 14, 또는 9 초과 내지 약 12일 수 있다.The compositions disclosed herein may have a pH range greater than 9 to about 14, or greater than 9 to about 12.

본원에 개시된 조성물은 저유전막, 예컨대 다우 케미칼 인코포레이티드(Dow Chemical, Inc.)에서 제조한 SiLKTM, MSQ 및 HSQ(FOx)(이에 국한되지 않음) 및 기타 막과 상용성이다. 이 조성물은, 예를 들어 구리, 티탄 또는 둘 다를 함유하는 기판 등의 하부 기판은 상대적으로 적게 부식시키면서, 식각후 및/또는 박리후 포토레지스트 잔재물 및 플라즈마 식각 잔재물, 예컨대 유기 잔재물, 유기 금속 잔재물, 무기 잔재물, 금속 산화물, 또는 포토레지트스 착물을 저온에서 박리하는 데에도 유효하다. 뿐만 아니라, 본 조성물은 저유전 물질, 다공성 저유전 물질 및 고유전 물질과 상용성이다.The compositions disclosed herein are compatible with low dielectric films such as, but not limited to, SiLK , MSQ and HSQ (FOx) and other membranes made by Dow Chemical, Inc. The composition can be used for photoresist residues and / or post-etching photoresist residues and plasma etch residues such as organic residues, organometallic residues, for example, while lower substrates, such as those containing copper, titanium or both, are relatively less corroded. It is also effective for peeling off inorganic residues, metal oxides, or photoresist complexes at low temperatures. In addition, the compositions are compatible with low dielectric materials, porous low dielectric materials, and high dielectric materials.

제조 공정 중에, 기판에 포토레지스트 층을 피복한다. 포토리소그래피 공정을 이용하여 상기 포토레지스트 층에 패턴을 형성한다. 특정 구체예에서, 패턴 형성된 포토레지스트 층에 대하여 RIE와 같은 플라즈마 식각을 실시하며 이에 의해 패턴이 기판에 전사된다. 그 후 패턴 형성된 포토레지스트 층은 습식 화학법 및/또는 건식 제거법(예를 들어, 플라즈마 식각, 플라즈마 박리 또는 양자)에 의해 제거한다. 패턴을 기판 위에 전사하는 데 RIE가 이용되는 구체예에서, 식각 잔재물은 습식 화학 공정 및/또는 건식 제거 공정 전에 발생된다. 기판을 박리 처리하지 않는다면, 세정해야 하는 주 잔재물은 식각 잔재물과 포토레지스트 잔재물 둘 다가 된다. 기판을 박리 처리하는 구체예의 경우, 세정해야 하는 주 잔재물은 박리 처리된 포토레지스트와 같은 박리 잔재물과, 식각 단계가 수행된다면 식각 잔재물이다.During the manufacturing process, the photoresist layer is coated on the substrate. A pattern is formed on the photoresist layer using a photolithography process. In certain embodiments, the patterned photoresist layer is subjected to plasma etching, such as RIE, by which the pattern is transferred to the substrate. The patterned photoresist layer is then removed by wet chemistry and / or dry removal (eg, plasma etching, plasma stripping, or both). In embodiments in which RIE is used to transfer the pattern onto the substrate, the etch residue is generated prior to the wet chemical process and / or the dry removal process. If the substrate is not peeled off, the main residues to be cleaned are both etch residues and photoresist residues. In the embodiment where the substrate is peeled off, the main residues to be cleaned are peel residues such as peeled photoresist and etching residues if an etching step is performed.

본원에서 기술하는 방법은 금속, 유기 또는 금속-유기 중합체, 무기염, 산화물, 수산화물, 또는 피막 또는 잔재물로서 존재하는 이들의 착물 또는 조합물을, 전술한 조성물과 접촉시켜 수행할 수 있다. 실제 조건, 예를 들어 온도, 시간 등은 제거하고자 하는 잔재물의 성질 및 두께에 따라 달라진다. 일반적으로, 기판은 20 ∼85℃, 또는 20∼60℃, 또는 20∼40℃의 온도에서 조성물을 함유하는 용기에 접촉 또는 침지한다. 기판을 조성물에 노출시키는 통상의 시간은, 예를 들어 0.1∼60분, 또는 1∼30분, 또는 1∼15분이다. 기판은 조성물과 접촉시킨 후에 세정한 다음 건조시킨다. 건조는 일반적으로 비활성 분위기 하에 수행한다. 특정 구체예에서, 본원에 기재된 조성물과 기판을 접촉시키기 전, 도중 및/또는 후에, 기판을 탈이온수로 세정하거나 또는 기타 첨가제를 함유하는 탈이온수로 세정할 수 있다. 그러나, 이 조성물은 식각후 및/또는 박리후 포토레지스트 잔재물, 박리 또는 식각 잔재물 및/또는 기타 잔재물의 제거를 위해 세정액을 이용하는, 당해 기술 분야에 공지된 임의의 방법에 이용될 수 있다.The methods described herein can be carried out by contacting the compositions described above with metals, organic or metal-organic polymers, inorganic salts, oxides, hydroxides, or complexes or combinations thereof present as coatings or residues. Actual conditions, such as temperature, time, etc., depend on the nature and thickness of the residue to be removed. Generally, the substrate is contacted or immersed in a container containing the composition at a temperature of 20 to 85 ° C, or 20 to 60 ° C, or 20 to 40 ° C. The usual time for exposing the substrate to the composition is, for example, 0.1 to 60 minutes, or 1 to 30 minutes, or 1 to 15 minutes. The substrate is cleaned after contact with the composition and then dried. Drying is generally carried out in an inert atmosphere. In certain embodiments, the substrate may be cleaned with deionized water or with deionized water containing other additives prior to, during and / or after contacting the substrate with the composition described herein. However, the composition can be used in any method known in the art, using cleaning solutions for the removal of post-etch and / or post-peel photoresist residues, exfoliation or etch residues and / or other residues.

실시예Example

하기의 실시예는 본원에 개시된 조성물 및 방법을 추가로 예시하기 위한 것이다. 각 조성물에 대한 다양한 예시 조성 및 pH의 예를 하기 표 1에 기재하였다. 표 1에서, 모든 수치는 중량%로 표현하였으며 합량은 100 중량%이다. 본원에 개시된 조성물은 모든 고형분들이 용해될 때까지 실온에서 성분들을 용기 내에서 서로 혼합하여 제조하였다. 하기 실시예에서, pH 측정은 상온에서 5% 수용액을 사용하여 실시하였다. 기판은 포지티브 레지스트로 피복하고, 이것을 현상하고 식각하고 박리 처리한 후, 상기 조성물에 노출시켰다. 하기 표에서, "N.T."는 테스트하지 않았음을 나타내며, "n.a."는 입수 불능을 나타낸다.The following examples are intended to further illustrate the compositions and methods disclosed herein. Examples of various exemplary compositions and pHs for each composition are listed in Table 1 below. In Table 1, all figures are expressed in weight percent and the sum is 100 weight percent. The compositions disclosed herein were prepared by mixing the components with one another in a container at room temperature until all solids were dissolved. In the examples below, pH measurements were made using a 5% aqueous solution at room temperature. The substrate was coated with a positive resist, developed, etched and peeled off and then exposed to the composition. In the table below, "N.T." indicates not tested and "n.a." indicates no availability.

표 2는 규소 웨이퍼 테스트 기판으로부터 잔재물을 제거하기 위한 다양한 예 시 조성물의 유효성을 예시한다. 웨이퍼는 JSR, Inc.에서 제공하는 JSR LKD-5109TM p-MSQ 막과 같은 저유전율의 산화규소 함유 막, 질화티탄 장벽층, 구리 금속배선층, BARC 층 및 플라즈마 식각 및 박리 공정을 이용하여 식각하고 박리한 포토레지스트 패턴을 포함하였다. 그 후, 이 기판은 다양한 예시 조성물에 침지하여 처리하였다. 이 절차에서는, 1개 이상의 테스트 웨이퍼를, 400 ml의 각 예시 조성물을 함유하는 600 밀리미터(ml) 용량의 비이커에 넣었다. 상기 600 ml 용량 비이커는 400 rpm으로 회전하는 1 인치의 교반 막대를 더 포함하였다. 그 후, 비이커 안에 포함된 웨이퍼(들)를 포함한 예시 조성물을 하기 표 2에 기재된 시간 및 온도 조건으로 가열하였다. 웨이퍼(들)는 예시 조성물에 노출시킨 후 탈이온수로 세정하고 질소 가스로 건조시켰다. 이 웨이퍼는 가장자리가 생기도록 절단한 후, 웨이퍼 상의 소정의 여러 위치를 주사 전자 현미경(SEM)으로 관찰하였으며, 세정능 및 하부 층간 유전막(ILD)에 대한 손상의 결과를 시각적으로 해석하여 다음과 같은 방식으로 하기 표 2에 기재된 바와 같이 코드화하였다: 세정의 경우 "+++"는 우수함, "++"는 양호함, "+"는 적당함, "-"는 불량함을 나타내고; ILD 손상의 경우 "++"는 손상이 없음, "+"는 손상이 적음, "-"는 손상이 심각함을 나타낸다.Table 2 illustrates the effectiveness of various example compositions for removing residue from silicon wafer test substrates. Wafers are etched using low dielectric constant silicon oxide containing films, titanium nitride barrier layers, copper metallization layers, BARC layers, and plasma etching and stripping processes such as JSR LKD-5109 p-MSQ films from JSR, Inc. The photoresist pattern which peeled was included. This substrate was then treated by immersion in various exemplary compositions. In this procedure, one or more test wafers were placed in a 600 millimeter (ml) beaker containing 400 ml of each exemplary composition. The 600 ml dose beaker further included a 1 inch stirring rod rotating at 400 rpm. Thereafter, the example composition comprising the wafer (s) contained in the beaker was heated to the time and temperature conditions described in Table 2 below. The wafer (s) were washed with deionized water after exposure to the exemplary composition and dried with nitrogen gas. After cutting the wafer into edges, several locations on the wafer were observed by scanning electron microscopy (SEM), and the results of damage to the cleanability and lower interlayer dielectric film (ILD) were visually interpreted as follows. In a manner as described in Table 2 below: "+++" is good for cleaning, "++" is good, "+" is suitable, "-" indicates poor; For ILD damage, "++" indicates no damage, "+" indicates less damage, and "-" indicates serious damage.

표면에 구리가 증착된 층을 갖는 다양한 규소 웨이퍼 기판으로부터의 구리 식각률을 요약하여 하기 표 3에 기재하였다. 하기의 모든 식각률에서, 측정은 노출 5분, 10분, 20분, 40분 및 60분째에 실시하였다. 두께 측정은 매 시간 간격마다 실시하고 "최소 자승 적합도(least squares fit)" 모델을 이용하여 각 예시 조성물에 대한 결과에 대해 그래프를 작도하였다. 각 조성물의 "최소 자승 적합도"의 기울기 계산값이 구해진 식각률[단위: 옹스트롬/분(Å/min)]이다. 식각률의 측정시, 웨이퍼는 그 표면에 기지 두께의 구리로 된 블랭커(blanker) 층이 증착되어 있었다. 웨이퍼의 초기 두께는 CDE ResMap 273 Four Point Probe를 이용하여 측정하였다. 초기 두께를 측정한 후, 테스트 웨이퍼를 예시 조성물에 침지하였다. 5분 후, 테스트 웨이퍼를 테스트 용액으로부터 꺼내어 탈이온수로 3분간 세정하고 질소 하에 완전히 건조시켰다. 각 웨이퍼의 두께를 측정하였고 필요에 따라 테스트 웨이퍼로 절차를 반복하였다.The copper etch rates from various silicon wafer substrates having copper deposited layers on the surface are summarized in Table 3 below. At all of the following etch rates, measurements were taken at 5, 10, 20, 40 and 60 minutes of exposure. Thickness measurements were taken at each time interval and a graph was plotted for the results for each example composition using a “least squares fit” model. It is the etching rate [unit: Angstrom / min (min / min)] from which the gradient calculation value of "minimum square fit" of each composition was calculated | required. In measuring the etch rate, the wafer had a blank layer of copper deposited on its surface. The initial thickness of the wafer was measured using a CDE ResMap 273 Four Point Probe. After measuring the initial thickness, the test wafer was immersed in the exemplary composition. After 5 minutes, the test wafer was removed from the test solution, washed for 3 minutes with deionized water and completely dried under nitrogen. The thickness of each wafer was measured and the procedure repeated with the test wafer as needed.

구리, 치밀화(densified) 및 도핑된 테트라에틸오르토실리케이트(TEOS), 및 다공질 메틸실세스퀴옥산(MSQ) 막인 JSR LEB-043TM을 포함하는 블랭킷 규소 웨이퍼에 대한 식각률을 하기 표 3에 요약하였다. 하기의 모든 식각률에서, 측정은 표 3에 명시된 온도 간격으로 노출 5분, 10분, 20분, 40분 및 60분째에 실시하였다. 두께 측정은 매 시간 간격마다 실시하고 "최소 자승 적합도" 모델을 이용하여 각 예시 조성물에 대한 결과에 대해 그래프를 작도하였다. 각 조성물의 "최소 자승 적합도"의 기울기 계산값은 구해진 식각률[단위: 옹스트롬/분(Å/min)]이다. 구리 식각률 또는 TEOS 식각률의 측정시, 웨이퍼는 그 표면에 기지 두께의 구리로 된 블랭커 층이 증착되어 있었다. Cu 식각률의 경우, 웨이퍼의 초기 두께는 CDE ResMap 273 Four Point Probe를 이용하여 측정하였다. 초기 두께를 측정한 후, 테스트 웨이퍼를 예시 조성물에 침지하였다. 5분 후, 테스트 웨이퍼를 테스트 용액으로부터 꺼내 어 탈이온수로 3분간 세정하고 질소 하에 완전히 건조시켰다. 각 웨이퍼의 두께를 측정하였고 필요에 따라 테스트 웨이퍼로 절차를 반복하였다. TEOS 및 JSR LEB-043TM 막 에칭률의 경우, 초기 두께는 FilmTek 2000 SE 분광학적 타원편광분석기/반사계를 이용하여 측정하였다. 약 200 ml의 테스트 용액을 교반되고 있는 250 ml 용량의 비이커에 넣고, 필요하다면 소정의 온도로 가열하였다. 단 1개의 웨이퍼를 용액 함유 비이커에 넣을 경우, 모조 웨이퍼(dummy wafer)를 그 비이커에 넣었다. 5분 후, 각 테스트 웨이퍼를 탈이온수로 3분간 세정하고 질소 하에 건조시켰다. 두께 측정 전에, TEOS 및 JSR LEB-043TM 웨이퍼는 100℃의 온도에서 약 10분간 열처리하였다. 각 웨이퍼에 대하여 측정을 실시하고 필요에 따라 절차를 반복하였다.Etch rates for blanket silicon wafers comprising JSR LEB-043 , copper, densified and doped tetraethylorthosilicate (TEOS), and a porous methylsilsesquioxane (MSQ) film, are summarized in Table 3 below. At all of the following etch rates, measurements were taken at 5, 10, 20, 40 and 60 minutes of exposure at the temperature intervals specified in Table 3. Thickness measurements were taken at every time interval and a graph was plotted for the results for each of the exemplary compositions using a “minimum square fit” model. The calculated slope value of "Minimum square fit" of each composition is the obtained etch rate [unit: Angstroms / min]. In measuring the copper etch rate or TEOS etch rate, the wafer had a blank layer of copper of known thickness deposited on its surface. In the case of Cu etch rate, the initial thickness of the wafer was measured using a CDE ResMap 273 Four Point Probe. After measuring the initial thickness, the test wafer was immersed in the exemplary composition. After 5 minutes, the test wafers were removed from the test solution, washed for 3 minutes with deionized water and completely dried under nitrogen. The thickness of each wafer was measured and the procedure repeated with the test wafer as needed. For TEOS and JSR LEB-043 film etch rates, the initial thickness was measured using a FilmTek 2000 SE spectroscopic ellipsometer / reflectometer. About 200 ml of test solution was placed in a stirred 250 ml beaker and heated to the desired temperature if necessary. When only one wafer was placed in a solution containing beaker, a dummy wafer was placed in the beaker. After 5 minutes, each test wafer was washed for 3 minutes with deionized water and dried under nitrogen. Prior to thickness measurements, TEOS and JSR LEB-043 wafers were heat treated at a temperature of 100 ° C. for about 10 minutes. Measurements were taken for each wafer and the procedure repeated as needed.

조성물Composition 실시예 1Example 1 실시예 2Example 2 실시예 3Example 3 실시예 4Example 4 DIWDIW 9090 DIWDIW 8989 DIWDIW 8989 DIWDIW 8989 TMAH (25%)TMAH (25%) 7.57.5 TMAH (25%)TMAH (25%) 88 TMAH (25%)TMAH (25%) 7.57.5 TMAH (25%)TMAH (25%) 7.57.5 TMAF (20%)TMAF (20%) 2.52.5 TMAF (20%)TMAF (20%) 33 TMAF (20%)TMAF (20%) 2.52.5 TMAF (20%)TMAF (20%) 2.52.5 말론산Malonic acid 1One 티오글리세롤Thioglycerol 1One pHpH 11.9211.92 pHpH 11.5511.55 pHpH 10.6610.66 pHpH 11.6711.67 실시예 5Example 5 실시예 6Example 6 실시예 7Example 7 실시예 8Example 8 DIWDIW 82.582.5 DIWDIW 77.577.5 DIWDIW 76.576.5 DIWDIW 81.581.5 TMAH (25%)TMAH (25%) 1515 TMAH (25%)TMAH (25%) 2020 TMAH (25%)TMAH (25%) 2020 TMAH (25%)TMAH (25%) 1515 TMAF (20%)TMAF (20%) 2.52.5 TMAF (20%)TMAF (20%) 2.52.5 TMAF (20%)TMAF (20%) 2.52.5 TMAF (20%)TMAF (20%) 2.52.5 티오글리세롤Thioglycerol 1One 티오글리세롤Thioglycerol 1One pHpH 11.8211.82 pHpH 11.9911.99 pHpH 12.0712.07 pHpH 11.8811.88 DIW: 탈이온수 TMAH: 수산화테트라메틸암모늄, 25% 수용액 TMAF: 불화테트라메틸암모늄, 20% 수용액   DIW: deionized water TMAH: tetramethylammonium hydroxide, 25% aqueous solution TMAF: tetramethylammonium fluoride, 20% aqueous solution

SEM 데이터SEM data 온도(℃) Temperature (℃) 시간(분) Minutes Cu/JSR LKD-5109TM Cu / JSR LKD-5109 TM Cu/pJSR LKD-5109TM Cu / pJSR LKD-5109 TM 세정washing ILD 손상ILD damage 세정washing ILD 손상ILD damage 실시예 1Example 1 2525 3030 ++++ ++++ -- ++++ 4040 1515 ++++++ ++++ ++++++ -- 실시에 22 to implementation 2525 3030 -- ++++++ ++++++ -- 4040 1515 -- ++++++ ++++++ -- 실시예 3Example 3 2525 3030 ++++ ++++ ++++++ ++++ 4040 1515 ++++++ ++++ ++++++ ++++ 실시예 4Example 4 2525 3030 ++++++ ++++++ ++++++ ++++ 4040 55 ++++++ ++++++ N.T.N.T. N.T.N.T. 4040 1515 ++++++ ++++++ ++++++ ++++

식각률 데이터Etch Rate Data 조성물Composition 구리 (25℃)Copper (25 ℃) 구리 (40℃)Copper (40 ℃) TEOS (도핑, 비치밀화)(25℃)TEOS (doped, non-milled) (25 ℃) TEOS (도핑, 비치밀화)(40℃)TEOS (doped, non-milled) (40 ℃) JSR LEB-043TM (25℃) JSR LEB-043 TM (25 ℃ ) 실시예 1Example 1 44 44 <1<1 <1<1 N.T.N.T. 실시예 2Example 2 22 77 <1<1 <1<1 N.T.N.T. 실시예 3Example 3 <1<1 44 <1<1 <1<1 <1<1 실시예 4Example 4 1One 22 <1<1 <1<1 <1<1 실시예 5Example 5 22 N.T.N.T. <1<1 N.T.N.T. N.T.N.T. 실시예 6Example 6 44 N.T.N.T. <1<1 N.T.N.T. N.T.N.T. 실시예 7Example 7 1One N.T.N.T. <1<1 N.T.N.T. N.T.N.T. 실시예 8Example 8 1One N.T.N.T. <1<1 N.T.N.T. N.T.N.T.

본원에 개시된 세정 조성물은 유기 용매 첨가물에 대한 필요성을 없앰으로써 소유 비용을 저감함과 동시에 하부 금속 및 유전층을 부식시키지 않고 기판으로부터 잔재물을 제거하는 데 유용하다.The cleaning compositions disclosed herein are useful for removing remnants from a substrate while reducing the cost of ownership by eliminating the need for organic solvent additives while simultaneously corrosion of the underlying metal and dielectric layer.

Claims (14)

물;water; 화학식 [N-R1R2R3R4]+OH-(식 중, R1, R2, R3 및 R4는 각각 독립적으로 알킬기, 히드록시알킬기임)의 4차 수산화암모늄 화합물 및 이의 혼합물; 및Formula [NR 1 R 2 R 3 R 4] + OH - ( wherein, R 1, R 2, R 3 and R 4 are each independently an alkyl group, a hydroxy alkyl group), quaternary ammonium hydroxide compounds, and mixtures thereof; And 불화테트라메틸암모늄, 불화테트라에틸암모늄, 불화테트라부틸암모늄, 불화붕산, 불화수소산, 불화붕산염, 테트라부틸암모늄 사불화붕산염, 알루미늄 헥사플루오라이드, 불화콜린 및 이들의 혼합물로 구성된 군으로부터 선택되는 불화물 함유 화합물; Tetrafluoride containing selected from the group consisting of tetramethylammonium fluoride, tetraethylammonium fluoride, tetrabutylammonium fluoride, boric acid fluoride, hydrofluoric acid, boric fluoride, tetrabutylammonium tetrafluoroborate, aluminum hexafluoride, choline fluoride and mixtures thereof compound; 로 구성되며, 유기 용매를 첨가하지 않고 pH가 9보다 큰 것인 기판으로부터 식각(etching) 및 박리(ashing) 잔재물을 제거하기 위한 조성물.A composition for removing etching and ashing residues from a substrate, wherein the pH is greater than 9 without adding an organic solvent. 제1항에 있어서, 부식 억제제를 포함하는 조성물.The composition of claim 1 comprising a corrosion inhibitor. 제2항에 있어서, 부식 억제제는 유기산, 유기산 염, 카테콜, 레조르시놀, 페놀, 말레산 무수물, 프탈산 무수물, 파이로갈롤, 갈산 또는 이의 에스테르, 벤조트리아졸, 카르복시벤조트리아졸, 디에틸히드록실아민, 프럭토스, 암모늄 티오설페이트, 글리신, 테트라메틸구아니딘, 이미노디아세트산, 티오글리세롤 및 이들의 혼합물로부터 선택되는 1종 이상을 포함하는 것인 조성물.The corrosion inhibitor according to claim 2, wherein the corrosion inhibitor is organic acid, organic acid salt, catechol, resorcinol, phenol, maleic anhydride, phthalic anhydride, pyrogallol, gallic acid or esters thereof, benzotriazole, carboxybenzotriazole, diethyl A composition comprising at least one selected from hydroxylamine, fructose, ammonium thiosulfate, glycine, tetramethylguanidine, iminodiacetic acid, thioglycerol and mixtures thereof. 삭제delete 제1항에 있어서, 4차 수산화암모늄 화합물은 수산화테트라메틸암모늄, 수산화테트라에틸암모늄, 수산화테트라프로필암모늄, 수산화테트라부틸암모늄, 수산화트리메틸에틸암모늄, 수산화 (2-히드록시에틸)트리메틸암모늄, 수산화 (2-히드록시에틸)트리에틸암모늄, 수산화 (2-히드록시에틸)트리프로필암모늄, 수산화 (1-히드록시프로필)트리메틸암모늄 및 이들의 혼합물로 구성된 군으로부터 선택되는 것인 조성물.The quaternary ammonium hydroxide compound is tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, trimethylethylammonium hydroxide, (2-hydroxyethyl) trimethylammonium hydroxide, The composition is selected from the group consisting of 2-hydroxyethyl) triethylammonium, (2-hydroxyethyl) tripropylammonium, hydroxide (1-hydroxypropyl) trimethylammonium and mixtures thereof. 삭제delete 삭제delete 제1항에 있어서, 불화물 함유 화합물은 불화붕산을 포함하는 것인 조성물.The composition of claim 1, wherein the fluoride containing compound comprises boric acid fluoride. 기판 위에 포토레지스트를 피복하는 단계;Coating a photoresist over the substrate; 상기 포토레지스트 상에 리소그래피로 패턴을 형성하는 단계;Lithographically forming a pattern on the photoresist; 상기 기판의 적어도 일부분에 상기 패턴을 전사하는 단계;Transferring the pattern to at least a portion of the substrate; 상기 패턴을 상기 기판 내로 식각하여 패턴 형성된 기판을 형성하는 단계;Etching the pattern into the substrate to form a patterned substrate; 상기 패턴 형성된 기판을 활성화된 반응성 기체에 노출시켜서, 포토레지스트의 적어도 일부분을 제거하고 잔재물을 남기는 단계;Exposing the patterned substrate to an activated reactive gas, thereby removing at least a portion of the photoresist and leaving residue; 상기 기판을 제1항에 따른 조성물에 접촉시켜, 상기 기판으로부터 상기 식각 및 박리 잔재물을 제거하는 단계Contacting the substrate with the composition of claim 1 to remove the etch and exfoliation residue from the substrate 를 포함하는 패턴 형성 방법.Pattern forming method comprising a. 기판을Substrate 물 65∼98 중량%;65 to 98% by weight of water; 화학식 [N-R1R2R3R4]+OH-(식 중, R1, R2, R3 및 R4는 각각 독립적으로 알킬기, 히드록시알킬기임)의 4차 수산화암모늄 화합물 및 이의 혼합물 0.5∼15 중량%; 및Formula [NR 1 R 2 R 3 R 4] + OH - ( wherein, R 1, R 2, R 3 and R 4 are each independently an alkyl group, a hydroxy alkyl group), quaternary ammonium hydroxide compounds, and mixtures thereof 0.5 -15 wt%; And 불화테트라메틸암모늄, 불화테트라에틸암모늄, 불화테트라부틸암모늄, 불화붕산, 불화수소산, 불화붕산염, 테트라부틸암모늄 사불화붕산염, 알루미늄 헥사플루오라이드, 불화콜린 및 이들의 혼합물로부터 선택되는 불화물 함유 화합물 0.1∼10 중량%; 0.1 to fluoride-containing compounds selected from tetramethylammonium fluoride, tetraethylammonium fluoride, tetrabutylammonium fluoride, boric fluoride, hydrofluoric acid, boric fluoride, tetrabutylammonium tetrafluoroborate, aluminum hexafluoride, choline fluoride and mixtures thereof 10 weight percent; 를 포함하며 유기 용매를 첨가하지 않고 pH가 9보다 큰 조성물에 접촉시키는 단계를 포함하는 기판으로부터 식각 및 박리 잔재물을 제거하는 방법.And contacting the composition having a pH greater than 9 without adding an organic solvent. 물 65∼98 중량%;65 to 98% by weight of water; 화학식 [N-R1R2R3R4]+OH-(식 중, R1, R2, R3 및 R4는 각각 독립적으로 알킬기, 히드록시알킬기임)의 4차 수산화암모늄 화합물 및 이의 혼합물 0.5∼15 중량%;Formula [NR 1 R 2 R 3 R 4] + OH - ( wherein, R 1, R 2, R 3 and R 4 are each independently an alkyl group, a hydroxy alkyl group), quaternary ammonium hydroxide compounds, and mixtures thereof 0.5 -15 wt%; 불화테트라메틸암모늄, 불화테트라에틸암모늄, 불화테트라부틸암모늄, 불화붕산, 불화수소산, 불화붕산염, 테트라부틸암모늄 사불화붕산염, 알루미늄 헥사플루오라이드, 불화콜린 및 이들의 혼합물로 구성된 군으로부터 선택되는 불화물 함유 화합물 0.1∼10 중량%; Tetrafluoride containing selected from the group consisting of tetramethylammonium fluoride, tetraethylammonium fluoride, tetrabutylammonium fluoride, boric acid fluoride, hydrofluoric acid, boric fluoride, tetrabutylammonium tetrafluoroborate, aluminum hexafluoride, choline fluoride and mixtures thereof 0.1-10% by weight of compound; 를 포함하며 유기 용매를 첨가하지 않고 pH가 9보다 큰 것인 기판으로부터 식각 및 박리 잔재물을 제거하기 위한 조성물.A composition for removing etching and peeling residues from a substrate comprising a and having a pH greater than 9 without adding an organic solvent. 제9항에 있어서, The method of claim 9, 기판을 제2항에 따른 조성물에 접촉시켜, 기판으로부터 Contacting the substrate with the composition according to claim 2, 식각 및 박리 잔재물을 제거하는 단계Removing etch and exfoliation residue 를 포함하는 패턴 형성 방법.Pattern forming method comprising a. 제10항에 있어서, 조성물이 0.2∼10 중량%의 부식 억제제를 추가로 포함하는 것인 기판으로부터 식각 및 박리 잔재물을 제거하는 방법.The method of claim 10, wherein the composition further comprises 0.2-10% by weight of a corrosion inhibitor. 제11항에 있어서, 0.2∼10 중량%의 부식 억제제를 추가로 포함하는 것인 기판으로부터 식각 및 박리 잔재물을 제거하기 위한 조성물.The composition of claim 11, further comprising 0.2-10% by weight of a corrosion inhibitor.
KR1020060099285A 2005-10-14 2006-10-12 Aqueous cleaning composition for removing residues and method using same KR100822156B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/250,250 2005-10-14
US11/250,250 US8772214B2 (en) 2005-10-14 2005-10-14 Aqueous cleaning composition for removing residues and method using same

Publications (2)

Publication Number Publication Date
KR20070041350A KR20070041350A (en) 2007-04-18
KR100822156B1 true KR100822156B1 (en) 2008-04-16

Family

ID=37726856

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060099285A KR100822156B1 (en) 2005-10-14 2006-10-12 Aqueous cleaning composition for removing residues and method using same

Country Status (8)

Country Link
US (1) US8772214B2 (en)
EP (1) EP1775337A1 (en)
JP (1) JP4755060B2 (en)
KR (1) KR100822156B1 (en)
CN (1) CN1949085B (en)
MY (1) MY146827A (en)
SG (1) SG131868A1 (en)
TW (1) TWI355416B (en)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9058975B2 (en) * 2006-06-09 2015-06-16 Lam Research Corporation Cleaning solution formulations for substrates
US8500913B2 (en) * 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
CN101597548A (en) * 2008-06-06 2009-12-09 安集微电子科技(上海)有限公司 A kind of plasma etching residual washing liquid
KR101384395B1 (en) * 2009-12-25 2014-04-10 제이에스알 가부시끼가이샤 Method for forming crystalline cobalt silicide film
EP2515327A1 (en) * 2011-04-20 2012-10-24 STMicroelectronics (Tours) SAS Method for etching a BST layer
CN102902169A (en) * 2011-07-29 2013-01-30 中芯国际集成电路制造(上海)有限公司 Method for removing photoresist layer
WO2013091177A1 (en) * 2011-12-20 2013-06-27 Rhodia (China) Co., Ltd. Use of phenol compounds as activator for metal surface corrosion
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9558927B2 (en) * 2013-03-14 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Wet cleaning method for cleaning small pitch features
US8974692B2 (en) * 2013-06-27 2015-03-10 Air Products And Chemicals, Inc. Chemical mechanical polishing slurry compositions and method using the same for copper and through-silicon via applications
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9576788B2 (en) * 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
KR102427699B1 (en) 2015-04-27 2022-08-01 삼성전자주식회사 Compositions for removing photoresist and methods of manufacturing semiconductor devices using the same
TWI818893B (en) 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 Cleaning compositions and methods of use therefor
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN110023477A (en) * 2016-11-25 2019-07-16 恩特格里斯公司 For removing the cleaning compositions of post-etch residue
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11401441B2 (en) * 2017-08-17 2022-08-02 Versum Materials Us, Llc Chemical mechanical planarization (CMP) composition and methods therefore for copper and through silica via (TSV) applications
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0728254A (en) * 1993-07-08 1995-01-31 Kanto Chem Co Inc Resist release solution
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
KR20000055067A (en) * 1999-02-03 2000-09-05 윤종용 Aqueous cleaning solution for removing contaminants from surface of integrated circuit substrate and cleaning method using thereof
US6245155B1 (en) 1996-09-06 2001-06-12 Arch Specialty Chemicals, Inc. Method for removing photoresist and plasma etch residues
KR20020029158A (en) * 2000-10-12 2002-04-18 주식회사 동진쎄미켐 Chemical mechanical polishing slurry composition and planarization method using same for semiconductor device
KR20030025521A (en) * 2001-09-21 2003-03-29 주식회사 하이닉스반도체 Solution composition for removing a remaining photoresist resin
KR20040032774A (en) * 2002-10-10 2004-04-17 도오꾜오까고오교 가부시끼가이샤 Cleaning solution used in photolithography and a method of treating substrate
KR20040066831A (en) * 2001-11-20 2004-07-27 인피네온 테크놀로지스 아게 Method for forming a structure in a semiconductor substrate
US20040266637A1 (en) 2001-06-14 2004-12-30 Rovito Roberto J. Aqueous buffered fluoride-containing etch residue removers and cleaners
US20050205835A1 (en) 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63114128A (en) * 1986-10-31 1988-05-19 Showa Denko Kk Surface treating solution
JPH05224403A (en) * 1992-02-10 1993-09-03 Konica Corp Treatment of processing waste liquid of photosensitive planographic printing plate
US6825156B2 (en) 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US5466389A (en) 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5498293A (en) 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
EP0972004A4 (en) 1995-12-22 2000-01-19 Henkel Corp Acid cleaning/deoxidizing aluminum and titanium without substantial etching
JP2950407B2 (en) * 1996-01-29 1999-09-20 東京応化工業株式会社 Method of manufacturing base material for manufacturing electronic components
JPH1055993A (en) 1996-08-09 1998-02-24 Hitachi Ltd Semiconductor element manufacturing washing liquid and manufacture of semiconductor element using it
US5817610A (en) 1996-09-06 1998-10-06 Olin Microelectronic Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US5780406A (en) 1996-09-06 1998-07-14 Honda; Kenji Non-corrosive cleaning composition for removing plasma etching residues
US5855811A (en) 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5709756A (en) 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
EP0853335A3 (en) 1997-01-10 1999-01-07 Texas Instruments Incorporated Slurry and process for the mechano-chemical polishing of semiconductor devices
JPH11305437A (en) * 1998-04-21 1999-11-05 Toshiba Corp Pattern forming method
US6152148A (en) 1998-09-03 2000-11-28 Honeywell, Inc. Method for cleaning semiconductor wafers containing dielectric films
JP2000089479A (en) * 1998-09-09 2000-03-31 Tokuyama Corp Detergent for photoresist ashing residue
JP3255623B2 (en) 1999-06-17 2002-02-12 東京応化工業株式会社 Stripper composition for resist
US6703319B1 (en) 1999-06-17 2004-03-09 Micron Technology, Inc. Compositions and methods for removing etch residue
US6413923B2 (en) 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
JP3891768B2 (en) * 1999-12-28 2007-03-14 株式会社トクヤマ Residual cleaning solution
US6417147B2 (en) 2000-02-29 2002-07-09 Showa Denko K.K. Cleaning agent composition, method for cleaning and use thereof
JP2002202617A (en) 2000-12-27 2002-07-19 Tosoh Corp Resist removing composition
JP2005048189A (en) * 2001-02-09 2005-02-24 Air Products & Chemicals Inc Composition for removing residue
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
JP2003005388A (en) 2001-06-26 2003-01-08 Mitsubishi Gas Chem Co Inc Method for manufacturing semiconductor device
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
JP3797541B2 (en) 2001-08-31 2006-07-19 東京応化工業株式会社 Photoresist stripping solution
JP3787085B2 (en) 2001-12-04 2006-06-21 関東化学株式会社 Composition for removing photoresist residue
JP4252758B2 (en) 2002-03-22 2009-04-08 関東化学株式会社 Composition for removing photoresist residue
US20030217764A1 (en) 2002-05-23 2003-11-27 Kaoru Masuda Process and composition for removing residues from the microstructure of an object
US6677286B1 (en) 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
DE10331033B4 (en) 2002-07-12 2010-04-29 Ekc Technology K.K. R&D Business Park Bldg. D-3F, Kawasaki A manufacturing method of a semiconductor device and a cleaning composition therefor
JP3760197B2 (en) 2002-10-03 2006-03-29 大日本印刷株式会社 Gate cutting device for preforms for plastic bottles
SG129274A1 (en) 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
JP4374989B2 (en) 2003-11-12 2009-12-02 三菱瓦斯化学株式会社 Cleaning liquid and cleaning method using the same
US20040220066A1 (en) 2003-05-01 2004-11-04 Rohm And Haas Electronic Materials, L.L.C. Stripper
JP2004330056A (en) 2003-05-07 2004-11-25 Ebara Corp Filter cartridge for electronic element substrate surface treatment liquid
US7166539B2 (en) 2003-07-22 2007-01-23 Micron Technology, Inc. Wet etching method of removing silicon from a substrate
WO2005019939A1 (en) * 2003-08-19 2005-03-03 Mallinckrodt Baker Inc. Stripping and cleaning compositions for microelectronics
CN1875325B (en) * 2003-10-29 2011-01-26 马林克罗特贝克公司 Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
WO2005047422A1 (en) 2003-11-11 2005-05-26 Honeywell International Inc. Selective etch and cleaning chemistries, methods of production and uses thereof
CN1954267B (en) * 2004-02-11 2010-12-08 马林克罗特贝克公司 Microelectronic cleaning composition containing halogen oxygen acids, salts and derivatives thereof
JP4369284B2 (en) 2004-04-19 2009-11-18 東友ファインケム株式会社 Resist stripper
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0728254A (en) * 1993-07-08 1995-01-31 Kanto Chem Co Inc Resist release solution
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US6245155B1 (en) 1996-09-06 2001-06-12 Arch Specialty Chemicals, Inc. Method for removing photoresist and plasma etch residues
KR20000055067A (en) * 1999-02-03 2000-09-05 윤종용 Aqueous cleaning solution for removing contaminants from surface of integrated circuit substrate and cleaning method using thereof
KR20020029158A (en) * 2000-10-12 2002-04-18 주식회사 동진쎄미켐 Chemical mechanical polishing slurry composition and planarization method using same for semiconductor device
US20040266637A1 (en) 2001-06-14 2004-12-30 Rovito Roberto J. Aqueous buffered fluoride-containing etch residue removers and cleaners
KR20030025521A (en) * 2001-09-21 2003-03-29 주식회사 하이닉스반도체 Solution composition for removing a remaining photoresist resin
KR20040066831A (en) * 2001-11-20 2004-07-27 인피네온 테크놀로지스 아게 Method for forming a structure in a semiconductor substrate
KR20040032774A (en) * 2002-10-10 2004-04-17 도오꾜오까고오교 가부시끼가이샤 Cleaning solution used in photolithography and a method of treating substrate
US20050205835A1 (en) 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions

Also Published As

Publication number Publication date
TWI355416B (en) 2012-01-01
CN1949085A (en) 2007-04-18
EP1775337A1 (en) 2007-04-18
MY146827A (en) 2012-09-28
SG131868A1 (en) 2007-05-28
US8772214B2 (en) 2014-07-08
KR20070041350A (en) 2007-04-18
TW200714707A (en) 2007-04-16
US20070087949A1 (en) 2007-04-19
JP4755060B2 (en) 2011-08-24
CN1949085B (en) 2014-10-15
JP2007128064A (en) 2007-05-24

Similar Documents

Publication Publication Date Title
KR100822156B1 (en) Aqueous cleaning composition for removing residues and method using same
KR100770624B1 (en) Composition for stripping and cleaning and use thereof
KR100700998B1 (en) Composition and method comprising same for removing residue from a substrate
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
KR100942009B1 (en) Formulation for removal of photoresist, etch residue and barc
JP6339555B2 (en) Stripping composition having high WN / W selectivity
KR100849913B1 (en) Aqueous cleaning composition and method for using same
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
KR20060053853A (en) Composition for removing photoresist and/or etching residue from a substrate and use thereof
JP7022100B2 (en) Post-etching residue cleaning composition and its usage
EP1965418A1 (en) Formulation for removal of photoresist, etch residue and barc
KR102321217B1 (en) Post etch residue cleaning compositions and methods of using the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment
FPAY Annual fee payment
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee