KR100750968B1 - 플라즈마화학적기상증착 기구 내의 서셉터 구조 - Google Patents

플라즈마화학적기상증착 기구 내의 서셉터 구조 Download PDF

Info

Publication number
KR100750968B1
KR100750968B1 KR1020050048184A KR20050048184A KR100750968B1 KR 100750968 B1 KR100750968 B1 KR 100750968B1 KR 1020050048184 A KR1020050048184 A KR 1020050048184A KR 20050048184 A KR20050048184 A KR 20050048184A KR 100750968 B1 KR100750968 B1 KR 100750968B1
Authority
KR
South Korea
Prior art keywords
susceptor
heater
chemical vapor
vapor deposition
lower plate
Prior art date
Application number
KR1020050048184A
Other languages
English (en)
Other versions
KR20060129566A (ko
Inventor
권용철
Original Assignee
주식회사 알지비하이텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 알지비하이텍 filed Critical 주식회사 알지비하이텍
Priority to KR1020050048184A priority Critical patent/KR100750968B1/ko
Publication of KR20060129566A publication Critical patent/KR20060129566A/ko
Application granted granted Critical
Publication of KR100750968B1 publication Critical patent/KR100750968B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 플라즈마화학적기상증착 기구 내의 서셉터 구조에 관한 것으로, 본 발명의 목적은 서셉터 가공시 발생하는 변형 내지 증착공정 수행 중 열변형을 방지하는 플라즈마화학적기상증착 기구 내의 서셉터 구조를 제공하는데 있다.
이를 위한 본 발명에 따른 플라즈마화학적기상증착 기구 내의 서셉터 구조에 의하면, 플라즈마화학기상증착 기구의 서셉터로서, 상기 서셉터를 가열하는 히터가 삽입되어 패턴을 이루도록 도피홈이 연속하여 형성되어 있으며, 돌출된 고정블럭이 평면상에 다수개로 장착되어 있는 하플레이트와, 상기 하플레이트와 동일한 크기로 구비되어 상기 하플레이트의 상측에 조립되고, 조립시 상기 돌출된 고정블럭이 삽입되도록 삽입홈이 관통되어 있는 상플레이트와, 상기 상, 하플레이트가 일체를 이루도록 상, 하플레이트의 경계면을 용접하고, 용접시 가공변형을 방지하기 위하여 상기 삽입홈을 관통한 고정블럭의 가장자리를 재용접하여 이루어지는 것을 특징으로 한다.
서셉터, PECVD, 증착, 히터, 열변형

Description

플라즈마화학적기상증착 기구 내의 서셉터 구조{Structure of susceptor inside plasma enhanced chemical vapor deposition device }
도 1a와 도 1b는 종래의 플라즈마화학적기상증착 기구를 일부 절개하여 보인 절개사시도와 서셉터의 단면도.
도 2는 본 발명이 설치된 플라즈마화학적기상증착 기구를 일부 절개하여 보인 절개사시도.
도 3은 본 발명에 따른 서셉터의 사시도.
도 4는 본 발명에 따른 서셉터의 분해 사시도.
도 5는 본 발명에 따른 서셉터의 단면도.
*도면의 주요부분에 대한 부호 설명*
10: 하플레이트 12: 히터
14: 도피홈 15: 고정캡
16: 고정블럭 20: 상플레이트
22: 삽입홈 30: 기판
40: 새도우프레임 100: 서셉터
200: 반응실
본 발명은 플라즈마화학적기상증착(이하 "PECVD"라 한다)기구 내의 서셉터(Susceptor) 구조에 관한 것으로, 더욱 상세하게는 유리를 기판으로 하는 PECVD 공정 도중 열손실을 보상하기 위하여, 설정온도보다 높은 히터의 온도 내지 기공시 발생하는 서셉터의 열변형을 방지하도록 한 PECVD 기구 내의 서셉터 구조에 관한 것이다.
일반적으로 PECVD는 원료가스인 플라즈마 속에서 생성된 활성입자가 기판 표면에서 화학반응을 촉진시켜 박막을 형성시키는 기술로서, 특히 재료간의 상호 확산이나 기판 물질과의 반응의 경감, 재료에 따라서 박막의 저온형성이 가능한 장점이 있다.
이러한 PECVD는 실리콘 산화막 형성에 사용에 되고 있음은 물론, 유리를 기판으로 하는 액정 디스플레이용 박막 트랜지스터 등에도 실용화되고 있다.
공지의 예로서, PECVD 기구는 도 1a 내지 도 1b에 도시된 바와 같이, 반응실(1) 내에 기판(2)이 올려지는 서셉터(3)와, 히터(4)를 통한 복사, 열전도를 이용하여 상기 기판(2)을 가열한다.
이때, 박막의 구성원자를 포함하는 원료가스를 반응실(1) 내부로 공급하여 원료가스 분자의 들뜸, 분해를 통해 기상 및 기판표면에 화학반응을 일으킨다.
한편, 히터(4)는 반응실(1) 주위에 설치하여 복사가열을 수행하기도 하고, 서셉터(3)에 설치하여 열전도를 통해 서셉터(3)를 직접 가열시킨다.
특히, 서셉터(3)를 직접 가열하는 방법은 증착온도까지 이르게 하려면 무리한 온도까지 요구하게 되므로 히터(4)에 손상을 주게 되어 수명을 단축시키는 단점이 발생하고, 서셉터(3)는 단순한 원형의 평판이므로 열이 전달되면 중심부에 온도가 가장 높고, 모서리에 온도는 낮게 되어 전체적인 온도 균일도가 나빠지므로 기판(2)에 성장한 박막 두께의 균일도는 크게 떨어졌으며, 나아가 열변형으로 인해 서셉터(3)가 휘어지는 치명적인 문제점이 발생하였다.
본 발명은 이러한 문제점을 해결하기 위하여 안출된 것으로서, 본 발명의 목적은 서셉터 가공시 발생하는 변형 내지 증착공정 수행 중 열변형을 방지하는 플라즈마화학적기상증착 기구 내의 서셉터 구조를 제공하는데 있다.
하나의 바람직한 실시 양태에 있어서 본 발명에 따른 서셉터는 상, 하로 구성되는 지지플레이트가 일체로 마련되고, 특히 하플레이트에는 히터를 삽입시킨 후 2단의 고정캡으로 히터를 고정, 밀폐시키며, 용접을 차례로 수행하여 반응가스로 인한 히터의 손상을 방지한다.
여기에 커버의 역할인 상블럭을 재용접시켜 히터의 완벽한 밀폐를 수행하도록 한다.
특히, 하블럭에는 고정블럭이 결합되어 있고, 이는 다시 상블럭과 조립되어 전술한 용접가공으로 인한 상, 하플레이트의 변형을 방지하도록 하며, 히터의 가열로 인한 상, 하플레이트의 열변형 또한 방지할 수 있다.
본 발명의 다른 목적 및 효과는 이하의 상세한 설명으로부터 명확하게 되고, 본 발명의 바람직한 실시예를 나타내는 상세한 설명 및 실시예는 본 발명의 범주를 제한하는 것이 아니다.
상술한 목적을 달성하기 위한 본 발명은, 플라즈마화학기상증착 기구의 서셉터로서, 상기 서셉터를 가열하는 히터가 삽입되어 패턴을 이루도록 도피홈이 연속하여 형성되어 있으며, 돌출된 고정블럭이 평면상에 다수개로 장착되어 있는 하플레이트와, 상기 하플레이트와 동일한 크기로 구비되어 상기 하플레이트의 상측에 조립되고, 조립시 상기 돌출된 고정블럭이 삽입되도록 삽입홈이 관통되어 있는 상플레이트와, 상기 상, 하플레이트가 일체를 이루도록 상, 하플레이트의 경계면을 용접하고, 용접시 가공변형을 방지하기 위하여 상기 삽입홈을 관통한 고정블럭의 가장자리를 재용접하여 이루어지는 것을 특징으로 한다.
또한, 상기 히터가 삽입되는 도피홈은 상기 히터의 굵기보다 깊게 형성되어 있고, 하나 이상의 고정캡이 상기 도피홈에 차례로 삽입되어 상기 히터를 밀폐, 고정하고 있으며, 상기 고정캡이 삽입된 상기 도피홈을 용접으로 밀폐시켜 증착시 상기 히터로 반응가스가 침투하는 것을 방지하는 것을 특징으로 한다.
이하, 본 발명에 따른 하나의 바람직한 실시예를 첨부도면을 참조하여 상세히 설명한다. 먼저, 도면에 걸쳐 기능적으로 동일하거나, 유사한 부분에는 동일한 부호를 부여한다.
도 2는 본 발명이 설치된 플라즈마화학적기상증착 기구를 일부 절개하여 보인 절개사시도이고, 도 3은 본 발명에 따른 서셉터의 사시도이고, 도 4는 본 발명에 따른 서셉터의 분해 사시도이고, 도 5는 본 발명에 따른 서셉터의 단면도이다.
도 2 내지 도 5를 참조하면, 본 발명에 따른 플라즈마화학적기상증착 기구 내의 서셉터 구조는 플라즈마화학기상증착 기구의 서셉터(100)로서, 상기 서셉터(100)를 가열하는 히터(12)가 삽입되어 패턴을 이루도록 도피홈(14)이 연속하여 형성되어 있으며, 돌출된 고정블럭(16)이 평면상에 다수개로 장착되어 있는 하플레이트(10)와, 상기 하플레이트(10)와 동일한 크기로 구비되어 상기 하플레이트(10)의 상측에 조립되고, 조립시 상기 돌출된 고정블럭(16)이 삽입되도록 삽입홈(22)이 관통되어 있는 상플레이트(20)와, 상기 상, 하플레이트(20,10)가 일체를 이루도록 상, 하플레이트(20, 10)의 경계면을 용접하고, 용접시 가공변형을 방지하기 위하여 상기 삽입홈(22)을 관통한 고정블럭(16)의 가장자리를 재용접하여 이루어진다.
일실시예에 따라 도시된 바와 같이, 서셉터(100)의 상면으로는 증착되어질 기판(30)이 안착되어 있고, 기판(30)의 외주면을 따라 새도우프레임(40)이 상측에 위치하고 있다.
기판(30)은 전술한 바와 같이, 유리, 비내열성인 폴리아미드 필름 등과 같은 다양한 기판(30) 재료가 적용될 수 있다.
서셉터(100)는 기판(30)이 안착되는 곳으로 평탄한 수평면으로 이루어져 있고, 내부에는 히터(12)가 장착되어 있어, 서셉터(100)에 안착된 기판(30)을 히터(12)의 전열에 의해서 기판(30)의 표면온도를 높여 증착을 수행한다.
따라서, 기판(30)으로의 균일한 전열을 위해서 서셉터(100) 역시 균일한 수평면을 가지고 있어야 함은 당연하다.
본 발명에 따른 서셉터(100)는 바람직하게 2개의 플레이트(10, 20)로 구성되어 있으며, 특히 하플레이트(10)에는 히터(12)가 장착되어 전열을 수행한다.
이러한 하플레이트(10)에는 일정한 패턴을 이루는 도피홈(14)이 연속하여 형성되어 있고, 도피홈(14)의 형성된 깊이는 여기에 삽입되는 히터(12)의 굵기보다 깊게 형성되어 있다.
히터(12)는 인코넬(Inconel)관에 히터코일을 삽입하고, 산화마그네슘(MgO)을 충진하여 가공한 것으로, 전술한 일정한 패턴을 갖는 도피홈(14)을 따라 삽입된다.
히터(12)는 견고히 도피홈(14)에 고정되어 있어야 하고, 이러한 히터(12)의 상측으로 도피홈(14)을 따라 고정캡(15)이 하나 이상, 바람직하게는 이중으로 겹쳐져 장착된다.
이러한 고정캡(15)은, 반응실(200) 내의 반응가스의 침투를 방지하도록 밀폐하는 역할과, 전술한 히터(12)를 도피홈(14)에 고정하는 역할을 수행한다.
나아가, 본 발명에서는 상기 고정캡(15)이 삽입된 도피홈(14)을 따라 용접으로 개구된 도피홈(14)을 밀폐시켜 증착시 상기 히터(12)로 반응가스가 침투하는 것을 완벽히 방지한다.
상플레이트(10)의 상면으로는 돌출된 고정블럭(16)이 평면상에 다수개로 장착되어 있다.
고정블럭(16)은 후술하는 커버의 역할인 하플레이트(10)와 조립되어 연마, 용접 등의 후가공시 가공변형을 방지하므로, 고정블럭(16)은 상플레이트(10) 상에 골고루 분포되어 장착되어 있다.
하플레이트(10)는 상플레이트(10)와 동일한 크기로 마련되어 있고, 특히 상기 고정블럭(16)이 삽입되도록 삽입홈(22)이 관통되어 있다.
삽입홈(22)을 관통한 고정블럭(16)의 가장자리는 모따기가 되어 있어 용접시 비드가 고정블럭(16)의 가장자리와 삽입홈(22)에 채워져 견고한 결합을 이루게 되고, 특히 고정블럭(16)의 높이와 상플레이트(20)의 두께는 동일하게 형성되거나 고정블럭(16)의 높이가 작게 형성됨이 바람직하다.
이렇게 결합된 고정블럭(16)은 전술한 바와 같이 상, 하플레이트(20, 10)의 평탄도를 유지시키며, 히터(12)의 전열에도 평탄도를 유지시키는 역할을 수행한다.
결합된 상, 하플레이트(20, 10)는 다시 그 외면, 바람직하게는 경계면을 용접시켜 반응가스가 경계면을 따라 침투하는 것을 방지하고, 열변형에 따른 상, 하플레이트(20, 10)의 휨을 완벽히 방지한다.
최종적으로 결합된 상, 하플레이트(20, 10)의 표면을 정삭, 연마하여 기판(30)은 수평을 유지하면서 장착된다.
즉, 전기 설명으로부터 명확해지듯이, 이 발명은 반응실(200)에 설치되는 서셉터(100)가 가공 내지 전열에 의한 열변형으로 휘어지는 것을 방지할 수 있는 서셉터의 구조를 제공하여 더욱 정밀하게 기판(30)의 증착을 수행하도록 할 수 있다.
본 발명은 그 정신 또는 주요한 특징으로부터 일탈하는 일없이, 다른 여러 가지 형태로 실시할 수 있다. 그 때문에, 전술한 실시예는 모든 점에서 단순한 예시에 지나지 않으며, 한정적으로 해석해서는 안된다. 본 발명의 범위는 특허청구의 범위에 의해서 나타내는 것으로써, 명세서 본문에 의해서는 아무런 구속도 되지 않는다. 다시, 특허청구범위의 균등 범위에 속하는 변형이나 변경은, 모두 본 발명의 범위 내의 것이다.
이상에서 상세히 설명한 바와 같이, 본 발명에 따른 플라즈마화학적기상증착 챔버 내의 서셉터 구조에 의하면, 서셉터 가공시 발생하는 변형 내지 증착공정 수행 중 열변형을 방지하고, 반응가스가 서셉터로 침투하는 것을 완벽히 방지할 수 있는 서셉터의 구조를 제공하여 더욱 정밀한 증착을 수행할 수 있는 효과가 발생한다.

Claims (3)

  1. 플라즈마화학기상증착 기구의 서셉터로서, 상기 서셉터를 가열하는 히터가 삽입되어 패턴을 이루도록 도피홈이 연속하여 형성되어 있으며, 돌출된 고정블럭이 평면상에 다수개로 장착되어 있는 하플레이트와, 상기 하플레이트와 동일한 크기로 구비되어 상기 하플레이트의 상측에 조립되고, 조립시 상기 돌출된 고정블럭이 삽입되도록 삽입홈이 관통되어 있는 상플레이트와, 상기 상, 하플레이트가 일체를 이루도록 상, 하플레이트의 경계면을 용접하고, 용접시 가공변형을 방지하기 위하여 상기 삽입홈을 관통한 고정블럭의 가장자리를 재용접하여 이루어지며,
    상기 히터는 인코넬(Inconel)관에 히터코일이 삽입되어 있으며, 산화마그네슘(MgO)을 충진시켜 가공된 것을 특징으로 하는 플라즈마화학적기상증착 기구 내의 서셉터 구조.
  2. 제 1항에 있어서,
    상기 히터가 삽입되는 도피홈은 상기 히터의 굵기보다 깊게 형성되어 있고, 하나 이상의 고정캡이 상기 도피홈에 차례로 삽입되어 상기 히터를 밀폐, 고정하고 있으며, 상기 고정캡이 삽입된 상기 도피홈을 용접으로 밀폐시켜 증착시 상기 히터로 반응가스가 침투하는 것을 방지하는 것을 특징으로 하는 플라즈마화학적기상증착 기구 내의 서셉터 구조.
  3. 삭제
KR1020050048184A 2005-06-07 2005-06-07 플라즈마화학적기상증착 기구 내의 서셉터 구조 KR100750968B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050048184A KR100750968B1 (ko) 2005-06-07 2005-06-07 플라즈마화학적기상증착 기구 내의 서셉터 구조

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050048184A KR100750968B1 (ko) 2005-06-07 2005-06-07 플라즈마화학적기상증착 기구 내의 서셉터 구조

Publications (2)

Publication Number Publication Date
KR20060129566A KR20060129566A (ko) 2006-12-18
KR100750968B1 true KR100750968B1 (ko) 2007-08-22

Family

ID=37810357

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050048184A KR100750968B1 (ko) 2005-06-07 2005-06-07 플라즈마화학적기상증착 기구 내의 서셉터 구조

Country Status (1)

Country Link
KR (1) KR100750968B1 (ko)

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009117514A1 (en) 2008-03-20 2009-09-24 Applied Materials, Inc. Susceptor with roll-formed surface and method for making same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101362095B1 (ko) * 2012-05-14 2014-02-13 와이엠씨 주식회사 화학기상증착장비용 서셉터의 히터구조체
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03246931A (ja) * 1990-02-26 1991-11-05 Toshiba Ceramics Co Ltd サセプタ
JPH111775A (ja) 1997-06-09 1999-01-06 Tokyo Electron Ltd 成膜処理装置
US6160244A (en) 1998-05-29 2000-12-12 Ngk Insulators, Ltd. Susceptors
KR20030081540A (ko) * 2002-04-11 2003-10-22 주식회사 메카로닉스 화학기상증착 장비의 글라스 지지용 서셉터
KR20050001918A (ko) * 2003-06-28 2005-01-07 주성엔지니어링(주) 서셉터 및 서셉터의 제조방법
KR20050048697A (ko) * 2003-11-19 2005-05-25 엘지.필립스 엘시디 주식회사 릭 방지를 위한 서셉터

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03246931A (ja) * 1990-02-26 1991-11-05 Toshiba Ceramics Co Ltd サセプタ
JPH111775A (ja) 1997-06-09 1999-01-06 Tokyo Electron Ltd 成膜処理装置
US6160244A (en) 1998-05-29 2000-12-12 Ngk Insulators, Ltd. Susceptors
KR20030081540A (ko) * 2002-04-11 2003-10-22 주식회사 메카로닉스 화학기상증착 장비의 글라스 지지용 서셉터
KR20050001918A (ko) * 2003-06-28 2005-01-07 주성엔지니어링(주) 서셉터 및 서셉터의 제조방법
KR20050048697A (ko) * 2003-11-19 2005-05-25 엘지.필립스 엘시디 주식회사 릭 방지를 위한 서셉터

Also Published As

Publication number Publication date
KR20060129566A (ko) 2006-12-18

Similar Documents

Publication Publication Date Title
KR100750968B1 (ko) 플라즈마화학적기상증착 기구 내의 서셉터 구조
US20050000430A1 (en) Showerhead assembly and apparatus for manufacturing semiconductor device having the same
US8982530B2 (en) Methods and apparatus toward preventing ESC bonding adhesive erosion
US9487862B2 (en) Semiconductor growing apparatus
JP5019726B2 (ja) 化学気相成長用の加熱基板支持
KR101647958B1 (ko) 처리 챔버용 밀봉 장치
KR101084830B1 (ko) 탑재대 구조체
JP4211185B2 (ja) Cvd,ale装置用ガラス基板収納治具
US20050051099A1 (en) Susceptor provided with indentations and an epitaxial reactor which uses the same
KR100848378B1 (ko) 서셉터의 열선삽입 구조 및 방법
EP0519608A1 (en) Substrate holder of thermally anisotropic material used for enhancing uniformity of grown epitaxial layers
JP7008509B2 (ja) 高成長率のepiチャンバのための遮熱リング
US20060180076A1 (en) Vapor deposition apparatus and vapor deposition method
KR101318174B1 (ko) 서셉터 및 이를 구비하는 화학기상증착장치
JP2012134504A (ja) 化学気相蒸着装置用サセプタ、化学気相蒸着装置及び化学気相蒸着装置を用いる基板の加熱方法
EP1533834B1 (en) Vapor phase epitaxial apparatus and vapor phase epitaxial method
KR20100030081A (ko) 서셉터
KR101210181B1 (ko) 진공 열처리 장치
KR100718642B1 (ko) 조립식 히터
JP2005039121A (ja) 常圧cvd装置
JP2019511121A (ja) 負圧で圧締された基板を有するサセプタおよびエピタキシャル成長のための反応器
KR20130080645A (ko) 반도체 제조용 서셉터
KR20240018073A (ko) 샤워헤드 어셈블리 및 기판처리장치
KR101213623B1 (ko) 화학기상증착장치의 가스공급유닛 및 이의 제조 방법
KR20170052341A (ko) 서셉터 및 이를 포함하는 진공챔버

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120821

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130710

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140822

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150603

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160630

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170627

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180604

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190625

Year of fee payment: 13