KR100749755B1 - Apparatus for processing semiconductor wafer - Google Patents

Apparatus for processing semiconductor wafer Download PDF

Info

Publication number
KR100749755B1
KR100749755B1 KR1020060012969A KR20060012969A KR100749755B1 KR 100749755 B1 KR100749755 B1 KR 100749755B1 KR 1020060012969 A KR1020060012969 A KR 1020060012969A KR 20060012969 A KR20060012969 A KR 20060012969A KR 100749755 B1 KR100749755 B1 KR 100749755B1
Authority
KR
South Korea
Prior art keywords
wafer
water jacket
cooling
chamber
blocks
Prior art date
Application number
KR1020060012969A
Other languages
Korean (ko)
Inventor
승 배 정
형 섭 최
Original Assignee
주식회사 싸이맥스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 싸이맥스 filed Critical 주식회사 싸이맥스
Priority to KR1020060012969A priority Critical patent/KR100749755B1/en
Application granted granted Critical
Publication of KR100749755B1 publication Critical patent/KR100749755B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

A wafer process apparatus is provided to rapidly cool a wafer and to improve manufacturing yield by using water jackets in a semiconductor thin film process. First water jacket blocks(301) are arranged on both sides in a load lock chamber(110). The first water blocks confront each other. A first cooling plate for receiving a first wafer is mounted over the first water jacket block. A first wafer rising pin is driven by an elevation unit including a power cylinder in the load lock chamber to raise and maintain horizontally the wafer by passing through a lower wall of the first cooling plate. A second water jacket block(303) is mounted on an upper surface of each first water jacket block and a second cooling pate(333) is arranged on an upper surface of the second water jacket. The first and second water jacket blocks are communicated with each other.

Description

웨이퍼 처리장치{Apparatus for processing semiconductor wafer}Wafer processing apparatus {Apparatus for processing semiconductor wafer}

도 1은 일반적인 웨이퍼 처리 장치의 구성을 개략적으로 도시한 구조도,1 is a structural diagram schematically showing the configuration of a typical wafer processing apparatus;

도 2는 본 발명의 실시예에 따른 웨이퍼 처리 장치의 구성을 개략적으로 도시한 평면상 구조도,2 is a planar structural diagram schematically showing the configuration of a wafer processing apparatus according to an embodiment of the present invention;

도 3은 본 발명에 따른 반도체 소자 제조 방법에 따른 일예로서, 실린더형 커패시터의 하부 전극을 형성하는 데 필요한 몰드 산화막을 형성하는 방법을 설명하기 위한 단면도,3 is a cross-sectional view for explaining a method of forming a mold oxide film required to form a lower electrode of a cylindrical capacitor, as an example of a method of manufacturing a semiconductor device according to the present invention;

도 4는 도 2에서 로드락 챔버에 배치된 냉각처리장치를 설명하기 위한 확대 평면도,4 is an enlarged plan view for explaining a cooling apparatus disposed in the load lock chamber in FIG.

도 5는 본 발명의 실시예에 따른 웨이퍼 처리 장치의 주요작동을 설명하기 위한 도 4의 선 A-A에 따른 종단면도,5 is a longitudinal sectional view taken along the line A-A of FIG. 4 for explaining the main operation of the wafer processing apparatus according to the embodiment of the present invention;

도 6은 본 발명의 실시예에 따른 웨이퍼 처리 장치의 웨이퍼 상하 승강작동을 설명하기 위한 도 4의 선 B-B에 따른 종단면도, 및6 is a longitudinal cross-sectional view taken along line B-B of FIG. 4 for explaining a wafer up and down operation of the wafer processing apparatus according to the embodiment of the present invention;

도 7은 본 발명의 실시예에 따른 웨이퍼 처리 장치의 웨이퍼 상하 승강작동만을 설명하기 위해 도 5에서 일부 발췌한 상세도.FIG. 7 is a detailed view taken in part from FIG. 5 to describe only a wafer up / down operation of the wafer processing apparatus according to the embodiment of the present invention. FIG.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for main parts of the drawings>

100: 웨이퍼 처리 장치 100: wafer processing apparatus

110: 로드락 챔버 W: 웨이퍼 110: load lock chamber W: wafer

120: 로봇 122: 로봇암 120: robot 122: robot arm

124: 로봇핸드 130: 트랜스퍼 챔버 124: robot hand 130: transfer chamber

140: 처리 챔버 150: 보조 챔버. 140: processing chamber 150: auxiliary chamber.

200: 매엽(枚葉) 반송 부분 200: sheet conveyance part

301: 제1 워터자켓블록 303: 제2 워터자켓블록 301: first water jacket block 303: second water jacket block

331: 제1 냉각반 333: 제2 냉각반 331: first cooling panel 333: second cooling panel

334: 제1 유지대 335: 제2 유지대
337: 제3 유지대
334: First Holder 335: Second Holder
337: Third Retention Stand

본 발명은 다층 배선 구조의 금속 배선을 형성하는 데 사용되는 일체형 인시튜 클러스터 툴 타입의 웨이퍼 처리기구의 웨이퍼 처리장치에 관한 것이다.The present invention relates to a wafer processing apparatus of an integrated in-situ cluster tool type wafer processing mechanism used to form metal wiring of a multilayer wiring structure.

본 발명은 반도체 공정(semiconductor process)에 있어서 웨이퍼(wafer) 냉각 방법에 관한 것으로, 특히, 반도체 박막 공정(semiconductor thin film process) 시 웨이퍼를 급속 냉각시키는 방법에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a wafer cooling method in a semiconductor process, and more particularly, to a method of rapidly cooling a wafer in a semiconductor thin film process.

도 1은 일반적인 반도체 제조설비 중에서 멀티챔버(multi-chamber)를 갖는 반도체 웨이퍼 처리기구(100)를 나타낸 도면으로서, 생산라인으로부터 복수 웨이퍼(W)를 탑재한 카세트(K)가 투입 위치되는 로드락챔버(110)가 있고, 이 로드락챔버(110)는 구비된 게이트(14)를 통해 카세트(K)의 투입 및 인출에 대응하여 선택적으 로 밀폐된 분위기를 이루게 된다.FIG. 1 is a view showing a semiconductor wafer processing mechanism 100 having a multi-chamber in a general semiconductor manufacturing facility, in which a load lock in which a cassette K having a plurality of wafers W mounted thereon is inserted from a production line. The chamber 110 is provided, and the load lock chamber 110 forms a sealed atmosphere selectively in response to the insertion and withdrawal of the cassette K through the provided gate 14.

또한 로드락챔버(110)의 내부에는 카세트(K)를 위치시키기 위한 테이블(T)이 설치되며, 또 그 내부는 게이트(14)의 개폐에 대응하여 연결된 진공압 제공수단(도시 생략함)의 구동으로 소정의 진공압 분위기를 이루게 된다. 그리고, 로드락챔버(110)의 일측으로는 다시 게이트(14)에 의해 선택적으로 연통하는 트랜스퍼 챔버 (130)가 설치되고, 이 트랜스퍼 챔버(130) 내부에는 로드락챔버(110)에 위치되는 웨이퍼(W)를 요구되는 위치로 이송하도록 하는 로봇(120)이 설치된다.In addition, a table T for positioning the cassette K is installed in the load lock chamber 110, and the inside thereof is provided with a vacuum pressure providing means (not shown) connected in correspondence to the opening and closing of the gate 14. The drive achieves a predetermined vacuum pressure atmosphere. In addition, a transfer chamber 130, which is selectively communicated with the gate 14 again, is provided at one side of the load lock chamber 110, and a wafer positioned in the load lock chamber 110 is provided inside the transfer chamber 130. Robot 120 is installed to transfer (W) to the required position.

로봇(120)은 트랜스퍼 챔버(130)의 중심 부위를 기준하여 각 방향으로 회전 가능하게 설치되며, 일측으로 자바라 형상의 로봇암(122)과 이 로봇암(122)에 의해 연결 설치되어 웨이퍼(W)를 일 매씩 선택적으로 고정 지지하게 되는 로봇핸드(124)이 구비된다.The robot 120 is rotatably installed in each direction with respect to the center portion of the transfer chamber 130, and is connected to one side by a bellows-shaped robot arm 122 and the robot arm 122 to be connected to the wafer (W). ) Is provided with a robot hand 124 to selectively support one by one.

트랜스퍼 챔버(130)의 다른 소정 측부에는 로봇(120)의 구동에 의해 이송되는 웨이퍼(W)에 대하여 공정을 수행하는 처리 챔버(140)와 이 처리 챔버(140)에서의 공정 수행 전ㅇ후 과정에서 웨이퍼(W)를 냉각 또는 가열시키는 등의 선ㅇ후 처리 과정을 수행하는 보조챔버(150) 등이 선택적으로 연통하게 설치된다.On the other predetermined side of the transfer chamber 130, a process chamber 140 for performing a process on the wafer W transferred by the driving of the robot 120 and a process before and after performing the process in the process chamber 140. The auxiliary chamber 150 for performing a post-processing process such as cooling or heating the wafer W is selectively installed in communication.

전술한 바와 같이 구성된 반도체장치 처리기구(100)에서 로드락챔버(110) 내에 투입되는 카세트(K)는 로봇핸드(124)의 구동 방향, 즉 트랜스퍼 챔버(130)의 중심 위치로부터 소정 각도 틀어진 상태로 투입되고, 이에 대응하여 로드락챔버(110) 내부에는 투입된 카세트(K)를 로봇핸드(124)의 진행 방향에 있도록 회전 위치시키는 카세트 로더 장치가 요구된다.In the semiconductor device processing mechanism 100 configured as described above, the cassette K introduced into the load lock chamber 110 is shifted by a predetermined angle from the driving direction of the robot hand 124, that is, the center position of the transfer chamber 130. In response to this, a cassette loader device for rotating the cassette K into the load lock chamber 110 so as to be in the traveling direction of the robot hand 124 is required.

도 1과 같은 반도체장치 처리기구(100)를 사용하여 반도체 박막을 형성하는 공정에 있어서, 웨이퍼를 보관 및 운반하기 위한 플라스틱 카세트(plastic cassette)에 400℃ 이상의 열 공정을 거친 웨이퍼를 별도로 설치된 냉각 챔버를 사용하여 냉각시킨 후에 삽입한다.In the process of forming a semiconductor thin film using the semiconductor device processing mechanism 100 as shown in FIG. 1, a cooling chamber in which a wafer subjected to a thermal process of 400 ° C. or higher is separately installed in a plastic cassette for storing and transporting the wafer. After cooling, insert it.

이와 같은 웨이퍼 냉각에 대해 좀더 상세히 설명하면, 냉각 챔버 안 외측에 상온의 냉각수를 순환시켜서 그 냉각 챔버 내에 형성된 쿨 플레이트(cool plate)를 냉각시킨다. 쿨 플레이트 안에 N2 가스를 공급함으로써 N2 가스가 대류의 원리에 따라 쿨 플레이트의 냉기를 냉각 챔버 내에 있는 웨이퍼에 전달시켜 웨이퍼를 냉각시킨다.In more detail, such cooling of a wafer is performed by circulating cooling water at room temperature inside and outside the cooling chamber to cool a cool plate formed in the cooling chamber. By supplying N2 gas into the cool plate, the N2 gas cools the wafer by transferring cool air from the cool plate to the wafer in the cooling chamber in accordance with the principle of convection.

그런데, 상기와 같은 종래의 웨이퍼 처리기구(100)의 쿨링구조에 의하면, 쿨 플레이트 안에 N2 가스를 공급함으로써 N2 가스가 대류의 원리에 따라 쿨 플레이트의 냉기를 냉각 챔버 내에 있는 웨이퍼에 전달시켜 웨이퍼를 냉각시키는데, 이 경우에는 웨이퍼의 냉각 효율이 떨어져 웨이퍼의 냉각 시간이 많이 소요되고, 또 가스만을 이용함으로써 냉각시간을 조절할 필요가 있는 상황에서의 신속한 대응책이 되지 못한다는 등의 단점을 내포하고 있었다.However, according to the cooling structure of the conventional wafer processing mechanism 100 as described above, by supplying N2 gas into the cool plate, the N2 gas transfers cool air from the cool plate to the wafer in the cooling chamber according to the principle of convection. In this case, the cooling efficiency of the wafer is low, and in this case, the cooling time of the wafer is increased, and the use of gas alone does not provide a quick countermeasure in the situation where the cooling time needs to be adjusted.

본 발명은 상술한 단점을 해소하기 위한 것으로서, 그 주된 목적은, 반도체 박막 공정 시 워터재킷을 이용하여 웨이퍼를 급속 냉각시킬 수 있는 반도체 공정에 있어서의 웨이퍼 냉각구조를 제공함에 있다.SUMMARY OF THE INVENTION The present invention has been made to solve the above disadvantages, and its main object is to provide a wafer cooling structure in a semiconductor process that can rapidly cool a wafer using a water jacket during a semiconductor thin film process.

상술된 바와 같은 목적을 구현하고자 이루어지는 본 발명은;The present invention is made to achieve the object as described above;

반도체 박막 공정 시 웨이퍼를 처리 챔버 내에서 웨이퍼를 냉각처리하기 위한 처리기구에 있어서,In the processing mechanism for cooling the wafer in the processing chamber during the semiconductor thin film process,

상기 로드락 챔버 내에는 상호 대향하여 배열된 양측의 제1 워터자켓블록과, 상기 제1 워터자켓블록 상에 탑재되어 제1 웨이퍼를 재치하는 제1 냉각반이 배치되고,In the load lock chamber, first water jacket blocks on both sides arranged to face each other, and a first cooling panel mounted on the first water jacket block to mount the first wafer,

상기 로드락 챔버 내에는, 상기 제1 냉각반의 저벽을 관통하여 웨이퍼를 수평으로 유지하도록 설치된 제1 웨이퍼 부상용 핀이 상하 이동 가능하게 된 것을 특징으로 한다.In the load lock chamber, a first wafer floating pin provided to penetrate the bottom wall of the first cooling panel to hold the wafer horizontally is movable up and down.

다음에, 본 발명의 바람직한 실시예들에 대하여 첨부 도면을 참조하여 상세히 설명한다.Next, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 2는 본 발명의 실시예에 따른 웨이퍼 처리기구의 요부 구성을 개략적으로 도시한 도면으로서, 본 발명에 따른 반도체 공정에 있어서의 웨이퍼 냉각방식을 설명하기 위한 냉각 챔버의 일예를 나타낸 도면으로서, 일예로서 도 2에 도시된 로드락챔버(110) 내에 처리됨을 주된 실시예로 설명한다.
도 3은 본 발명에 따른 반도체 소자 제조 방법에 따른 일예로서, 실린더형 커패시터의 하부 전극을 형성하는 데 필요한 몰드 산화막을 형성하는 방법을 설명하기 위한 단면도이고, 도 4는 도 2에서 로드락 챔버에 배치된 냉각처리장치를 설명하기 위한 확대 평면도이며, 도 5는 본 발명의 실시예에 따른 웨이퍼 처리 장치의 주요작동을 설명하기 위한 도 4의 선 A-A에 따른 종단면도이고, 도 6은 본 발명의 실시예에 따른 웨이퍼 처리 장치의 웨이퍼 상하 승강작동을 설명하기 위한 도 4의 선 B-B에 따른 종단면도이며, 도 7은 본 발명의 실시예에 따른 웨이퍼 처리 장치의 웨이퍼 상하 승강작동만을 설명하기 위해 도 5에서 일부 발췌한 상세도이다.
FIG. 2 is a view schematically showing a main configuration of a wafer processing mechanism according to an embodiment of the present invention, which shows an example of a cooling chamber for explaining a wafer cooling method in a semiconductor process according to the present invention. As a main embodiment, the processing in the load lock chamber 110 shown in FIG. 2 will be described.
3 is a cross-sectional view for describing a method of forming a mold oxide film required to form a lower electrode of a cylindrical capacitor as an example of a method of manufacturing a semiconductor device according to the present invention, and FIG. 4 is a cross-sectional view of the load lock chamber of FIG. 2. 5 is an enlarged plan view for explaining the arranged cooling processing apparatus. FIG. 5 is a longitudinal sectional view taken along the line AA of FIG. 4 for explaining the main operation of the wafer processing apparatus according to the embodiment of the present invention, and FIG. 4 is a longitudinal cross-sectional view taken along the line BB of FIG. 4 for explaining a wafer up and down operation of the wafer processing apparatus according to the embodiment, and FIG. 7 is a view for explaining only the wafer up and down operation of the wafer processing apparatus according to the embodiment of the present invention. Here is a partial excerpt from 5.

먼저, 도 1을 참조하면, 웨이퍼 처리기구(100)는, EFEM 등으로 불리는 케이스에서 로봇 사용에 의하여 1매씩 웨이퍼(W, 기판)를 꺼내 트랜스퍼 챔버와 같은 처리 챔버 내에 넣고 처리한 후, 웨이퍼(W)를 1매씩 인출하여 케이스에 수납하는 매엽(枚葉) 반송 부분(200)과 연결되어, 웨이퍼(W) 즉 반도체 기판이 로딩되어 위치되는 로드락 챔버(110)와, 상기 로드락 챔버(110)에 연결되어 있는 트랜스퍼 챔버(130)와, 게이트 밸브를 통하여 각각 상기 트랜스퍼 챔버(130)와 연통 가능한 복수의 진공 처리 챔버(140 ‥, vacuum processing chamber)를 구비하고 있다.First, referring to FIG. 1, the wafer processing mechanism 100 takes out wafers W and substrates one by one using a robot from a case called an EFEM or the like, puts them into a processing chamber such as a transfer chamber, and then processes the wafers. A load lock chamber 110 connected to the sheet conveying portion 200 which draws out W one by one and accommodated in a case, and is loaded with a wafer W, that is, a semiconductor substrate, and the load lock chamber ( A transfer chamber 130 connected to 110 and a plurality of vacuum processing chambers 140... Which are in communication with the transfer chamber 130 via gate valves, respectively, are provided.

상기 로봇암(122)은 다관절 로봇 이나 자바라형 로봇(120)에 종속되어 구성되는 요소이다.The robot arm 122 is a component configured to be dependent on the articulated robot or bellows type robot 120.

또한, 상기 트랜스퍼 챔버(130)는 평면상에서 볼 때 다각형, 특히 오각형으로 형성되며, 상기 다수의 진공 처리 챔버(140)는 상기 트랜스퍼 챔버(130)의 각 측면에 게이트(14)를 사이에 두고 대면 배치되어 있다.In addition, the transfer chamber 130 is formed in a polygonal shape, in particular a pentagon when viewed in plan view, and the plurality of vacuum processing chambers 140 face each other with the gate 14 between each side of the transfer chamber 130. It is arranged.

또, 상기 트랜스퍼 챔버(130)는 진공 배기가 가능하며, 상기 웨이퍼(W)를 로딩 및 언로딩할 수 있는 로봇암(122)을 구비하고 있다.In addition, the transfer chamber 130 may be evacuated and includes a robot arm 122 capable of loading and unloading the wafer (W).

또한, 상기 복수의 진공 처리 챔버(140)는 제1 산화막을 증착하기 위한 제1 처리 챔버(141)와, 상기 제1 산화막을 열처리하기 위한 제2 처리 챔버(142)와, 상기 제1 산화막과는 다른 제2 산화막을 상기 제1 산화막 위에 형성하기 위한 제3 처리 챔버(143)로 선택적으로 채용될 수 있다.In addition, the plurality of vacuum processing chambers 140 may include a first processing chamber 141 for depositing a first oxide film, a second processing chamber 142 for heat treating the first oxide film, and the first oxide film; May be selectively employed as a third processing chamber 143 for forming another second oxide film over the first oxide film.

또, 상기 제1 처리챔버(141)에서는 하부 전극 증착 전 세정 공정시 사용되는 식각액에 의한 식각율이 비교적 큰 제1 산화막, 예를 들면 BPSG (borophosphosilicate glass)막 또는 PSG (phosphosilicate glass)막을 형성하고, 상기 제2 처리 챔버에서는 상기 제1 산화막을 리플로우에 의하여 치밀화시키 기 위한 열처리 공정을 행할 수 있다.In the first processing chamber 141, a first oxide film having a relatively high etching rate, for example, a borophosphosilicate glass (BPSG) film or a phosphosilicate glass (PSG) film, may be formed. In the second processing chamber, a heat treatment process for densifying the first oxide film by reflow may be performed.

예를 들면, 상기 제2 처리 챔버(142)는 RTA (rapid thermal anneal) 챔버를 구성할 수 있다. 상기 제3 처리 챔버(143)는 상기 제1 산화막에 비하여 상기 식각액에 대한 식각율이 상대적으로 작은 제2 산화막, 예를 들면 PE-TEOS (plasma-enhanced tetraethylorthosilicate glass)막을 형성하기 위한 챔버등으로 사용되어도 된다.For example, the second processing chamber 142 may constitute a rapid thermal anneal (RTA) chamber. The third processing chamber 143 is used as a chamber for forming a second oxide film, for example, a PE-TEOS (plasma-enhanced tetraethylorthosilicate glass) film having a relatively low etching rate with respect to the etchant compared to the first oxide film. You may be.

또한, 상기 로드락 챔버(110) 내에는 상호 대향하여 배열된 양측의 제1 워터자켓블록(301)과, 상기 제1 워터자켓블록(301) 상에 탑재되어 제1 웨이퍼(W1)를 재치하는 제1 냉각반(331)이 배치되어 있다.In addition, the load lock chamber 110 is mounted on the first water jacket block 301 and the first water jacket block 301 on both sides arranged to face each other to mount the first wafer W1. The 1st cooling board 331 is arrange | positioned.

또, 상기 로드락 챔버(110) 내에는, 상기 제1 냉각반(331)의 저벽을 관통하여 웨이퍼(W)를 수평으로 부상시켜 유지하기 위해, 중앙부의 파워실린더(320)를 포함하는 승강기구에 의해 구동되는 제1 웨이퍼 부상용 핀(323)이 상하 이동 가능하게 배설되어 있다.In addition, in the load lock chamber 110, a lifting mechanism including a power cylinder 320 in the center portion to penetrate and hold the wafer W horizontally through the bottom wall of the first cooling panel 331. The 1st wafer floating pin 323 driven by this is arrange | positioned so that up-and-down movement is possible.

또한, 상기 양측의 제1 워터자켓블록(301)의 상면에는 제2 워터자켓블록(303)이 개별 탑재되고, 이 제2 워터자켓블록(303)의 상면에는 제2 웨이퍼(W2)를 재치하는 제2 냉각반(333)이 배치되어 있다.In addition, second water jacket blocks 303 are separately mounted on upper surfaces of the first water jacket blocks 301 on both sides, and the second wafer W2 is mounted on the upper surfaces of the second water jacket blocks 303. The 2nd cooling board 333 is arrange | positioned.

또, 상기 제2 워터자켓블록(303)의 상부 외측에는 승강이동하는 중앙부의 파워실린더(320)의 승강축(321)에 각각 내향하여 웨이퍼(W) 가장자리 안쪽까지 연장 배열되어, 상기 제2 냉각반(333)에 대하여 상기 제2 웨이퍼(W)를 승강이동하는 제1 웨이퍼 유지용 핸드형 제1 유지대(334)가 탑재되어 있다.In addition, the second outer side of the water jacket block 303 extends to the inside of the lifting shaft 321 of the power cylinder 320 of the center portion to move up and down to the inside of the wafer (W), the second cooling A first wafer holding hand-held first holder 334 for lifting and lowering the second wafer W with respect to the van 333 is mounted.

또한, 상기 제2 냉각반(333)의 양측 상면은 그 중앙면 보다 높이가 낮은 단이진 부(333a)로 이루어지고, 이 단이진 부(333a)에는 상기 제1 웨이퍼 파지용 핸드형 제1 유지대(334)가 안착되어 상기 중앙면과 동일 평면상에 놓이도록 구성되어 있다.In addition, the upper surface of both sides of the second cooling plate 333 is formed of a stepped bin 333a having a height lower than that of the center surface, and the stepped bin 333a has a hand-held first holding for holding the first wafer. A stand 334 is configured to rest on the same plane as the center plane.

또, 상기 제2 워터자켓블록(303)의 상면에는 피처리될 제3 웨이퍼(W3) 및 제4 웨이퍼(W4)를 이격 배열하도록, 제1 및 제2 이격부재(311, 313)의 상면에 내향하여 수평배열된 제2 및 제3 유지대(335, 337)를 매개로 수직방향으로 소정거리 이격 배치되도록 구성되어 있다.In addition, an upper surface of the first and second spacers 311 and 313 may be disposed on the upper surface of the second water jacket block 303 so that the third wafer W3 and the fourth wafer W4 to be processed are spaced apart from each other. The second and third holders 335 and 337 horizontally arranged inwardly are configured to be spaced apart by a predetermined distance in the vertical direction.

도 3은 본 발명에 따른 반도체 소자 제조 방법에 따라 실린더형 커패시터의 하부 전극을 형성하는 데 필요한 몰드 산화막(30)을 형성하는 방법을 설명하기 위한 단면도로서 본 발명의 실시 형태의 일예로서 제시한 것이다. 도 3을 참조하면, 먼저 반도체 기판(10)상에 층간절연막(20)과, 상기 반도체 기판(10)의 활성 영역(도시하지 않음)에 전기적으로 연결되는 매몰 콘택(buried contact) 형태의 도전성 플러그(25)를 형성한다. 그 후, 상기 층간절연막(20) 및 플러그(25) 위에 몰드 산화막(30) 형성에 필요한 다층 구조의 산화막을 형성한다. 상기 다층 구조의 산화막을 형성하기 위하여, 먼저, 웨이퍼(W) 처리기구(100)의 제1 처리 챔버(141) 내에서 제1 산화막(32)을 형성한다. 상기 제1 산화막(32)은 후속의 하부 전극 증착 전 세정 공정시 사용되는 식각액에 의한 식각율이 비교적 큰 물질로 이루어지며, 예를 들면 BPSG막 또는 PSG막으로 이루어진다. 그 후, 상기 제2 처리 챔버(142) 내에서 상기 제1 산화막(32)을 RTA 방법으로 열처리하여 상기 제1 산화막(32)을 리플로우 및 치밀화시킨다.3 is a cross-sectional view for explaining a method for forming a mold oxide film 30 for forming a lower electrode of a cylindrical capacitor according to the method of manufacturing a semiconductor device according to the present invention, and is shown as an example of an embodiment of the present invention. . Referring to FIG. 3, a conductive plug in the form of a buried contact electrically connected to an interlayer insulating film 20 and an active region (not shown) of the semiconductor substrate 10 is first formed on the semiconductor substrate 10. To form 25. After that, an oxide film having a multilayer structure necessary for forming the mold oxide film 30 is formed on the interlayer insulating film 20 and the plug 25. In order to form the oxide film of the multilayer structure, first, the first oxide film 32 is formed in the first processing chamber 141 of the wafer W processing mechanism 100. The first oxide layer 32 is made of a material having a relatively high etching rate by an etchant used in a subsequent cleaning process before lower electrode deposition, and is made of, for example, a BPSG film or a PSG film. Thereafter, the first oxide film 32 is heat-treated in the second processing chamber 142 by the RTA method to reflow and densify the first oxide film 32.

그 후, 상기 제3 처리 챔버(143) 내에서 상기 제1 산화막(32) 위에 제2 산 화막(34)을 형성한다. 상기 제2 산화막(34)은 상기 제1 산화막(32)에 비하여 상기 식각액에 대한 식각율이 상대적으로 작은 물질로 이루어지며, 예를 들면 상기 제2 산화막(34)은 PE-TEOS막으로 이루어진다. 그 후, 상기 제2 산화막(34) 및 제1 산화막(32)을 패터닝하여 상기 플러그(25)를 노출시키는 홀(40)을 한정하는 몰드 산화막(30)을 형성한다.Thereafter, a second oxide film 34 is formed on the first oxide film 32 in the third processing chamber 143. The second oxide layer 34 is made of a material having a relatively low etching rate for the etchant compared to the first oxide layer 32. For example, the second oxide layer 34 is formed of a PE-TEOS layer. Thereafter, the second oxide film 34 and the first oxide film 32 are patterned to form a mold oxide film 30 defining a hole 40 exposing the plug 25.

또한, 상기 실시예에서는 몰드 산화막(30)을 형성하기 위하여 본 발명에 따른 웨이퍼(W) 처리기구를 사용하는 것으로 설명하였으나, 냉각처리공정에 있어서는, 도 4 및 도 5, 그리고 도 4 및 도 5의 구성을 상세히 설명하기 위해 참조로 편입된 도 6 및 도 7에 도시된 바와 같이, 웨이퍼(W)에 대하여 공정을 수행하는 처리 챔버(140)와 이 처리 챔버(140)에서의 공정 수행 전·후 과정에서 웨이퍼(W1, …)를 냉각 또는 가열시키는 등의 선·후 처리 과정을 수행하는 보조챔버(150) 등에도 선택적으로 설치됨으로써, 일예로, 웨이퍼(W1) 냉각 시, 승강 이동되는 웨이퍼(W1)를 재치하는 제1 냉각반(331)과 제2 냉각반(333)에 의해 제1 및 제2 웨이퍼(W1, W2)가 선택적으로 급속 냉각되게 되는 것이다.In the above embodiment, the wafer W processing mechanism according to the present invention is used to form the mold oxide film 30. However, in the cooling process, FIGS. 4 and 5, and FIGS. 4 and 5 are used. As shown in FIGS. 6 and 7, which are incorporated by reference to describe the configuration of the structure, before and after the process performed in the process chamber 140 and the process chamber 140. It is optionally installed in the auxiliary chamber 150 which performs the pre- and post-processing process such as cooling or heating the wafers W1, ... in a later process, for example, a wafer that is moved up and down during cooling of the wafer W1. The first and second wafers W1 and W2 are selectively cooled rapidly by the first cooling plate 331 and the second cooling plate 333 on which (W1) is placed.

또한, 이를 위하여 적절히 응용함에 있어, 제2 워터자켓블록(303)에 접촉 배열된 제1 내지 제3 이격부재에 의해 냉열이 전도되는 제2 및 제3 유지대(335, 337)에 의해 냉각되는 제3 및 제4 웨이퍼(W3, W4)는 제1 및 제2 웨이퍼(W)가 처리 챔버(140)로 반송 시, 재차 제1 및 제2 냉각반(333)으로 로봇(120)에 의해 이송되어, 웨이퍼(W)를 냉각처리하면 보다 급속 냉각시킬 수 있는 등의 유효한 효과를 낼 수 있게 되는 것이다.In addition, for proper application for this purpose, it is cooled by the second and third holders 335 and 337 in which cold heat is conducted by the first to third spacers arranged in contact with the second water jacket block 303. The third and fourth wafers W3 and W4 are again transferred by the robot 120 to the first and second cooling panels 333 when the first and second wafers W are transferred to the processing chamber 140. As a result, when the wafer W is cooled, an effective effect such as faster cooling can be achieved.

이로써, 상기 제1 웨이퍼 부상용 핀(323)이 상기 제1 냉각반(331)의 저벽을 관통하여 웨이퍼(W1)를 상하 이동시켜 로봇(120)으로 처리 챔버(140)로 이동시키는 것은 기본으로 하고, 로봇(120)을 통해 상기 제1 냉각반(331) 및 이 제1 냉각반(331)의 양측의 제1 웨이퍼 유지용 핸드형 제1 유지대(334)과 제2 냉각반(333)이 합체된 상태의 수평 평면에 대하여 도 5에서 볼 때, 좌우 양측의 제2 및 제3 유지대(335, 337)에 재치되어 있던 제3 및 제4 웨이퍼(W3, W4)를 선택적으로 이송 배치시킬 수 있음은 물론이다.As a result, the first wafer floating pin 323 penetrates the bottom wall of the first cooling panel 331 and moves the wafer W1 up and down to move the robot 120 to the processing chamber 140 by default. The first cooling plate 331 and the hand-held first holder 334 for holding the first wafer on both sides of the first cooling plate 331 and the second cooling plate 333 through the robot 120. As shown in FIG. 5 with respect to the horizontal plane in the coalesced state, the third and fourth wafers W3 and W4 mounted on the second and third holders 335 and 337 on both the left and right sides are selectively transported. Of course you can.

이와 더불어, 상기 제2 및 제3 유지대(335, 337)에는 새로이 피처리될 신규 웨이퍼(W)를 매엽반송장치(200)를 통해 유입받도록 하여 반복적으로 냉각 처리될 수 있도록 하면 된다.In addition, the second and third holders 335 and 337 may receive the new wafers W to be newly processed through the sheet conveying apparatus 200 so as to be repeatedly cooled.

본 발명의 웨이퍼 처리기구를 이용하여 다단식 배열형으로 웨이퍼(W)를 순차 수납시킬 수 있음과 더불어, 복수개씩 쌍으로 직간접 냉열에 의한 영향을 받도록 함으로써, 보다 신속한 냉각 처리가 이루어질 수 있도록 함으로써, 제조 수율을 증대할 수 있는 등의 뛰어난 효과가 있는 것이다.By using the wafer processing mechanism of the present invention, the wafers W can be sequentially stored in a multi-stage array type, and are subjected to direct and indirect cooling in a plurality of pairs, thereby enabling a faster cooling treatment. There is an excellent effect such as to increase the yield.

본 발명은 도면에 도시된 일 실시예를 참고로 설명되었으나 이는 예시적인 것에 불과하며, 본 기술 분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. Although the present invention has been described with reference to one embodiment shown in the drawings, this is merely exemplary, and those skilled in the art will understand that various modifications and equivalent other embodiments are possible therefrom.

Claims (5)

반도체 박막 공정 시 웨이퍼를 처리 챔버 내에서 웨이퍼를 냉각처리하기 위한 처리기구에 있어서,In the processing mechanism for cooling the wafer in the processing chamber during the semiconductor thin film process, 상기 로드락 챔버 내에는 상호 대향하여 배열된 양측의 제1 워터자켓블록과, 상기 제1 워터자켓블록 상에 탑재되어 제1 웨이퍼를 재치하는 제1 냉각반이 배치되고,In the load lock chamber, first water jacket blocks on both sides arranged to face each other, and a first cooling panel mounted on the first water jacket block to mount the first wafer, 상기 로드락 챔버 내에는, 상기 제1 냉각반의 저벽을 관통하여 웨이퍼를 수평으로 부상시켜 유지하기 위해, 중앙부의 파워실린더를 포함하는 승강기구에 의해 구동되는 제1 웨이퍼 부상용 핀이 상하 이동 가능하게 배설되며,In the load lock chamber, a first wafer floating pin driven by a lifting mechanism including a power cylinder in the center is vertically movable to penetrate the bottom wall of the first cooling panel to keep the wafer horizontal. Excreted, 상기 양측의 제1 워터자켓블록의 상면에는 제2 워터자켓블록이 개별 탑재되고, 이 제2 워터자켓블록의 상면에는 제2 웨이퍼를 재치하는 제2 냉각반이 배치되되,Second water jacket blocks are separately mounted on the upper surfaces of the first water jacket blocks on both sides, and a second cooling panel for mounting the second wafer is disposed on the upper surfaces of the second water jacket blocks. 상기 양측의 제1 워터자켓블록은, 측방에서 바라볼 때, 상기 제1 냉각반의 보다 균등한 냉각을 위해 상호 입구와 출구 측이 상호 반대방향으로 배열되고,The first water jacket block on both sides, when viewed from the side, mutual inlet and outlet sides are arranged in opposite directions for more even cooling of the first cooling panel, 상기 제1 및 제2 워터자켓블록은 연통 가능하게 구성된 것을 특징으로 하는 웨이퍼 처리기구.And the first and second water jacket blocks are configured to communicate with each other. 삭제delete 제 1 항에 있어서,The method of claim 1, 상기 제2 워터자켓블록의 상부 외측에는 승강이동하는 파워실린더(320)의 승강축(321)에 각각 내향하여 웨이퍼 가장자리 안쪽까지 연장 배열되어, 상기 제2 냉각반에 대하여 상기 제2 웨이퍼를 승강이동하는 제1 웨이퍼 파지용 핸드형 제1 유지대가 탑재된 것을 특징으로 하는 웨이퍼 처리기구.The upper outer side of the second water jacket block is arranged to extend to the inside of the wafer edge inward to the lifting shaft 321 of the power cylinder 320, which moves up and down, and lift and move the second wafer with respect to the second cooling plate. A wafer processing mechanism comprising a hand-shaped first holder for holding a first wafer. 제 3 항에 있어서,The method of claim 3, wherein 상기 제2 냉각판의 양측 상면은 그 중앙면 보다 높이가 낮은 단이진 부로 이루어지고, 이 단이진 부에는 상기 제1 웨이퍼 파지용 핸드형 제1 유지대가 안착되어 상기 중앙면과 동일 평면상에 놓이도록 된 것을 특징으로 하는 웨이퍼 처리기구.The upper surfaces of both sides of the second cooling plate may be formed of a stepped bin having a height lower than that of the central plane, and the handheld first holder for holding the first wafer is seated on the stepped bin and placed on the same plane as the center plane. Wafer processing apparatus characterized in that the. 제 4 항에 있어서,The method of claim 4, wherein 상기 제2 워터재킷블록의 상면에는 피처리될 제3 웨이퍼 및 제4 웨이퍼를 이격 배열하도록, 제1 및 제2 이격부재의 상면에 내향하여 수평배열된 제2 및 제3 유지대를 매개로 수직방향으로 소정거리 이격 배치되도록 구성된 것을 특징으로 하는 웨이퍼 처리기구.The upper surface of the second water jacket block is perpendicular to the second and third holders horizontally arranged inwardly on the upper surfaces of the first and second spacers so as to space the third wafer and the fourth wafer to be processed. Wafer processing apparatus, characterized in that configured to be spaced apart a predetermined distance in the direction.
KR1020060012969A 2006-02-10 2006-02-10 Apparatus for processing semiconductor wafer KR100749755B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060012969A KR100749755B1 (en) 2006-02-10 2006-02-10 Apparatus for processing semiconductor wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060012969A KR100749755B1 (en) 2006-02-10 2006-02-10 Apparatus for processing semiconductor wafer

Publications (1)

Publication Number Publication Date
KR100749755B1 true KR100749755B1 (en) 2007-08-16

Family

ID=38614639

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060012969A KR100749755B1 (en) 2006-02-10 2006-02-10 Apparatus for processing semiconductor wafer

Country Status (1)

Country Link
KR (1) KR100749755B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110021540A (en) * 2018-01-09 2019-07-16 东京毅力科创株式会社 Annealing device, hot plate cooling means and computer-readable recording medium
JP2021513223A (en) * 2018-02-12 2021-05-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Board transfer mechanism that reduces board contact on the back side
CN110021540B (en) * 2018-01-09 2024-06-11 东京毅力科创株式会社 Heat treatment apparatus, hot plate cooling method, and computer-readable recording medium

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208589A (en) 1998-11-09 2000-07-28 Tokyo Electron Ltd Apparatus for processing
KR20020044716A (en) * 2000-12-06 2002-06-19 정태성 Wafer cooling device using thermoelement
JP2003013215A (en) 2001-06-26 2003-01-15 Anelva Corp Sputtering apparatus
KR20040079446A (en) * 2002-02-22 2004-09-14 어플라이드 머티어리얼스, 인코포레이티드 Substrate support

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208589A (en) 1998-11-09 2000-07-28 Tokyo Electron Ltd Apparatus for processing
KR20020044716A (en) * 2000-12-06 2002-06-19 정태성 Wafer cooling device using thermoelement
JP2003013215A (en) 2001-06-26 2003-01-15 Anelva Corp Sputtering apparatus
KR20040079446A (en) * 2002-02-22 2004-09-14 어플라이드 머티어리얼스, 인코포레이티드 Substrate support

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110021540A (en) * 2018-01-09 2019-07-16 东京毅力科创株式会社 Annealing device, hot plate cooling means and computer-readable recording medium
CN110021540B (en) * 2018-01-09 2024-06-11 东京毅力科创株式会社 Heat treatment apparatus, hot plate cooling method, and computer-readable recording medium
JP2021513223A (en) * 2018-02-12 2021-05-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Board transfer mechanism that reduces board contact on the back side
US11424149B2 (en) 2018-02-12 2022-08-23 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
US11784076B2 (en) 2018-02-12 2023-10-10 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact

Similar Documents

Publication Publication Date Title
JP3947761B2 (en) Substrate processing apparatus, substrate transfer machine, and substrate processing method
JP4912253B2 (en) Substrate transport apparatus, substrate processing apparatus, and substrate transport method
KR101088289B1 (en) Loading table, processing apparatus and processing system
US6331095B1 (en) Transportation system and processing apparatus employing the transportation system
JP2003077974A (en) Substrate processing device and manufacturing method of semiconductor device
JP2006273563A (en) Load lock device, processing system, and processing method
US11195734B2 (en) Dual load lock chamber
JP2002058985A (en) Heating and cooling apparatus and vacuum processor provided with this device
JP7225613B2 (en) SUBSTRATE TRANSFER MECHANISM, SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE TRANSFER METHOD
JP2019520701A (en) 12-sided transfer chamber, and processing system having such a transfer chamber
TWI700764B (en) Substrate cooling method, substrate transport method and loading lock device in loading lock device
KR100749755B1 (en) Apparatus for processing semiconductor wafer
JP4531247B2 (en) Vacuum processing equipment
KR100841741B1 (en) Vacuum treating apparatus
JP3429786B2 (en) Semiconductor manufacturing equipment
KR102444876B1 (en) Substrate treating apparatus
JP2001250780A (en) Application method of dummy substrate in semiconductor manufacturing device
JP4883804B2 (en) Semiconductor heat treatment method and semiconductor heat treatment apparatus
KR101383248B1 (en) High speed substrate processing system
TW202111843A (en) Substrate transfer apparatus and substrate transfer method
KR100566697B1 (en) Multi-chamber system for fabricating semiconductor devices and method of fabricating semiconductor devices using thereof
JP2002173775A (en) Semiconductor manufacturing apparatus, and manufacturing method of semiconductor apparatus
JP4283973B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP4359109B2 (en) Substrate processing apparatus and substrate processing method
JP2002093715A (en) Semiconductor-manufacturing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20120810

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130809

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160809

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170809

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190725

Year of fee payment: 13