KR100678696B1 - 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스 - Google Patents

환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스 Download PDF

Info

Publication number
KR100678696B1
KR100678696B1 KR1020060012234A KR20060012234A KR100678696B1 KR 100678696 B1 KR100678696 B1 KR 100678696B1 KR 1020060012234 A KR1020060012234 A KR 1020060012234A KR 20060012234 A KR20060012234 A KR 20060012234A KR 100678696 B1 KR100678696 B1 KR 100678696B1
Authority
KR
South Korea
Prior art keywords
plasma
reaction chamber
self
plasma reaction
frequency
Prior art date
Application number
KR1020060012234A
Other languages
English (en)
Inventor
위순임
Original Assignee
주식회사 뉴파워 프라즈마
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 뉴파워 프라즈마 filed Critical 주식회사 뉴파워 프라즈마
Priority to KR1020060012234A priority Critical patent/KR100678696B1/ko
Application granted granted Critical
Publication of KR100678696B1 publication Critical patent/KR100678696B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를 구비한 자기 강화된 플라즈마 소오스가 게시된다. 본 발명의 플라즈마 소오스는 플라즈마 반응 챔버의 내부에 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를 구비한다. 페라이트 코어 조립체에 의해 플라즈마 반응 챔버 내부에 환형 플라즈마를 형성함으로서 고밀도의 플라즈마를 보다 균일하게 발생 유지할 수 있으며, 환형 플라즈마를 얻기 위한 페라이트 코어 조립체의 구성이 간단하여 설치가 용이함으로서 플라즈마 처리 설비의 구성과 유지 보수 효율을 높일 수 있다.
환형 플라즈마, 페라이트 코어, 자기 강화

Description

환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를 구비한 자기 강화된 플라즈마 소오스{MAGNETICALLY ENHANCED PLASMA SOURCE HAVING FERRITE CORE ASSEMBLY FOR FORMING TOROIDAL PLASMA}
본 발명의 상세한 설명에서 사용되는 도면을 보다 충분히 이해하기 위하여, 각 도면의 간단한 설명이 제공된다.
도 1은 본 발명의 바람직한 실시예에 따른 플라즈마 반응 챔버의 외관을 보여주는 사시도이다.
도 2는 도 1의 플라즈마 반응 챔버의 단면도이다.
도 3은 플라즈마 반응 챔버의 내부에서 천정을 바라본 것으로 환형 플라즈마를 예시하는 도면이다.
도 4는 가스 입구를 증설한 예를 보여주는 플라즈마 반응 챔버의 부분 단면도이다.
도 5는 이중 바이어스 전원을 구비한 예를 보여주는 플라즈마 반응 챔버의 단면도이다.
도 6은 페라이트 코어 조립체를 매입 설치한 플라즈마 반응 챔버의 사시도이다.
도 7은 도 6의 플라즈마 반응 챔버의 단면도이다.
도 8은 가스 입구를 증설한 예를 보여주는 플라즈마 반응 챔버의 부분 단면도이다.
도 9는 가스 입구의 아래로 가스 분배판을 설치한 예를 보여주는 플라즈마 반응 챔버의 부분 단면도이다.
도 10은 세 개의 환형 페라이트 코어가 동심원 구조로 배열된 페라이트 코어 조립체가 설치된 플라즈마 반응 챔버의 평면도이다.
도 11 및 도 12는 도 10의 플라즈마 반응 챔버의 부분 단면도로서, 도 11에서는 자기장의 유도 방향을 동일하게 도 12에서는 자기장의 유도 방향을 서로 다르게 하는 경우를 각각 보여주는 도면이다.
*도면의 주요 부분에 대한 부호의 설명*
10: 플라즈마 반응 챔버 17: 서셉터
19: 진공 펌프 20: 페라이트 코어 조립체
30, 32, 36: 전원 공급원
본 발명은 반도체 기판 처리를 위한 플라즈마 소오스에 관한 것으로, 구체적으로는 페라이트 코어 조립체를 사용하여 프로세스 챔버 내부에 환형 프라즈마를 형성하도록 하여 플라즈마 밀도와 균일도를 강화시키는 자기 강화된 플라즈마 소오스에 관한 것이다.
반도체 소자의 초미세화와 그리고 기판 사이즈의 증가 그리고 새로운 처리 대상 물질 등장 등의 여러 요인으로 인하여 반도체 제조 공정에서는 더욱 향상된 기판 처리 기술이 요구되고 있다. 특히, 플라즈마를 이용한 반도체 제조 공정으로 건식 에칭 공정 분야나 물리적/화학적 기상 증착 분야에서는 이러한 기술적 요구에 대응하여 자기장을 이용하여 고밀도의 플라즈마를 균일하게 얻을 수 있는 플라즈마 소오스에 대한 기술 개발이 지속되고 있다.
일반적으로 플라즈마 반응관의 압력을 낮추면 이온의 평균자유거리가 늘어나 웨이퍼에 충돌하는 이온의 에너지가 증가하고 이온들의 간의 산란현상도 줄어들기 때문에 이방성 에칭에 유리한 것으로 알려져 있다. 그러나 압력이 낮아지면 전자들 역시 평균자유거리가 늘어나 중성원자들과의 충돌이 감소함으로 플라즈마 상태를 유지하기가 어려워진다. 그럼으로 낮은 압력에서도 플라즈마를 유지할 수 있도록 자기장을 이용하여 전자들의 이동 거리를 증가시켜 중성원자들과의 충돌 빈도를 높여 낮은 압력에서도 플라즈마가 유지될 수 있는 기술이 제안되고 있다.
또한, 기판 사이즈의 증가에 따라 기판이 처리되는 플라즈마 반응 챔버의 사이즈도 증가되는데 이러한 경우 플라즈마 반응 챔버의 내부에 균일하게 플라즈마가 분포하기 어렵다. 그럼으로 자기장을 이용하여 플라즈마 반응 챔버의 내부에서 균일한 플라즈마 밀도가 유지될 수 있도록 하는 기술들이 제안되고 있다.
플라즈마 반응 챔버의 내부에 균일한 플라즈마가 형성되도록 하기 위하여 영구 자석을 이용한 기술들이 제안되고 있다. 예를 들어, 영구 자석을 반응관 상부에 장착하거나, 상부에서 회전 시키는 등의 기술들이 제안되어 있다. 또는 기판을 회전 시켜서 비교적 균일한 기판 처리가 될 수 있도록 하고도 있다.
영구 자석을 이용한 경우에는 크기가 작고 장착이 간단하며 별도로 외부에서 전원을 공급하지 않아도 되기 때문에 비교적 간단히 균일도를 향상 시킬 수는 있다. 그러나 자기장의 균일도가 좋지 않으며 자기장의 세기도 제어가 불가능하다. 기판을 회전하거나 영구 자석을 회전하는 경우에는 회전 구조물을 구성하기 위한 부담이 있다.
따라서 본 발명은 플라즈마 반응 챔버 내부에 고밀도의 플라즈마를 보다 균일하게 발생 유지할 수 있도록 반응 챔버의 내부에 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를 구비한 자기 강화된 플라즈마 소오스를 제공하는데 그 목적이 있다.
상기한 기술적 과제를 달성하기 위한 본 발명의 일면은 자기 강화된 플라즈마 소오스에 관한 것이다. 본 발명의 자기 강화된 플라즈마 소오스는: 기판이 놓이는 서셉터가 내부에 구비된 중공형의 플라즈마 반응 챔버; 플라즈마 반응 챔버의 외측 천정에 배치되며, 플라즈마 반응 챔버의 내부에 환형 플라즈마를 형성하도록 구조화된 페라이트 코어 조립체를 포함하고, 상기 페라이트 코어 조립체는: 동심원 구조를 갖도록 평면 배치되는 서로 다른 크기의 둘 이상의 환형 페라이트 코어; 및 이웃하는 두 개의 환형 페라이트 코어에 양단이 연결되어 전체적으로는 방사형으로 배치되며 각기 유도 코일이 권선된 다수 개의 막대형 페라이트 코어; 유도 코일로 플라즈마 유도를 위한 제1 주파수의 교류 전원을 제공하는 제1 전원 공급원을 포함하여, 다수 개의 막대형 페라이트 코어에 집속되는 자기장은 이웃한 두 개의 환형 페라이트 코어를 통해서 플라즈마 반응 챔버의 내측으로 방사형으로 유도되고, 방사형으로 유도된 자기장 및 이에 의해 이차로 유도되는 전기장에 의해 플라즈마 반응 챔버 내부에 환형 플라즈마가 형성된다.
바람직하게, 상기 플라즈마 반응 챔버로 공정 가스를 주입하기 위한 하나 이상의 가스 입구, 가스 입구는 서로 이웃하는 환형 페라이트 코어의 사이 영역으로 배치된다.
바람직하게, 상기 제1 주파수는 10khz ~ 100MHz를 갖는다.
바람직하게, 상기 플라즈마 반응 챔버로 공정 가스를 주입하기 위한 가스 입구, 가스 입구는 서로 이웃하는 환형 페라이트 코어의 사이 영역으로 배치된 다수 개의 제1 가스 입구와 쳄버 천정 중심부에 배치된 제2 가스 입구를 포함한다.
바람직하게, 상기 제1 및 제2 가스 입구는 서로 다른 종류의 공정 가스가 분리되어 입력된다.
바람직하게, 상기 플라즈마 반응 챔버의 천정 구조는 이웃한 두 환형 페라이트 코어 사이가 높게 단차를 갖도록 구조화된다.
바람직하게, 상기 가스 입구의 하부로 가스 분배판이 설치된다.
바람직하게, 상기 다수 개의 유도 코일은 직렬, 병렬, 또는 직렬 및 병렬 혼합 방식 중 어느 하나의 방식으로 제1 전원 공급원에 연결된다.
바람직하게, 상기 서셉터로 제2 주파수를 제공하는 제2 전원 공급원을 포함 한다.
바람직하게, 상기 서셉터로 제2 주파수를 제공하는 제2 전원 공급원과 제2 주파수와 서로 다른 제3 주파수를 제공하는 제3 전원 공급원을 포함한다.
바람직하게, 상기 제2 주파수는 제2 주파수는 50khz ~ 2MHz, 전력은 500W ~ 5kW를 갖고, 상기 제3 주파수는 10khz ~ 60MHz, 전력은 500W ~ 5kW를 갖는다.
바람직하게, 상기 플라즈마 반응 챔버의 천정은 전기적 불연속성을 형성하는 하나 이상의 절연체 영역을 포함한다.
바람직하게, 상기 플라즈마 반응 챔버의 천정은 전도성 금속과 전기적 불연속성을 형성하는 하나 이상의 절연체 영역을 포함한다.
본 발명과 본 발명의 동작상의 이점 및 본 발명의 실시예에 의하여 달성되는 목적을 충분히 이해하기 위해서는 본 발명의 바람직한 실시예를 예시하는 첨부 도면 및 첨부 도면에 기재된 내용을 참조하여야 한다. 각 도면을 이해함에 있어서, 동일한 부재는 가능한 한 동일한 참조부호로 도시하고자 함에 유의하여야 한다. 그리고 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 공지 기능 및 구성에 대한 상세한 기술은 생략된다.
(실시예)
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 설명함으로써, 본 발명의 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를 구비한 자기 강화된 플라즈마 소오스를 상세히 설명한다.
도 1은 본 발명의 바람직한 실시예에 따른 플라즈마 반응 챔버의 외관을 보 여주는 사시도이고, 도 2는 도 1의 플라즈마 반응 챔버의 단면도이다. 도 3은 플라즈마 반응 챔버의 내부에서 천정을 바라본 것으로 환형 플라즈마를 예시하는 도면이다.
도 1 및 도 2를 참조하여, 플라즈마 반응 챔버(10)는 그 내부에 기판(8)이 놓이는 서셉터(17)가 구비되며, 진공 펌프(34)가 챔버(10)의 배기구(18)에 연결된다. 플라즈마 반응 챔버(10)의 외측 천정(12)에는 페라이트 코어 조립체(20)가 설치된다. 페라이트 코어 조립체(20)는 후술되는 바와 같이 플라즈마 반응 챔버(10)의 내부에 환형 플라즈마를 형성하도록 구조화되어 있다.
구체적으로, 페라이트 코어 조립체(20)는 동심원 구조를 갖도록 평면 배치되는 서로 다른 크기의 둘 이상의 환형 페라이트 코어(21, 22)를 구비한다. 그리고 이웃하는 두 개의 환형 페라이트 코어(21, 22)에 양단이 연결되어 전체적으로는 방사형으로 배치되며 각기 유도 코일(24)이 권선된 다수 개의 막대형 페라이트 코어(23)를 구비한다. 이 실시예에서는 두 개의 환형 페라이트 코어(21, 22)와 8개의 막대형 페라이트 코어(23)가 조립된 예를 설명한다. 그러나 후술되는 바와 같이 두 개 이상의 환형 페라이트 코어와 그리고 더 많은 수의 막대형 페라이트 코어를 사용하여 페라이트 코어 조립체(20)를 구성할 수 있음을 이 분야의 통상의 지식을 갖는 기술자들은 잘 알 수 있을 것이다.
다수 개의 막대형 페라이트 코어(23)에 각기 권선되는 유도 코일(23)은 제1 전원 공급원(30)에 연결되어 플라즈마 유도를 위한 교류 전원을 제공받는다. 다수 개의 유도 코일(23)은 직렬로 연결되어 있으나, 병렬 또는 직렬 및 병렬 혼합 방식 중 어느 하나의 방식으로 제1 전원 공급원(30)에 연결된다. 제1 전원 공급원(30)으로부터 제공되는 제1 주파수는 대략 10khz ~ 100MHz의 범위에서 선택될 수 있다. 그러나 이는 한정적인 사항은 아니며, 다른 범위의 주파수가 선택 될 수도 있을 것이다. 그리고 일반적인 기술적 사항으로 제1 전원 공급원(30)과 다수개의 유도 코일(23) 사이에는 임피던스 정합을 위한 정합기(미도시)가 연결된다.
이와 같이 구성된 페라이트 코어 조립체(20)가 플라즈마 반응 챔버(10)의 외측 천정(12)에 설치됨으로서, 다수 개의 막대형 페라이트 코어(23)에 집속되는 자기장(15)은 이웃한 두 개의 환형 페라이트 코어(21, 22)를 통해서 플라즈마 반응 챔버(10)의 내측으로 방사형(15)으로 유도된다. 도면에서 참조 번호 6a 및 6b는 자기장(15)에 의해 유도되는 이차 전기장의 방향을 예시한 것이다.
방사형으로 유도된 자기장(15)에 의해 그리고 이에 의해 유도되는 이차 전기장(6a, 6b)에 의해 도 3에 도시된 바와 같이, 플라즈마 반응 챔버(10) 내부에는 환형 플라즈마(2)가 형성되어 고밀도의 플라즈마가 보다 균일하게 발생하고 유지된다. 그럼으로 목적으로 하는 기판 가공을 보다 정밀하고 균일하게 미세 가공할 수 있다. 이러한 본 발명의 플라즈마 소오스는 예를 들어, 기상 증착 공정이나 에칭 공정등과 같은 반도체 기판 가공을 위한 다양한 플라즈마 처리 공정에 사용될 수 있다.
한편, 플라즈마 반응 챔버(10)로 공정 가스를 주입하기 위해 하나 이상의 가스 입구(16)가 공정 챔버(10)의 천정에 설치된다. 바람직하게, 가스 입구(16)는 두 개의 환형 페라이트 코어(21, 22)의 사이 영역(14)으로 배치된다. 그럼으로 플 라즈마 반응 챔버(10)의 내부로 분사되는 가스는 자기장(15)이 강하게 집속되는 부분으로 분사되기 때문에 플라즈마 발생이 더욱 활발히 이루어진다.
도 4는 가스 입구를 증설한 예를 보여주는 플라즈마 반응 챔버의 부분 단면도이다.
도 4를 참조하여, 플라즈마 반응 챔버(10)로 공정 가스를 주입하기 위해 제1 가스 입구(16)와 제2 가스 입구(19)가 구비될 수 있다. 제1 가스 입구(16)는 서로 이웃하는 환형 페라이트 코어(21, 22)의 사이 영역(14)으로 배치되며, 제2 가스 입구(19)는 쳄버 천정(12)의 중심부에 배치된다. 제1 및 제2 가스 입구(16, 19)는 각기 동일한 공정 가스가 입력될 수 있으나, 또는 서로 다른 종류의 공정 가스가 입력될 수 있다. 예를 들어, 제1 가스 입구(16)로는 캐리어 가스가 입력 될 수 있으며, 제2 가스 입구(19)로는 공정 가스가 입력될 수 있다.
다시, 도 2를 참조하여, 플라즈마 반응 챔버(10)의 내부에 설치된 서셉터(17)는 제2 주파수의 바이어스 전원을 제공하는 제2 전원 공급원(32)과 전기적으로 연결된다. 제2 전원 공급원(32)으로부터 제공되는 제2 주파수는 50khz ~ 2MHz, 전력은 500W ~ 5kW의 범위에서 선택될 수 있다. 이와 같이 서셉터(17)는 단일 바이어스 방식으로 구성될 수 있으나, 도 5에 예시된 바와 같이, 이중 바이어스 방식도 가능하다. 이중 바이어스 방식에서, 제3 주파수의 바이어스 전원을 제공하는 제3 전원 공급원(36)이 서셉터(17)에 전기적으로 연결된다. 여기서, 제3 주파수는 10khz ~ 60MHz, 전력은 500W ~ 5kW의 범위에서 선택될 수 있다.
플라즈마 반응 챔버(10)의 천정(12)은 전도성 금속과 전기적 불연속성을 형 성하는 하나 이상의 유전체 영역을 포함하도록 할 수도 있다. 전도성 금속의 영역은 접지로 연결된다. 절연체 영역은 페라이트 코어가 접하는 면으로 설치되거나, 또는 천정을 전체적으로 절연체로 구성하는 것도 가능하다.
도 6은 페라이트 코어 조립체를 매입 설치한 플라즈마 반응 챔버의 사시도이고, 도 7은 도 6의 플라즈마 반응 챔버의 단면도이다.
도 6 및 도 7에 도시된 바와 같이, 플라즈마 반응 챔버(10)의 천정(12)은 이웃한 두 환형 페라이트 코어(21, 22) 사이가 높게 단차를 갖도록 구조화된다. 즉, 환형 페라이트 코어(21, 22)가 천정(12)에 매입되도록 환형의 매입 홈(40, 42)이 형성되고, 매입 홈(40, 42)의 사이 영역(14)은 천정(12)과 동일한 평면 높이를 갖도록 형성된다.
여기서, 가스 입구(16)는 매입 홈(40, 42)의 사이 영역(14)에 다수 개가 설치된다. 또는, 도 8에 도시된 바와 같이, 플라즈마 반응 챔버(10)로 공정 가스를 주입하기 위해 제1 가스 입구(16)와 제2 가스 입구(19)가 구비될 수 있다. 제1 가스 입구(16)는 매입 홈(40, 42) 사이 영역(14)으로 배치되며, 제2 가스 입구(19)는 쳄버 천정(12)의 중심부에 배치된다. 제1 및 제2 가스 입구(16, 19)는 각기 동일한 공정 가스가 입력될 수 있으나, 또는 서로 다른 종류의 공정 가스가 입력될 수 있다. 예를 들어, 제1 가스 입구(16)로는 캐리어 가스가 입력 될 수 있으며, 제2 가스 입구(19)로는 공정 가스가 입력될 수 있다. 그리고 가스의 보다 균일한 흐름을 얻기 위하여, 도 9에 도시된 바와 같이, 가스 입구(16, 19)의 하부로 가스 분배판(44, 46)이 설치될 수 있다.
이상과 같은 본 발명의 페라이트 코어 조립체(20)는 플라즈마 반응 챔버(10)의 내부에 환형 플라즈마를 형성하도록 한다. 이러한 기능의 페라이트 코어 조립체(20)는 기본적인 동심원 구조에서 환형 페라이트 코어의 수를 증가하여 그 크기를 더 확장할 수 있다.
도 10은 세 개의 환형 페라이트 코어가 동심원 구조로 배열된 페라이트 코어 조립체가 설치된 플라즈마 반응 챔버의 평면도이다.
도 10을 참조하여, 플라즈마 반응 챔버(50)의 천정(52)에는 페라이트 코어 조립체(60)가 설치된다. 페라이트 코어 조립체(60)는 동심원 구조를 갖도록 평면 배치되는 서로 다른 크기의 세 개의 환형 페라이트 코어(61, 62, 63)를 구비한다. 그리고 이웃하는 두 개의 환형 페라이트 코어(61, 62)(62, 63)에 양단이 연결되어 전체적으로는 방사형으로 배치되며 각기 유도 코일(66, 67)이 권선된 다수 개의 막대형 페라이트 코어(64, 65)를 구비한다. 여기서 외측에 배치되는 막대형 페라이트 코어(64)와 내측으로 배치되는 막대형 페라이트 코어(65)는 서로 엇갈리도록 배치되는 것이 바람직하다. 가스 입구(56)는 이웃한 두 개의 환형 페라이트 코어(61, 62)(62, 63)의 사이에 다수 개가 구성되며, 천정(52)의 중심부에도 추가적으로 구성할 수 있다.
도 11 및 도 12는 도 10의 플라즈마 반응 챔버의 부분 단면도로서, 도 11에서는 자기장의 유도 방향을 동일하게 도 12에서는 자기장의 유도 방향을 서로 다르게 하는 경우를 각각 보여주는 도면이다.
도 11 및 도 12를 참조하여, 외측 막대형 페라이트 코어(64)에 권선되는 유 도 코일(66)과 내측 막대형 페라이트 코어(65)에 권선되는 유도 코일(67)의 권선 방향 또는 전류 방향을 제어하여 외측 자기장(55a)의 방향과 내측 자기장의 방향(55b)의 방향을 제어함으로서 그에 따른 유도 전기장의 방향(6a, 6b)(6a', 6b')을 서로 동일하게 또는 서로 다르게 제어함으로서 플라즈마 밀도, 균일도 등을 제어할 수 있다.
상술한 바와 같이, 본 발명은 도면에 도시된 실시예를 참고로 설명되었으나 이는 예시적인 것에 불과하며, 본 발명이 속한 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 잘 알 수 있을 것이다. 그럼으로 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.
상술한 바와 같은 본 발명의 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를 구비한 자기 강화된 플라즈마 소오스에 의하면, 플라즈마 반응 챔버 내부에 환형 플라즈마를 형성함으로서 고밀도의 플라즈마를 보다 균일하게 발생 유지할 수 있다. 또한, 환형 플라즈마를 얻기 위한 페라이트 코어 조립체의 구성이 간단하여 설치가 용이함으로서 플라즈마 처리 설비의 구성과 유지 보수 효율을 높일 수 있다.

Claims (13)

  1. 기판이 놓이는 서셉터가 내부에 구비된 중공형의 플라즈마 반응 챔버;
    플라즈마 반응 챔버의 외측 천정에 배치되며, 플라즈마 반응 챔버의 내부에 환형 플라즈마를 형성하도록 구조화된 페라이트 코어 조립체를 포함하고,
    상기 페라이트 코어 조립체는:
    동심원 구조를 갖도록 평면 배치되는 서로 다른 크기의 둘 이상의 환형 페라이트 코어; 및
    이웃하는 두 개의 환형 페라이트 코어에 양단이 연결되어 전체적으로는 방사형으로 배치되며 각기 유도 코일이 권선된 다수 개의 막대형 페라이트 코어;
    유도 코일로 플라즈마 유도를 위한 제1 주파수의 교류 전원을 제공하는 제1 전원 공급원을 포함하여,
    다수 개의 막대형 페라이트 코어에 집속되는 자기장은 이웃한 두 개의 환형 페라이트 코어를 통해서 플라즈마 반응 챔버의 내측으로 방사형으로 유도되고, 방사형으로 유도된 자기장 및 이에 의해 이차로 유도되는 전기장에 의해 플라즈마 반응 챔버 내부에 환형 플라즈마가 형성되는 자기 강화된 플라즈마 소오스.
  2. 제1 항에 있어서, 상기 플라즈마 반응 챔버로 공정 가스를 주입하기 위한 하나 이상의 가스 입구, 가스 입구는 서로 이웃하는 환형 페라이트 코어의 사이 영역으로 배치되는 자기 강화된 플라즈마 소오스.
  3. 제1 항에 있어서, 상기 제1 주파수는 10khz ~ 100MHz를 갖는 자기 강화된 플라즈마 소오스.
  4. 제1 항에 있어서, 상기 플라즈마 반응 챔버로 공정 가스를 주입하기 위한 가스 입구, 가스 입구는 서로 이웃하는 환형 페라이트 코어의 사이 영역으로 배치된 다수 개의 제1 가스 입구와 쳄버 천정 중심부에 배치된 제2 가스 입구를 포함하는 자기 강화된 플라즈마 소오스.
  5. 제4 항에 있어서, 상기 제1 및 제2 가스 입구는 서로 다른 종류의 공정 가스가 분리되어 입력되는 자기 강화된 플라즈마 소오스.
  6. 제2항 내지 제5 항 중 어느 한 항에 있어서, 상기 플라즈마 반응 챔버의 천정 구조는 이웃한 두 환형 페라이트 코어 사이가 높게 단차를 갖도록 구조화된 자기 강화된 플라즈마 소오스.
  7. 제6 항에 있어서, 상기 가스 입구의 하부로 가스 분배판이 설치되는 자기 강화된 플라즈마 소오스.
  8. 제1 항에 있어서, 상기 다수 개의 유도 코일은 직렬, 병렬, 또는 직렬 및 병 렬 혼합 방식 중 어느 하나의 방식으로 제1 전원 공급원에 연결되는 자기 강화된 플라즈마 소오스.
  9. 제1 항에 있어서, 상기 서셉터로 제2 주파수를 제공하는 제2 전원 공급원을 포함하는 자기 강화된 플라즈마 소오스.
  10. 제1 항에 있어서, 상기 서셉터로 제2 주파수를 제공하는 제2 전원 공급원과 제2 주파수와 서로 다른 제3 주파수를 제공하는 제3 전원 공급원을 포함하는 자기 강화된 플라즈마 소오스.
  11. 제9 항 또는 제10 항 중 어느 한 항에 있어서, 상기 제2 주파수는 제2 주파수는 50khz ~ 2MHz, 전력은 500W ~ 5kW를 갖고, 상기 제3 주파수는 10khz ~ 60MHz, 전력은 500W ~ 5kW를 갖는 자기 강화된 플라즈마 소오스.
  12. 제1 항에 있어서, 상기 플라즈마 반응 챔버의 천정은 전기적 불연속성을 형성하는 하나 이상의 절연체 영역을 포함하는 자기 강화된 플라즈마 소오스.
  13. 제1 항에 있어서, 상기 플라즈마 반응 챔버의 천정은 전도성 금속과 전기적 불연속성을 형성하는 하나 이상의 절연체 영역을 포함하는 자기 강화된 플라즈마 소오스.
KR1020060012234A 2006-02-08 2006-02-08 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스 KR100678696B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060012234A KR100678696B1 (ko) 2006-02-08 2006-02-08 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060012234A KR100678696B1 (ko) 2006-02-08 2006-02-08 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스

Publications (1)

Publication Number Publication Date
KR100678696B1 true KR100678696B1 (ko) 2007-02-06

Family

ID=38105376

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060012234A KR100678696B1 (ko) 2006-02-08 2006-02-08 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스

Country Status (1)

Country Link
KR (1) KR100678696B1 (ko)

Cited By (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140096908A1 (en) * 2012-10-10 2014-04-10 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US20160163512A1 (en) * 2014-12-09 2016-06-09 Applied Materials, Inc. Direct outlet toroidal plasma source
CN107004561A (zh) * 2014-12-09 2017-08-01 应用材料公司 具有直接出口环状等离子体源的等离子体处理***
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09263949A (ja) * 1996-03-25 1997-10-07 Anelva Corp プラズマ処理装置
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
KR20040110173A (ko) * 2003-06-18 2004-12-31 삼성전자주식회사 Icp 안테나 및 이를 사용하는 플라즈마 발생장치
KR20050096393A (ko) * 2004-03-30 2005-10-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 소스코일 및 이를 이용한 플라즈마 챔버

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09263949A (ja) * 1996-03-25 1997-10-07 Anelva Corp プラズマ処理装置
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
KR20040110173A (ko) * 2003-06-18 2004-12-31 삼성전자주식회사 Icp 안테나 및 이를 사용하는 플라즈마 발생장치
KR20050096393A (ko) * 2004-03-30 2005-10-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 소스코일 및 이를 이용한 플라즈마 챔버

Cited By (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10283325B2 (en) * 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US20140096908A1 (en) * 2012-10-10 2014-04-10 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
CN107004561B (zh) * 2014-12-09 2020-07-31 应用材料公司 具有直接出口环状等离子体源的等离子体处理***
CN107004561A (zh) * 2014-12-09 2017-08-01 应用材料公司 具有直接出口环状等离子体源的等离子体处理***
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN107004562A (zh) * 2014-12-09 2017-08-01 应用材料公司 直接出口环状等离子体源
US20160163512A1 (en) * 2014-12-09 2016-06-09 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US12009228B2 (en) 2015-02-03 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Similar Documents

Publication Publication Date Title
KR100678696B1 (ko) 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스
KR100486712B1 (ko) 복층 코일 안테나를 구비한 유도결합 플라즈마 발생장치
KR100515562B1 (ko) 링의 형상의 고밀도 플라스마의 생성원 및 그의 생성 방법
US8771538B2 (en) Plasma source design
US8742665B2 (en) Plasma source design
US9287096B2 (en) Methods and apparatus for a hybrid capacitively-coupled and an inductively-coupled plasma processing system
KR100797206B1 (ko) 대영역 플라즈마 소스에서의 균일하게 가스를 분배하기위한 장치 및 그 방법
JP2005019968A (ja) 高密度プラズマ処理装置
KR101496841B1 (ko) 혼합형 플라즈마 반응기
KR101092511B1 (ko) 플라즈마 처리장치 및 플라즈마 생성장치
JP2012018921A (ja) プラズマ発生装置
KR20100084108A (ko) 플라즈마 처리장치 및 플라즈마 생성장치
KR100742659B1 (ko) 자성코어를 이용한 유도결합 플라즈마 발생장치
KR20090037343A (ko) 자화된 유도결합형 플라즈마 처리장치 및 플라즈마 발생방법
KR100731994B1 (ko) 매설된 외부 페라이트 코어를 구비하는 플라즈마 처리 챔버
KR101109063B1 (ko) 플라즈마처리장치
KR101384583B1 (ko) 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
KR20110006070U (ko) 자화된 유도결합형 플라즈마 처리장치
KR20130007282A (ko) 플라즈마를 이용한 기판 처리장치 및 이를 이용한 유기 발광 표시장치의 제조 방법
KR101039232B1 (ko) 고밀도 플라즈마 발생장치
WO2009048294A2 (en) Magnetized inductively coupled plasma processing apparatus and generating method
KR20090021913A (ko) 유도 결합 플라즈마 소스가 내장된 서셉터 및 이를 구비한플라즈마 처리 챔버
KR20070121395A (ko) 유도 결합형 플라즈마 안테나
KR101446554B1 (ko) 다중 방전관 어셈블리를 갖는 플라즈마 챔버
KR101281191B1 (ko) 유도 결합 플라즈마 반응기

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130129

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140121

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150121

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee