KR100645619B1 - 듀얼 다마스크 시스템 후엣칭 세정조성물 및 방법 - Google Patents

듀얼 다마스크 시스템 후엣칭 세정조성물 및 방법 Download PDF

Info

Publication number
KR100645619B1
KR100645619B1 KR1020017000187A KR20017000187A KR100645619B1 KR 100645619 B1 KR100645619 B1 KR 100645619B1 KR 1020017000187 A KR1020017000187 A KR 1020017000187A KR 20017000187 A KR20017000187 A KR 20017000187A KR 100645619 B1 KR100645619 B1 KR 100645619B1
Authority
KR
South Korea
Prior art keywords
choline
composition
integrated circuit
copper
compound
Prior art date
Application number
KR1020017000187A
Other languages
English (en)
Other versions
KR20010080865A (ko
Inventor
캐더린 엠. 페이네
데이비드 제이. 말로니
쉬힝. 리
와이 문. 리
레슬리 더블유. 아크레스
Original Assignee
이케이시 테크놀로지 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이케이시 테크놀로지 인코퍼레이티드 filed Critical 이케이시 테크놀로지 인코퍼레이티드
Publication of KR20010080865A publication Critical patent/KR20010080865A/ko
Application granted granted Critical
Publication of KR100645619B1 publication Critical patent/KR100645619B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/04Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors
    • C23G1/06Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors
    • C23G1/061Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors nitrogen-containing compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/16Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions using inhibitors
    • C23G1/18Organic inhibitors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/963Removing process residues from vertical substrate surfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

콜린 수산화물과 같은 콜린 화합물에 기초한 새로운 세정 조성물이 제공되어서 듀얼 다마스크 제조문제를 해결한다. 듀얼 다마스크 구조의 엣칭 정지 무기층은 아래에 배치된 구리 상호연결부를 보호하며 더 양호한 세정을 허용한다. 엣칭 정지층을 활용한 2단계 엣칭 공정은 듀얼 다마스크 구조에서 ULSI제조 조건을 달성하는데 사용된다.

Description

듀얼 다마스크 시스템 후엣칭 세정조성물 및 방법{POST ETCH CLEANING COMPOSITION AND PROCESS FOR DUAL DAMASCENE SYSTEM}
본 발명은 금속 상호연결부를 포함한 반도체 디바이스 제조에 관계한다. 특히 본 발명은 다마스크/듀얼 다마스크 구조를 포함하는 구리 상호연결부에서 후엣칭 잔류물을 세정하는 조성물 및 방법에 관계한다. 본 발명은 또한 잘못 정렬된 텅스텐 플러그를 갖는 알루미늄 또는 알루미늄 합금 상호연결부와 같은 다른 후엣칭 잔류물 세정조성물에 관계한다.
축소된 크기의 디바이스(예, 0.18㎛기술)에서 핵심은 디바이스 성능의 한계인자인 상호연결부 RC지연시간이다. 이러한 지연은 트랙간에 저유전상수와 양호한 도체로서 구리 사용을 조합함으로써 개선될 수 있다. 본 출원은 이러한 상호연결부를 집적시키는데 나타나는 문제를 해결하고 후 유전체 엣칭 세정공정을 위한 새로운 전략을 제공한다. 구리 및 저-k 유전재료와 양립가능한 새로운 세정조성물 및 방법 개발이 공정 집적에 필수적이다.
구리는 건식 엣칭되기 어려우므로 다마스크 또는 듀얼 다마스크 구조 사용은 집적을 실현시키는 핵심이 된다. 내부 금속 유전재료로 유기폴리머와 같은 신규물질의 등장과 복잡한 유전재료층을 엣칭할 필요성 때문에 포토레지스트 제거 및 세정단계는 새로운 전략을 요구한다.
마이크로 회로 제조동안 포토레지스트 재료가 패턴화에 사용되어서 패턴을 적당한 재료상에 전달된다. 예컨대 상호연결부에서 적절한 재료는 전기 전도경로를 위해 금속이거나 전도라인간의 재료를 분리시키기 위해 유전체이다. 전통적인 상호연결부는 이산화실리콘과 같은 유전재료에 의해 분리된 알루미늄 또는 알루미늄 합금으로 제조된다.
최근에 개발된 상호연결부는 전도재료 및 저-k 유전재료(이산화실리콘의 유전상수보다 적은 유전상수(ε)를 갖는 유전체)로서 구리를 사용한다. 도1 및 2는 이 경우에 사용된 전형적인 구조를 보여준다. 구리 및 알루미늄을 집적시키기 위해서 포토레지스트(3)로부터 유전체(2)를 통해 패턴이 전달된다. 이후에 전도층에 의해서 갭이 충진된다. 이 과정을 다마스크라 부르며 (damascene) 한 수준의 상호연결부(단일 다마스크) 또는 바이어(via)라 불리는 수평 및 수직 상호연결부(듀얼 다마스크)를 집적시킨다. 바이어는 아래에 놓인 금속 라인(1)상부에서 항상 개방되며 상호연결부를 따라 전기저항을 최소화하기 위해서 바이어가 청결하게 유지될 필요가 있다.
미국특허 5,739,579; 5,635,423; 5,705,430 및 5,686,354에서 보조층을 유전체 스택(5,6)에 포함할 수 있는 구조 구축 방법이 발표되는데, 이들은 공통적으로 다음과 같은 문제가 있다:
ㆍ 제 2 금속층이 배치되기 전 금속을 손상시키지 않고 모든 후엣칭 잔류물(7,8)이 바이어로부터 세정될 필요가 있다.
ㆍ "오프닝(opening)"이라 불리는 엣칭 최종 단계동안 구리상부표면과 측벽상에 스퍼터링된 구리화합물(8)이 유전재료로부터 세정될 필요가 있다.
ㆍ 추가 가공을 위해 엣칭챔버로부터 주변대기로 웨이퍼를 전달시킬 때 바이어 저항을 최소화시키기 위해서 세정될 필요가 있는 산화된 구리화합물 CuO 또는 Cu2O가 생성된다.
구리는 이산화실리콘 및 기타 유전재료에 쉽게 확산되어 고장을 일으키므로(디바이스 "킬링") IC 제조시 구리 잔류물을 완전히 제거할 필요가 있다.
금속 불순물을 제거하거나 흡착을 방지하기 위해서 소량의 콜린 및 기타 화합물을 포함시킴으로써 (1 내지 5 중량%) 반도체 산업에서 사용되는 물질을 세정하는 방법이 발표된다(US 4,239,661, US 4,339,340, PAJ 6,163,495, PAJ 6,041,773, PAJ 2,275,631, PAJ 1,191,450). 포지티브 작용 포토레지스트 전개제로서 콜린 염기는 공지이다(US 4,294,911, US 4,464,461). 또한 콜린 염기가 박막층 형성을 위한 금속 엣칭제로서 작용할 수 있으며(PAJ 62,281,332, US 4,172,005)구리 엣칭시 엣칭챔버에 콜린원자를 첨가하면 공정 온도를 낮추어서 구리 산화를 최소화한다는 것도 공지이다. US 5,846,695는 집적회로 제조시 포토레지스트 및 포토레지스트 잔류물 제거를 위해 친핵성 아민, 당 또는 당 알콜과 조합으로 염소를 포함한 4차 수산화암모늄 수용액을 발표한다.
본 발명은 구리층상의 구멍과 유전재료 엣칭후 남겨진 잔류물을 세정시키는 것에 관계한다. 구리층상에 구멍을 형성하는 최종단계 이전에 주엣칭 잔류물 및 포토레지스트가 제거된다면 잔류물이 최소화될 수 있다. 이 경우에는 "구멍" 엣칭 단계에 의해 형성된 잔류물, 예컨대 구멍 하부에 있는 구리 농후 잔류물과 유전재료 표면상에 스퍼터링된 구리만 취급하면 된다. 그러나 주엣칭 직후에 구멍엣칭이 행해지면 잔류물은 더욱 복잡해진다. 이 경우에 스퍼터링된 구리뿐만 아니라 하부 잔류물(Cu, CuO, Cu2O를 포함하는)을 제거하고 주엣칭 잔류물(CFx, CHFx ,..)을 제거하기 위해서 후엣칭 처리가 필요하다. 또한 후엣칭 처리는 포토레지스트를 제거해야 한다.
반도체 산업에서 사용되는 기존의 세정조성물은 다음과 같은 이유 때문에 적합하지 않다:
ㆍ 아민 함유 제품은 구리와 양립할 수 없으며 노출된 영역에서 금속을 용해시킨다;
ㆍ 묽은 불화수소산 용액(DHF)은 유전체 측벽을 공격하여 측벽 폴리머와 CuO화합물을 제거하므로 디바이스의 칫수를 변화시킨다. 게다가 상기 용액은 Cu2O 또는 CFx화합물을 세정하는데 효과적이지 못하다.
구리가 노출되기 전 포토레지스트가 제거될 수도 제거되지 않을 수도 있다. 종래의 포토레지스트 제거기술은 다음과 같은 이유로 이상적이지 못하다:
ㆍ 산소 플라즈마 단계는 구리를 CuO 및 Cu2O상태로 산화시켜 바이어 저항을 증가시킨다,
ㆍ 산소 플라즈마 단계는 제어할 수 없는 방식으로 재료를 엣칭하므로 유기 유전체 재료에 치명적이다,
ㆍ N-메틸 피롤리돈 함유제품과 같이 포토레지스트 제거에 사용되는 용매는 유전상수 및 유기유전체 성질을 회복시키기 위해서 추가 경화단계를 필요로 한다.
고속 디바이스의 요구는 설계 크기를 축소시켰다. 요즈음 0.18㎛ 기술은 0.25㎛ 구멍 크기에 도달한다. 0.25㎛ 기술 도입 이래로 상호연결부는 상호연결부 비저항 및 인접한 상호연결부에 의해 유도된 RC지연 때문에 디바이스의 속도 제한 인자가 되었다. 더 낮은 저항의 상호연결부를 위한 방법은 상호연결금속을 알루미늄에서 구리로 전환시키는 것이다.
유사하게 인접한 금속선간의 정전용량 감소방법은 금속라인 사이에 있는 재료의 유전상수를 감소시키는 것이다. 이것은 새로운 저-k 재료를 사용함으로써 달성될 수 있다.
구리는 알루미늄(ρ=1.7 Ω.㎝)보다 양호한 전도도(ρ=1.7 Ω. ㎝)를 갖는 값싼 금속이므로 선택된다. 그러나 구리의 주요 문제점은 실리콘에 쉽게 확산되어서 전방 단부 디바이스에서 킬링 결함을 도입하며 건식 엣칭이 곤란하며 전통적인 공정으로 집적시키기가 어렵다는 것이다. 또한 구리는 주변 조건하에서 알루미늄처럼 산화물 부동태층을 형성하지 못하므로 사용하기가 매우 어렵다.
갭-충진 측면에서 다양한 후보물질이 제안되었지만 당해산업은 저-k 유전재료를 선택하지 않는다. 저 유전상수를 달성하기 위한 일반적인 추세는 실리콘이 적고 탄소가 많은 재료를 사용하는 것이다. SiO2[ε=4], SiOF[ε=3.5]와 같은 무기재 료로부터 HSQ, MSQ[3.0<ε<3.5]와 같은 실세퀴옥산(silsesquioxane)물질, 벤질 시클로벤젠(BCB) 또는 저-k 실리콘(SiLK)(ε=2.7)과 같은 유기물질 쪽으로 대체되는 추세이며 최종적인 저-k 값은 공기갭으로 달성된다.
SIA Roadmap은 1998년 후반부에 구리집적 및 저-k 재료를 써서 수행된 작업의 통합을 예견하였다. 여기서 선택된 전략은 먼저 구리를 도입하고 이후에 저-k 재료로 공정의 전달이 행해진다. 그러나 두가지 프로젝트가 함께 수행되며 최종 공정에서 사용될 모든 재료의 조건을 고려해서 세정전략이 개발되어야 한다.
우리는 과거 수년간 디자인이 유전층에 엣칭되고 이후에 도선이 채워지고 평탄화된 다마스크형 구조의 출현을 지켜보았다. 듀얼 다마스크 구조는 1단계 침적으로 라인과 바이어를 둘다 포함시킨다. 이것은 공정단계의 수를 감소시키므로 비용효율적이다. 그러나 오늘날 이러한 구조가 출현한 주요 이유는 구리도입이 가장 용이한 방식이라는 사실 때문이다.
반사방지코팅, 접착 촉진층, 수분 장벽, 확산장벽, 광택 정지층, 매장된 엣칭 마스크등이 포함된 다양한 듀얼 다마스크 구조가 존재한다. 이들이 사용될지 여부와 어떠한 재료(SiOxNy 또는 SixNy)가 사용되어야 하는지 여부는 저-k 재료의 최종 선택에 달려있다.
발명의 요약
따라서 반도체 디바이스 제조시 구리가 노출될 때 형성된 구리 함유 폴리머 잔류물과 후엣칭 잔류물을 제거하기 위한 조성물 및 방법 제공이 본 발명의 목적이 다.
상호연결부에 사용되는 유전재료 및 구리와 양립할 수 있는 세정조성물 및 세정방법 제공도 본 발명의 목적이다.
본 발명의 또다른 목적은 저-k 유전재료와 양립가능하며 FT-IR 스펙트럼, 유전상수, 굴절지수(RI) 또는 사용 후 재료의 두께를 크게 변화시키지 않는 세정조성물 및 세정방법을 제공하는 것이다.
바이어의 측벽 및 상부에 있는 잔류물을 효과적으로 제거하는 세정조성물 및 세정방법을 제공하는 것이 또다른 본 발명의 목적이다.
바이어 하부로부터 후엣칭 잔류물을 효과적으로 제거하는 세정조성물 및 세정방법을 제공하는 것이 또다른 본 발명의 목적이다.
본 발명의 한 측면에 따르면 듀얼 다마스크 구조를 세정하는 종래의 방식은 이러한 재료조합에 효과적으로 적용될 수 없음이 발견되었다. "2단계 엣칭 공정"이 ULSI제조 조건을 달성하는데 사용될 수 있다.
본 발명의 또다른 측면에 따라서 듀얼 다마스크 제조문제를 해결하기 위해서 새로운 세정화학이 제공된다. 이 작업은 본 발명에서 두 개의 주재료인 구리 및 SiLK와 양립가능한 후보물질로 시작한다. 이러한 신규 세정화학이 다마스크 구조에서 평가된다. 이 작업은 주사전자현미경(SEM), 투과 전자 현미경(TEM), 구리를 집적시킨 특징부에 대한 이동시간 2차 이온 질량분석계(TOF-SIMS), SiLK 집적에 대한 FT-IR 및 C(V)측정으로 이루어진다. 이러한 측면에서 집적회로로부터 잔류물을 제거하는 조성물은 염소화합물, 물 및 유기용매를 포함한다.
본 발명의 또다른 측면에서 집적회로로부터 잔류물 제거방법은 집적회로로부터 잔류물을 제거하기에 충분한 시간 및 온도 조건하에서 콜린화합물, 물 및 유기용매를 포함하는 조성물로 집적회로를 접촉시키는 단계를 포함한다.
본 발명의 또다른 측면에서 듀얼 다마스크 구조 하부에 있는 엣칭 정지 무기층이 아래에 배치된 구리 상호연결부를 보호하기 때문에 더 양호한 세정을 진행시킬 수 있다. 이러한 측면에서 집적회로제조방법은 집적회로의 구리 전도라인위로 제 1 실리콘 화합물 엣칭 정지층을 형성하는 단계를 포함한다. 제 1 실리콘 화합물 엣칭 정지층 위로 제 2 실리콘화합물 벌크 유전체가 형성된다. 제 2 실리콘 벌크 유전체가 엣칭되면 엣칭 정지층이 노출된다. 집적회로로부터 잔류물이 제거된다. 엣칭 정지층이 엣칭 제거되면 구리전도 라인이 노출된다. 유효량의 염소 화합물을 함유한 잔류물 제거 조성물을 써서 집적회로로부터 잔류물이 제거된다.
도 1 은 공지 기술의 듀얼 다마스크 구조의 단면도이다.
도 2 는 본 발명에 따라 변형된 듀얼 다마스크 구조의 단면도이다.
도 3 은 본 발명에 의한 결과를 보여주는 SEM 및 TEM 사진이다.
도 4 는 본 발명에 의한 구리오염감소를 보여주는 TOF-SIMS 분석이다.
도 5 및 도 6은 본 발명의 결과를 보여주는 SEM 사진이다.
도 7-10은 본 발명의 결과를 보여주는 FT-IR 사진이다.
도 11-22는 본 발명의 결과를 보여주는 SEM 사진이다.
도 23-24는 본 발명의 결과를 보여주는 그래프이다.
도 25a-33은 본 발명의 결과를 보여주는 SEM 및 TEM 사진이다.
도 34-35는 본 발명의 결과를 보여주는 그래프이다.
도 36-37은 본 발명의 결과를 보여주는 SEM 사진이다.
도 38-39는 본 발명의 결과를 보여주는 그래프이다.
도 40은 본 발명을 사용하여 수득되는 x-선 광스펙트로스코피(XPS)분석결과를 보여준다.
본 발명의 세정조성물 및 방법에서 콜린 화합물은 콜린 수산화물, 콜린 중탄산염 또는 콜린 염화물과 같은 수산화물 또는 염형태이다. "콜린 화합물"은 테트라메틸암모늄 히드록사이드(TMAH), 테트라부틸 암모늄 히드록사이드(TBAH), 및 이의 염과 같은 4차 암모늄 화합물을 포함한다.
본 발명 실시에 적합한 유기용매는 디메틸 술폭사이드, 에틸렌 글리콜, 에틸렌 글리콜 알킬 에테르, 디에틸렌 글리콜 알킬 에테르, 트리에틸렌글리콜 알킬 에테르, 프로필렌 글리콜, 프로필렌 글리콜 알킬 에테르, N-치환 피롤리돈, 에틸렌 디아민 및 에틸렌 트리아민과 같은 극성용매를 포함한다. 당해 분야에서 공지된 다른 극성 용매도 본 발명의 조성물에 사용될 수 있다.
구리가 노출된 다마스크 구조를 세정하는데 부식 방지제가 조성물에 포함될 수 있다. 부식 방지제는 구리 부식을 방지하는데 사용되며 다양한 화합물에서 선택 된다. 특히 다음 구조식의 화합물이 사용될 수 있다.
Figure 112001000331136-pct00001
여기서 X,Y,Z 는 C,N,O,S 및 P에서 선택된다. 이러한 조건하에서 원자가 및 부속 R기가 적절하게 설정된다. 부속R기(R1-R5)는 H, 치환된 C1-C6 직쇄, 측쇄 또는 시클로 알킬, 알케닐 또는 알키닐기, 직쇄 또는 측쇄형 알콕시기, 치환형 아실기, 직쇄 또는 측쇄형 알콕시기, 아미딜기, 수산기, 할로겐, 카르복실기, 알콕시알킬기, 알킬아미노기, 알킬술포닐기, 술폰산기 또는 이러한 화합물의 염에서 선택된다. 한 구체예에서 X,Y 및 Z는 각각 질소, 질소 및 탄소이고 R1-R5는 수소이다. 또다른 구체예에서 X,Y 및 Z는 질소이고 R3는 수소이고 R4 및 R5는 벤젠링을 구성한다.
또다른 부류의 구리 부식 억제제인 히드록시 벤젠이 본 발명에서 사용될 수 있다.
Figure 112001000331136-pct00002
여기서 n=1-4이고, R6는 H, 치환된 C1-C6 직쇄, 측형 또는 시클로 알킬, 알케닐 또는 알키닐기, 직쇄 또는 측쇄형 알콕시기, 치환형 아실기, 직쇄 또는 측쇄형 알콕시기, 아미딜기, 할로겐, 카르복실기, 알콕시알킬기, 알킬아미노기, 알킬술 포닐기, 술폰산기, 또는 이의 염에서 선택된다. 이러한 부식 방지제의 예는 카테콜, t-부틸 카테콜 및 벤조트리아졸을 포함한다.
세정조성물은 2 내지 12중량%의 히드록실아민 또는 히드록실아민염을 포함할 수 있다.
본 발명의 조성물은 10 내지 50중량%의 콜린화합물, 10 내지 80중량%의 물, 20 내지 80중량%의 유기용매를 포함한다. 또한 부식 방지제가 0.5 내지 5중량%의 양으로 제공될 수 있다.
전통적인 세정 용매는 부동태층을 생성할 수 없으므로 구리에 대해서는 적합하지 않다. 왜냐하면 이들 용매는 보통 공격성 착화제를 함유하기 때문이다. 존재하는 상호연결부를 손상시키지 않고 구리 엣칭 잔류물을 제거하는 새로운 후보 조성물을 평가하기 위해서 스크린잉이 수행된다. 4개의 포인트 프로브를 사용하는 쉬이트 저항 측정에 의해 블랭킷 구리에 대한 엣칭속도가 측정된다.
히드록실아민을 포함한 일부 용매는 구리에 대해 사용할 수 없다. Cu와 히드록실아민 함유 화합물의 비상용성은 두 가지 인자의 결과이다: 환원제로서 히드록실아민의 세기와 금속이온을 착화 및 용해시키는 경향(아민용매 및 기타 착화제와 함께). 고산화 상태(Cu)의 구리함유 엣칭 잔류물이 Cu으로 환원되어 용해되고 물이나 용존 산소에 의해 Cu로 재산화된다.
2CuOxNy + 2H2NOH + 2OH- -> 2Cu + N2 + (2y)F- + (4+2x)H2O
Figure 112001000331136-pct00003
반응식 1은 화학양론이 명료하지 않은 고산화된 비정질 Cu 잔류물을 파괴하며 반응식 2는 Cu를 Cu로 산화시킨다(특히 물의 존재하에서). 동시에 용존 산소나 물은 히드록실아민 함유 화합물을 포함한 강력한 착화제에 의해 용해될 수 있는 산화된 형태로 구리(Cu0)를 산화시킬 수 있다. 중요한 것은 Cu를 용액으로 들어가게 하는 열역학적 동력을 차단시키면서 산화된 Cu잔류물을 용해시킬 수 있는 조성물을 제조하는 것이다. 이것은 사용된 이온 매체를 변화시켜서 달성된다.
Figure 112001000331136-pct00004
1. 구리를 포함한 다마스크형 구조의 세정
본 발명의 주목적은 구리가 노출된 다마스크형 구조를 세정하는 것이다. 제 1 실시예(도 3)에서 샘플은 엣칭된 TEOS(이산화실리콘형 유전체)단일층을 갖는 블랭킷 구리이다. 엣칭은 두단계로 실행된다: 벌크 유전체를 엣칭하고 구리가 질화물 박층에 의해 보호되는 동안 포토레지스트와 후엣칭 잔류물이 용매속으로 제거되는 세정단계가 수행되고, 이후에 짧은 시간 엣칭하여 구조물을 구리까지 개방하고 구조물의 하부 및 측벽상에 최소량의 잔류물을 남기는 단계가 수행된다.
콜린 수산화물 용액(용액 B6)이 사용되어 10분간 50℃에서 잔류물을 성공적으로 제거한다(도3).
이러한 구조의 XPS(x-선 광스펙트로스코피)분석은 CuO 및 CuO2 화합물 제거시 본 발명의 효과를 보여준다(도4).
표 1은 이러한 구조 세정에 사용되는 다양한 조성물과 세정효과 및 구조의 하부에서 구리공격의 결과를 보여준다. SEM 영상을 분석하여 결과가 0 내지 10등급으로 분류된다. 등급0은 분량이고 10은 양호를 의미한다. 그러나 세정이 불량할지라도 잔류물이 구리를 보호하므로 부식억제성은 양호하다. 예컨대 순수한 물(A1)의 경우이다.
용액 A1, A2, A3, A4 및 A5에서 콜린 농도가 20%에 도달할 때 세정 효율이 증가한다. 그러나 이러한 농도는 용액표면에 얇은 거품을 생성하며 프로필렌 글리콜과 같은 용매 첨가는 세정효율을 감소시키지 않고 거품을 감소시킨다(용액 B1, B2, B3, B4, B5 및 B6). 그러나 콜린 수산화물 원료와 함께 사용되는 안정화제에 따라 거품이 감소되며 원료가 적절하게 선택될 경우 용액은 추가 용매를 필요로 하지 않을 수 있다.
본 발명의 세정용액은 50℃미만에서 양호한 결과를 가져온다. 시간은 중요하지 않으며 잔류물 제거의 난이도에 따라 10 내지 30분의 공정시간이 추천된다.
혹은 TMAH(테트라메틸 암모늄 히드록사이드) 또는 TBAH(테트라부틸 암모늄 히드록사이드)와 같은 다른 4차 암모늄을 사용해서 만족스러운 세정결과를 얻을 수 있다.
표 1a
다양한 용액의 후엣칭 잔류물 세정 및 구리공격에 대한 결과
(등급 0 : 불량, 등급)
용액 공정온도 공정시간 세정효율 구리부식
A1 80 15 0 10
E7 80 30 0 5
E36 70 10 7 10
D21 45 10 10? 10?
D11 50 10 7 10
D12 50 10 9 10
D8 70 10 9 5
D10 45 10 5 5
D8 50 10 5? 5
D22 45 15 7 10
D23 45 15 2-5 10
D24 45 15 0-2 10
D25 45 15 0 10
D13 45 15 7 10
D14 45 15 0-2 5
D15 45 15 0 10
D16 45 15 9 9
D17 45 15 7 10
D18 45 15 2-5 10
표 1b
B6 50 10 10 9
A2 50 10 7 2
A3 50 10 5 2
A4 50 10 10 9
A5 50 10 9 10
B1 25 5 7 9
B1 75 5 9 9
B1 75 25 7 10
B2 25 5 5 10
B2 75 5 5 10
B2 75 25 5-7 7
B3 25 5 0 10
B3 75 5 5 10
B3 75 25 5 10
B4 25 5 2-5 10
B4 75 25 2-5 10
B5 25 5 0 10
B5 75 5 7-9 10
B5 75 25 7-9 10
B5 50 10 10 9
B6 25 5 9 10
B6 75 5 7 10
B6 75 25 7 10
C6 50 10 7 5
C1 50 10 7 9
C2 50 10 7 7
C3 50 10 7 5
C4 50 10 7-9 9
C8 50 10 7 5
C9 50 10 7 7
C10 50 10 5 10
제 2 실시예에서 구조는 1 단계로 엣칭되어 구리를 노출시킨다. 도 5는 구조의 하부에서 발견되는 잔류물을 보여준다. 도 6은 본 발명이 사용된 조건하에서 이러한 잔류물을 세정할 수 없음을 보여준다.
듀얼 다마스크 구조는 상호연결부 제조를 위한 공정단계를 감소시키는 장점이 있다. 그러므로 공정 엔지니어는 이러한 비용잇점을 보존하기 위해서 가장 간단한 구조를 연구한다. 또한 너무 많은 수의 층을 도입하면 금속-유전체 재료간 유전 상수를 증가시킨다. 이러한 증가는 20% 정도가 될 수 있으며, 이 경우에 신규 유전 재료 사용에 의해 수득된 장점이 손실된다.
가장 간단한 구조는 라인 및 바이어를 아래에 놓인 구리까지 엣칭하는 것으로 구성된다. 이 경우에 하드마스크가 매장되어서 바이어를 패턴화하는데 사용되며 포토레지스트는 라인을 패턴화하는데 사용된다.
전형적인 예는 도25에 도시되는데 구리상의 개방부는 "버섯형"잔류물을 형성한다.
이러한 구조의 높은 가로세로비, 웨이퍼의 엣칭 불균일성 및 엣칭 래그(lag)는 구리속으로 구조의 최소한의 과다엣칭을 요구하므로 해결될 두 가지 문제를 제시한다: 첫째 구리가 구조물(측벽 및 상부)에 스퍼터링되고 유전재료속으로 확산하여 결국 전방단부 디바이스에 도달하며; 둘째 이 경우에 생성된 후엣칭 잔류물은 제거하기 더욱 어렵다. 이들은 벽에 강하게 부착되고 복잡한 조성을 가진다. (Cu, CuO, Cu2O, 유전체에서 나온 실리콘, 포토레지스트에서 나온 탄소, 엣칭가스에서 나온 불소화학종).
이러한 잔류물에 대한 다양한 종래 세정처리는 만족스럽지 못한 결과를 준다. 그러므로 공정 집적 측면에서 구리까지 직접 1단계 엣칭하는 것은 이상적이지 않다.
선택된 전략중 한가지는 구조의 하부에 주엣칭 단계의 엣칭 정치층으로 사용되는 얇은 SixNy층을 도입하는 것이다. 이것은 유전체 엣칭시 발생된 엣칭 잔류물을 구리상의 개방부에서 발생된 잔류물과 분리시키면서 포토레지스트를 제거할 수 있 게 한다.
동시에 구리 노출이 안된 상태에서 포토레지스트가 제거될 수 있다. 천연 구리산화물층의 약함은 포토레지스트 제거단계를 문제가 되게 한다. 사실상 전통적인 포토레지스트 제거방법(플라즈마 O2)은 대부분 금속을 산화시키고 공격한다.
DUV 248 ㎚ ASML/90 스테퍼상에서 리소그래피가 수행되고 TEL Unity 85 DRM상에서 다마스크 구조가 엣칭된다. 포토레지스트는 하류 산소 및 형성가스 플라즈마(IPC Branson 3500L)의 조합에 의해 제거되고 SixNy층 조기 천공 가능성을 보상할 구리 양립성 제품이 뒤따른다. PosistripⓡEKCⓡLE가 15분간 60℃에서 SEMITOOL로부터 WSST 640에서 사용된다.
이제 나머지 잔류물은 쉽게 제거된다. 그러나 제 2 엣칭단계에서 구리가 노출되므로 구조의 측벽상에 구리가 스퍼터링되는 문제가 남아있다. 이 단계에서 발생된 잔류물은 다량의 구리를 포함한다. 도 26의 TEM사진에서 알 수 있듯이 최종 엣칭단계는 구조의 측벽 및 상부에 다량의 구리 잔류물을 스퍼터링한다. 이러한 오염은 다음 금속화 단계 이전에 제거되어야 하며 확산장벽 아래에 포획된다.
이러한 형태의 잔류물을 제거하기 위해서 다양한 논문은 묽은 HF용액 사용을 보고한다. 이러한 용액의 세정능력은 전방단부 처리용으로 잘 알려져 있지만 세정이 유전체까지 공격해서 임계크기를 감소시킨다는 점에서 상호연결부에서는 문제가 된다. 또한 이들 용액은 Cu2O형 잔류물 제거시 효과적이지 못하다.
본 연구에서 사용된 샘플은 단일 TEOS다마스크와 CVD구리층으로 구성된다. SEMITOOL에서 10분간 50℃에서 용액 B6가 사용되어 잔류물을 제거한다.
TEM 단면(도27)은 바이어의 하부와 측벽에서 용액 B6의 세정효율을 보여준다. 바이어의 하부에 있는 금속의 공격은 손상 또는 산화된 구리를 제거하는 능력 때문이다. 구리상의 바이어 개방동안 측벽상에 일부 구리가 스퍼터링될 뿐만 아니라 노출된 구리의 구조가 엣칭에 의해 기계적으로 변화된다. 바이어 저항을 증가시킬 이러한 변형된 재료를 제거할 필요가 있다. 측부 공격은 50㎚ 미만이며 바이어는 다시 새로운 구리로 채워지므로 재료의 형상은 문제가 안된다.
표면 상부에 대한 TOF-SIMS분석은 세정 전 9 ×1013원자/㎠로부터 용액 B6사용 후 9 ×1013원자/㎠로 구리오염의 감소를 보여준다. 설비의 탐지한계는 1012원자/㎠이다.
게다가 구리오염 조절부로서 블랭킷 실리콘웨이퍼가 처리동안 SEMITOOL에 도입된다. 웨이퍼의 최초 산화물의 오염은 증기상 분해 총 반사 x-선 형광(VPD-TXRF)에 의해 분석되며 조성물은 구리오염을 제거할뿐만 아니라 오염물을 그 밖의 곳에 재침전시키지도 않음을 보여준다.
도28-33은 노출된 넓은 구리 영역(도28-29), 트렌치(30-31), 및 구멍(32-33)에 대한 용액B6의 세정효율을 보여준다. 샘플 단면 절단에 의한 산물은 일부 TEOS라인을 파괴하며, 엣칭 공정에 노출된 구리와 유전체에 의해 보호된 구리의 비교를 허용한다. 용액B6는 잔류물을 효과적으로 세정하며 구리를 공격하지 않으며(도23) 그레인 경계의 고해상도가 도시된다.
도 38 및 39는 2 레벨의 구리를 갖는 집적회로에 대한 전기적 결과를 보여준 다. 바이어 저항은 2층간 접촉부에서 세정효율의 측정치이다. 용액 B6로 세정 후 바이어 저항은 이론적 바이어 저항에 대응하므로 바이어 칫수가 보존되면서 세정이 양호함을 입증한다.
도 40은 용액 B6가 후엣칭 잔류물을 감소시키는 효율을 보여준다. 곡선(1)은 Cu2O에 대해서 932.5 eV에서 높은 피크세기를 갖는 주변대기에 놓인 블랭킷 구리 조성을 보여준다. 곡선(2,3)은 각각 34초, 68초동안 O2/N2플라즈마 엣칭후 구리 조성을 보여준다. 잔류물은 935eV에서 탐지된 CuO로 구성된다. 곡선(4,5)는 플라즈마 엣칭과 2분 및 20분간 용액 B6에서 세정한 후 구리 표면의 조성을 보여준다. 이것은 CuO잔류물이 덜 산화된 상태로 환원됨을 보여준다.
2. 엣칭속도
본 발명의 주목적은 구리가 노출될 때 다마스크형 구조를 세정하는 것이다. 이러한 이유로 일련의 용매가 구리와의 양립성 테스트를 받는다. 블랭킷 샘플에 대해 4개의 포인트 프로브를 사용하여 용액에서 처리된 시간에 대한 재료의 쉬이트 저항을 측정함으로써 금속에 대한 엣칭속도가 측정된다. 엣칭 속도가 표2에서처럼 분당 옹스트롬 단위(Å/분)로 전환된다.
콜린용액(A5, D19, 또는 D3)은 구리재료와 양립성이어서 세정동안 구리가 용액에 노출될 때 구리재료를 공격하지 않는다.
콜린 수산화물의 농도는 10내지 50%이고 프로필렌글리콜과 같은 추가 용매는 0%(용액 A5)내지 50%(용액 B6, C2, C4)이며 구리와 양호한 양립성을 보인다(표 2).
본 발명은 집적회로 제조에 사용된 대개의 저-k 유전재료와 양호한 양립성을 보인다. 유전재료와의 양립성은 다음 두 가지 방법으로 평가된다:
ㆍ 타원측정에 의한 두께 측정(표3)
ㆍ FT-IR에 의한 재료분석(도 7 내지 10)
금속의 경우에 용액에서 처리 시간에 따라 두께 변화가 측정되고 결과는 Å/분 단위로 제시된다(표 4). 처리된 재료의 FT-IR 스펙트럼이 초기 스펙트럼과 비교되어서 재료의 구조 또는 화학적 변화를 탐지한다.
테스트 받는 재료는 염소수산화물 50%(A5), 프로필렌글리콜 100%(E13), 둘의 혼합물(용액 B6)에서 이산화실리콜(TEOS), 히드로젠 실세스퀴옥산(HSQ), 메틸 실세스퀴옥산(MSQ) 및 유기 유전체이다(도 7-10).
이들 용액은 TEOS, MSQ 및 유기 유전체와 양호한 양립성을 보인다. 그러나 HSQ의 FT-IR은 3500㎝-1 근방에서 약간의 수분 흡수를 보인다(재료에서 반점이 관찰된다). 패턴화 된 샘플이 이들 용액에서 처리되면 이 재료의 상승이 예견된다.
C(V)곡선은 전압에 대한 금속/유전체/실리콘으로 구성된 구조의 정전용량을 측정한다. 이 곡선은 응력조건하에서 유전체를 나타낸다. 도35는 용액 B6를 사용한 처리가 유기유전재료의 성질을 변화시키지 않으며 히스테리시스가 유도되지 않음을 보여준다.
이들 결과는 본 발명이 최신 상호연결부에서 구리를 포함시키는데 사용되는 대개의 재료와 양립할 수 있음을 보여준다.
표 2b
E21 E22 E23 E24 E27 E28 E29 E30 E31 E34 E35 A5 B5 B6 C1 C2 C3 C6 C8 C9 C10 80 80 80 80 80 80 80 80 80 80 80 45 45 45 45 45 45 45 45 45 45 -58.32 0.15 0.82 -2.36 6.03 -0.80 25.90 4.17 -40.15 8.69 7.45 0.8 0 0.3 0 13 8 0.7 6 0 6
표 3
선택된 용액에서 유전재료와 금속의 엣칭속도
유전재료 금속
# TEOS HSQ MSQ SiLK Cu Ti
E8 0.0 4.0 -0.3 -23.7 1.1
E1 ? 5.3 -1.9 -15.4 0.0
E2 0.0 4.8 -1.0 0.2 6.7
E4 0.9 6.4 10.5 -0.9 17.0
E7 0? ? 0.6 53.5
E5 2.4 9.2 -2.3 9.0
B6 -0.4 Spots/ lift off 1.9 0.3 -3.6 0
A5 -0.4 0? 9.5 -1.4 0.8
E13 0.3 1.7 1.0 -0.1 -1.4
저-k 유전재료와 양립성이 되도록 새로운 조성물이 개발되었다. SiLK 의 도입 때문에 용액 B6와 이들 재료의 양립성을 연구하는데 특별한 노력이 필요하다. 도34는 침전된 대로의 재료(기준)와 용액B6에서 처리 후(30분간 50℃에서) 재료의 FT-IR 스펙트럼을 보여준다. 그래프에서 처리 후 재료의 구조적 변화가 관찰되지 않음을 알 수 있다.
유사하게 SiLK 의 유전상수 변화가 수은 프로브 방법에 의해 뒤따른다. 수은 프로브는 수은 소적과 벌크 실리콘간의 유전체 정전용량을 측정한다. 유전상수는 다음 방정식으로 계산된다:
Cox = eoerA/t,
Cox = 측정된 정전용량
eo = 진공의 유전상수
er = 실제 유전상수(k)
A = 수은 소적의 표면적
t = 유전체 두께
용액 B6에서 처리 후 초기값 2.7로부터 유전상수에서 변화가 없다.
C(V)곡선은 응력조건하에서 유전체의 거동을 보여준다. 도35의 C(V)곡선은 용액 B6에서 처리시 재료에 히스테리시스가 유도되지 않으므로 재료가 변화되지 않음을 보여준다.
샘플 처리 전(도36)과 샘플 처리 후(도37)간의 형태 변화가 관찰되지 않으므로 패턴화 된 SiLK상의 테스트(도 36-37)는 블랭킷 SiLK를 확증한다. 용액 B6는 10분간 50℃에서 SEMITOOL장치에서 사용된다.
새로운 상호연결재료로서 구리의 도입은 표준 처리에 대한 도전이므로 새로운 전략을 요구한다. 최적의 결과를 위해서 서로 조합으로 엣칭 단계와 세정단계가 재설계될 필요가 있다. 본 발명은 엣칭시 나타나는 문제를 처리한다: 전통적인 세정 처리로 제거하기 곤란한 잔류물 생성. 새로운 엣칭 전략은 "2단계 엣칭"공정으로서 보호층이 잔류물 제거를 용이하게 한다. 이러한 공정을 위해서 새로운 조성물이 개발되었다. 용액 B6와 같은 새로운 조성물은 금속을 손상시키지 않으면서 SiLK와 완전 양립성으로 구리 함유 후엣칭 잔류물을 효과적으로 세정한다.
3. 포토레지스트 제거
포토레지스트가 피복된 샘플에서 포토레지스트를 제거하는 테스트가 행해진다. 이 실시예에서 샘플은 이중층 TEOS에서 엣칭된 듀얼 다마스크 구조이다. 표4의 용액이 다양한 정도로 포토레지스트를 공격하는데 성공적이다:
ㆍ 농축된 콜린 수산화물 용액(A5)은 ㆍ를 성공적으로 제거한다(도11-12).
ㆍ 다른 콜린형 용액은 저농도에서도(10% 콜린 염화물(D2), 3% 콜린 중탄산염(D20)) 포토레지스트를 가늘게 하는데 효과적이다(도13-14).
ㆍ 디메틸 술폭사이드(DMSO)와 또는 모노에탄올아민(MEA)과 같은 용매와 혼합된 콜린 수산화물을 저농도(2.3%)로 함유한 용액(용액 D4 또는 용액 D6)은 포토레지스트를 들어올리는 효과가 있다(도 15-16).
ㆍ 콜린(수산화물, 염화물 또는 중탄산염)용액은 고농도에서 포토레지스트를 제거할 수 있다.
표 4
포토레지스트 제거 평가
용액 온도 시간 결과
A5 65℃ 15분 P/R 제거 도12
D2 65℃ 15분 P/R 공격 도13
D20 65℃ 15분 P/R 공격 도14
D4 65℃ 15분 P/R 상승 도15
D6 65℃ 15분 P/R 상승 도16
염기 용액에 소량의 히드록실아민의 첨가는 용액과 구리의 양립성을 유지하면서 제거효율을 증가시킨다. 표 5는 패턴화된 이산화실리콘과 블랭킷 SiLK 필름(유기 저-k유전체)으로 구성된 샘플2에 대한 결과를 보여준다. 이 결과는 이들 용액이 유기 유전체를 손상시키지 않으면서 포토레지스트를 효과적으로 제거할 수 있음을 보여준다.
표 5
샘플 2(SiLK/SiO2)의 포토레지스트 제거 및 구리와 용액의 양립성 결과
조성 제거 결과 양립성
용액 히드록실 아민 용매 베이스 SiLK/하드마스크 구리
F1 0% 50% 25% 25%
F2 0% 50% 50%
F3 2% 48% 12% 38%
F4 5% 45% 12% 38%
F5 5% 48% 47%
F6 5% 5% 90%
F7 5% 12% 83%
F8 5% 24% 71%
F9 5% 95%
F10 5% 50% 45%
F11 5% 48% 24% 23%
F12 10% 40% 12% 38%
F13 13% 37% 12% 38%
F14 15% 35% 12% 38%
F15 20% 50% 30%
F16 25% 12% 63%
F17 30% 46%
F18 40% 60%
F19 50% 12% 38%
F20 50% 12% 38%
∨ 양호
▼ 불완전
□ 테스트 안됨
X 비양립성
용매는 디메틸 아세트아미드(DMAC), DMSO, 프로필렌글리콜(PG), 디프로필렌글리콜 모노메틸 에테르(DPM), N-메틸피롤리돈(NMP) 또는 시클로헥실 피롤리돈(CHP)이며 베이스는 모르폴린, MEA, 디에탄올아민, 디글리콜아민, 콜린 중탄산염, 테트라메틸 암모늄 히드록사이드(TMAH) 또는 콜린 수산화물로 구성된다.
4차 수산화암모늄 화합물과 같은 강염기와 2 내지 12% 히드록실아민으로 구성된 조성물이 유기 재료가 노출된 무기 기질상의 질긴 포토레지스트 제거에 사용될 수 있다. 이 조성물은 구리 및 유기재료 둘다에 대해 양립성이다.
4. 금속 엣칭 후 잔류물 제거
금속 엣칭 후 폴리머 제거 테스트가 수행된다. 이 실시예에서 엣칭된 금속은 후엣칭 잔류물을 세정하기가 용이한 알루미늄 라인이다(도17). 묽은 콜린 중탄산염 용액(3% [D20])내지 5% [D21])은 약 5분간 실온에서 처리로 폴리머를 성공적으로 제거한다(표 6, 도18).
그러나 질긴 더 질긴 잔류물을 더 높은 온도 또는 더 높은 농도의 용액이 필요하다. 어느 경우든 알루미늄 또는 알루미늄 합금 라인과 양립성을 유지하기 위해서 주의가 필요하다.
표 6
금속 엣칭 후 후엣칭 잔류물 제거효율
용액 온도 시간 세정결과
D20 25℃ 5분 양호 도18
D21 25℃ 5분 양호 도면없음
5. 바이어 엣칭후 잔류물제거
표 8
텅스텐 플러그 부식성 평가
용액 온도 시간 라인부식 플러그부식
D20 실온 5분 부식없음 부식없음 도20
D21 실온 5분 부식없음 부식없음 도21
D26 65℃ 15분 부식없음 공격감소 도22
용액 요약:
용액 콜린 수산화물 농도 물농도
A1 0% 100%
A2 5% 95%
A3 10% 90%
A4 20% 80%
A5 50% 50%
용액 콜린 수산화물 농도 물농도 프로필렌 글리콜 농도
B1 15% (70+15)% 0%
B2 20% (60+20)% 0%
B3 25% (50+25)% 0%
B4 20% (10+20)% 50%
B5 20% (35+20)% 25%
B6 25% 25% 50%
용액 콜린 수산화물 농도 물농도 프로필렌 글리콜 농도 기타 (벤조트리아졸)
C1 20% (20+20)% 40%
C2 10% (30+10)% 50%
C3 10% (79+10)% 1% BTA
C4 10% (29+10)% 50% 1% BTA
C6 20% (20+59.5)% 0.5% BTA
용액 TMAH 농도 물농도 프로필렌 글리콜 농도 프로필렌 카보네이트
C8 25% 75%
C9 12.50% 12.50% 50%
C10 12.50% 12.50% 50%
용액 콜린 수산화물 콜린 중탄산염 콜린 염화물 용매 부식방지제
D2 10% 90%
D3 20% 80%
D4 2.30% 47.70% 50% DMSO
D5 1.19% 45.32% 47.5% DMSO
D6 2.30% 47.70% 50% MEA
D7 1.19% 45.32% 47.5% MEA 5% cat
D8 4.60% 95.40%
D9 4.37% 90.63% 5% cat
D10 4.60% 94.90%
D11 4.60% 94.40% 5% cat
D12 4.60% 90.40% 0.5% BTA
D13 2.50% 97.50% 1% TBC
D14 20% 30% 50% PG 5% TBC
D15 10% 40% 50% PG
D16 2% 48% 50% PG
D17 20% 20% 60% PG
D18 12.50% 12.50% 75% PG
D19 75% 25%
D20 3% 97%
D21 5% 95%
D22 37.50% 12.50% 50% PG
D23 18.75% 56.25% 25% PG
D24 3.75% 46.25% 50% PG
D25 3.75% 1.25% 95% PG
D26 2% 17.15% 17.15% HYDRO XYLAMINE 58.8% DGA 4.9% cat
용액 조성 명명
E1 NMP N-메틸 피롤리돈
E2 BLO 감마 부티로락톤
E3 DPM 아세테이트 디프로필렌글리콜 모노메틸 에테르
E4 모르폴린
E5 DGA 디글리콜 아민
E6 85 BLO + 15% NMP
E7 50% DGA + 50% NMP
E8 50% 모르폴린 + 35% NMP + 15% BLO
E9 DPM
E10 프로필렌 카보네이트
E11 DMSO 디메틸 술폭사이드
E12 DMF 디메틸 포메이트
E13 프로필렌 글리콜
E14 디메틸아세트아미드
E15 TETA 트리(에틸)테트라아민
E16 TETA + 5% 암모늄 중탄산염
E17 TETA + 1% 암모늄 중탄산염
E20 TETA + 5% 카테콜
E21 TETA + 1% BTA 벤조트리아졸
E22 TEA 트리에탄올아민
E23 TEA + 5% 암모늄 중탄산염
E24 TEA + 1% 암모늄 중탄산염
E27 TEA + 5% 카테콜
E28 TEA + 1% BTA
E29 MEA 모노에탄올아민
E30 MEA + 5% 암모늄 중탄산염
E31 MEA + 1% 암모늄 중탄산염
E34 MEA + 5% 카테콜
E35 MEA + 1% BTA
E36 8% 시트르산 + 3.2% 히드록실아민 + 0.5% BTA + 88.3% 물
cat = 카테콜
TBC = t-부틸 카테콜
주의 : 콜린 수산화물은 E.I. Du Pont de Nemours and Company (Wilmington, Delaware); Chinook Chemical (Toronto, Ontario, Canada); Japan Hydrazine Co., (Tokyo, Japan); Mitsubishi Gas Chemical Company (Tokyo, Japan)으로 부터 40 내지 50중량% 수용액으로 구매가능하다. 상기 표에서 조성물은 지정된 양의 물에든 콜린 수산화물 용액으로 물을 포함한다.
요약하면 조성물 B6로 예시된 신규 조성물이 구리와 구리 집적에 사용된 다른 금속에 대해 무시할만한 엣칭 속도를 가짐을 보여준다. 이들 조성물은 SiLK 및 기타 저-k 재료(도24)와 양립성이며 구리함유 잔류물을 부드럽게 제거한다.

Claims (34)

  1. 구리 물질을 이용하는 집적 회로의 잔류물 및 레지스트 제거용 조성물에 있어서,
    상기 조성물은 2~12 중량%의 히드록실아민, 15~50 중량%의 콜린 화합물, 물, 그리고 유기 용매를 포함하며,
    상기 유기 용매는 에틸렌글리콜, 에틸렌글리콜알킬에테르, 디에틸렌글리콜알킬에테르, 트리에틸렌글리콜알킬에테르, 프로필렌글리콜, 프로필렌글리콜알킬에테르, N-치환 피롤리돈, 에틸렌디아민, 디메틸술폭사이드, 모노에탄올아민, 디글리콜아민, 또는, 에틸렌트리아민을 포함하는 것을 특징으로 하는 잔류물 및 레지스터 제거용 조성물.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 제 1 항에 있어서, 상기 콜린 화합물이 콜린히드록사이드, 콜린바이카보네이트, 또는 콜린클로라이드를 포함하는 것을 특징으로 하는 잔류물 및 레지스터 제거용 조성물.
  6. 제 5 항에 있어서, 상기 콜린 화합물이 콜린히드록사이드인 것을 특징으로 하는 잔류물 및 레지스터 제거용 조성물.
  7. 제 5 항에 있어서, 상기 유기 용매가 프로필렌글리콜, 디메틸술폭사이드, 모노에탄올아민, 또는 디글리콜아민을 포함하는 것을 특징으로 하는 잔류물 및 레지스터 제거용 조성물.
  8. 제 1 항에 있어서, 상기 히드록실아민의 중량비가 5~10%이고, 상기 콜린 화합물의 중량비가 15~25%인 것을 특징으로 하는 잔류물 및 레지스터 제거용 조성물.
  9. 제 1 항에 있어서, 상기 조성물이 부식 방지제를 추가로 포함하는 것을 특징으로 하는 잔류물 및 레지스터 제거용 조성물.
  10. 구리 물질을 이용하는 집적 회로로부터 잔류물 및 레지스트를 제거하는 방법으로서, 상기 방법은,
    - 집적 회로로부터 잔류물 및 레지스트를 제거하기에 충분한 시간 및 온도에서, 2~12 중량%의 히드록실아민, 콜린 화합물, 물, 그리고 유기 용매로 구성되는 조성물과 상기 집적 회로를 접촉시키는
    단계를 포함하는 것을 특징으로 하는 잔류물 및 레지스트 제거 방법.
  11. 제 10 항에 있어서, 상기 조성물은 10~50 중량%의 콜린 화합물을 포함하는 것을 특징으로 하는 잔류물 및 레지스트 제거 방법.
  12. 제 11 항에 있어서, 상기 조성물은 10~78 중량%의 물을 포함하는 것을 특징으로 하는 잔류물 및 레지스트 제거 방법.
  13. 제 12 항에 있어서, 상기 조성물이 10~78 중량%의 유기 용매를 포함하는 것을 특징으로 하는 잔류물 및 레지스트 제거 방법.
  14. 제 13 항에 있어서, 상기 콜린 화합물이 콜린히드록사이드, 콜린바이카보네이트, 또는 콜린클로라이드를 포함하는 것을 특징으로 하는 잔류물 및 레지스트 제거 방법.
  15. 제 14 항에 있어서, 상기 콜린 화합물이 콜린히드록사이드인 것을 특징으로 하는 잔류물 및 레지스트 제거 방법.
  16. 집적 회로를 제작하는 방법으로서, 상기 방법은,
    - 집적 회로의 구리 전도 라인 위에 제 1 실리콘 화합물 에칭 정지층을 형성하고,
    - 상기 제 1 실리콘 화합물 에칭 정지층 위에 제 2 실리콘 화합물 벌크 유전체를 형성하며,
    - 상기 제 2 실리콘 화합물 벌크 유전체를 에칭하여 상기 제 1 실리콘 화합물 에칭 정지층을 노출시키고,
    - 집적 회로로부터 잔류물을 제거하기에 충분한 시간과 온도에서, 상기 집적회로를 콜린 화합물, 물, 그리고 유기 용매를 포함하는 조성물과 접촉시킴으로서 집적 회로로부터 잔류물을 제거하며,
    - 상기 제 1 실리콘 화합물 에칭 정지층을 에칭하여 상기 구리 전도 라인을 노출시키고, 그리고
    - 콜린 화합물, 물, 그리고, 유기 용매를 포함하는 조성물에 상기 집적 회로를 접촉시킴으로서 상기 집적 회로로부터 잔류물을 제거하며, 이때, 상기 유기 용매는, 에틸렌글리콜, 에틸렌글리콜알킬에테르, 디에틸렌글리콜알킬에테르, 트리에틸렌글리콜알킬에테르, 프로필렌글리콜, 프로필렌글리콜알킬에테르, N-치환 피롤리돈, 에틸렌디아민, 디메틸술폭사이드, 모노에탄올아민, 디글리콜아민, 또는, 에틸렌트리아민을 포함하는,
    단계들을 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  17. 제 10 항에 있어서, 상기 조성물이 히드록실마인 또는 히드록실아민 염을 추가로 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  18. 제 10 항에 있어서, 상기 조성물이 부식 방지제를 추가로 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  19. 집적 회로 제작 방법에 있어서, 상기 방법은,
    - 집적 회로의 구리 전도 라인 위에 제 1 실리콘 화합물 에칭 정지층을 형성하고,
    - 상기 제 1 실리콘 화합물 에칭 정지층 위에 제 2 실리콘 화합물 벌크 유전체를 형성하며,
    - 상기 제 2 실리콘 화합물 벌크 유전체를 에칭하여 상기 제 1 실리콘 화합물 에칭 정지층을 노출시키고,
    - 상기 집적 회로로부터 잔류물을 제거하며,
    - 상기 제 1 실리콘 화합물 에칭 정지층을 에칭하여 상기 구리 전도 라인을 노출시키고, 그리고
    - 콜린 화합물을 함유한 잔류물 제거용 조성물을 이용하여 상기 집적 회로로부터 잔류물을 제거하는
    단계를 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  20. 제 19 항에 있어서, 상기 제 1 실리콘 화합물 에칭 정지층이 실리콘나이트라이드를 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  21. 제 20 항에 있어서, 상기 제 2 실리콘 화합물 벌크 유전체가 실리콘옥사이드를 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  22. 제 21 항에 있어서, 상기 콜린 화합물이 콜린히드록사이드, 콜린바이카보네이트, 또는 콜린클로라이드를 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  23. 제 22 항에 있어서, 상기 콜린 화합물이 콜린 히드록사이드인 것을 특징으로 하는 집적 회로 제작 방법.
  24. 제 20 항에 있어서, 상기 잔류물 제거용 조성물이 물을 추가로 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  25. 제 24 항에 있어서, 상기 잔류물 제거용 조성물이 10~50 중량%의 콜린 화합물을 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  26. 제 25 항에 있어서, 상기 잔류물 제거용 조성물이 50~90 중량%의 물을 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  27. 제 24 항에 있어서, 상기 잔류물 제거용 조성물이 유기 용매를 추가로 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  28. 제 27 항에 있어서, 상기 유기 용매가 프로필렌글리콜, 디메틸술폭사이드, 모노에탄올아민, 또는 디글리콜아민을 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  29. 제 27 항에 있어서, 상기 잔류물 제거용 조성물이 히드록실아민을 추가로 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  30. 제 27 항에 있어서, 상기 잔류물 제거용 조성물이 10~50 중량%의 콜린 화합물, 10~80 중량%의 물, 그리고 10~80 중량%의 유기 용매를 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  31. 제 27 항에 있어서, 상기 잔류물 제거용 조성물이 부식 방지제를 추가로 포함하는 것을 특징으로 하는 집적 회로 제작 방법.
  32. 구리 물질과 저-k 유전물질을 이용하는 집적 회로로부터 포토레지스트를 제거하는 조성물에 있어서, 상기 조성물은,
    - 콜린 화합물,
    - 물,
    - 2~12 중량%의 히드록실아민 또는 히드록실아민 염
    - 에틸렌글리콜, 에틸렌글리콜알킬에테르, 디에틸렌글리콜알킬에테르, 트리에틸렌글리콜알킬에테르, 프로필렌글리콜, 프로필렌글리콜알킬에테르, N-치환 피롤리돈, 에틸렌디아민, 디메틸술폭사이드, 모노에탄올아민, 디글리콜아민, 또는, 에틸렌트리아민을 포함하는 유기 용매, 그리고
    - 0.5~5 중량%의 부식 방지제
    를 포함하는 것을 특징으로 하는 포토레지스트 제거용 조성물.
  33. 제 32 항에 있어서, 상기 부식 방지제가 카테콜, t-부틸카테콜, 갈릭산, 또는 벤조트리아졸을 포함하는 것을 특징으로 하는 포토레지스트 제거용 조성물.
  34. 제 32 항의 포토레지스트 제거용 조성물을 이용하여 집적 회로로부터 포토레지스트를 제거하는 방법.
KR1020017000187A 1998-07-06 1999-07-02 듀얼 다마스크 시스템 후엣칭 세정조성물 및 방법 KR100645619B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US9202498P 1998-07-06 1998-07-06
US60/092,024 1998-07-06
PCT/US1999/015157 WO2000002238A1 (en) 1998-07-06 1999-07-02 Post etch cleaning composition and process for dual damascene system

Publications (2)

Publication Number Publication Date
KR20010080865A KR20010080865A (ko) 2001-08-25
KR100645619B1 true KR100645619B1 (ko) 2006-11-13

Family

ID=22230935

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017000187A KR100645619B1 (ko) 1998-07-06 1999-07-02 듀얼 다마스크 시스템 후엣칭 세정조성물 및 방법

Country Status (7)

Country Link
US (1) US6417112B1 (ko)
EP (1) EP1127370A4 (ko)
JP (1) JP2002520812A (ko)
KR (1) KR100645619B1 (ko)
AU (1) AU4969099A (ko)
TW (1) TW428241B (ko)
WO (1) WO2000002238A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030023204A (ko) * 2001-09-12 2003-03-19 삼성전자주식회사 포토레지스트용 스트리퍼 조성물

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7579308B2 (en) 1998-07-06 2009-08-25 Ekc/Dupont Electronics Technologies Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US7547669B2 (en) * 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US6858540B2 (en) 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
US6753258B1 (en) * 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
WO2002045148A2 (de) * 2000-11-29 2002-06-06 Infineon Technologies Ag Reinigungslösung für halbleiterscheiben im beol-bereich
US7012025B2 (en) 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
JP2002252222A (ja) * 2001-02-22 2002-09-06 Nec Corp 半導体装置の製造方法、及び半導体装置
US7104869B2 (en) * 2001-07-13 2006-09-12 Applied Materials, Inc. Barrier removal at low polish pressure
US7008554B2 (en) 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US6821881B2 (en) 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
US6573175B1 (en) * 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US6943142B2 (en) 2002-01-09 2005-09-13 Air Products And Chemicals, Inc. Aqueous stripping and cleaning composition
WO2003064581A1 (en) * 2002-01-28 2003-08-07 Ekc Technology, Inc. Methods and compositions for chemically treating a substrate using foam technology
US20030171239A1 (en) 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
JP3516446B2 (ja) * 2002-04-26 2004-04-05 東京応化工業株式会社 ホトレジスト剥離方法
JP4282054B2 (ja) * 2002-09-09 2009-06-17 東京応化工業株式会社 デュアルダマシン構造形成プロセスに用いられる洗浄液および基板の処理方法
US6934032B1 (en) * 2002-09-30 2005-08-23 Advanced Micro Devices, Inc. Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process
US7037174B2 (en) 2002-10-03 2006-05-02 Applied Materials, Inc. Methods for reducing delamination during chemical mechanical polishing
KR100581279B1 (ko) 2003-06-02 2006-05-17 삼성전자주식회사 포토레지스트 제거용 조성물 및 이를 이용한 반도체소자의 범프 형성방법
US7205235B2 (en) 2003-12-15 2007-04-17 Freescale Semiconductor, Inc. Method for reducing corrosion of metal surfaces during semiconductor processing
KR100562302B1 (ko) * 2003-12-27 2006-03-22 동부아남반도체 주식회사 멀티 화학액 처리 단계를 이용한 랜덤 폴리머 제거 방법
JP2005277375A (ja) * 2004-02-27 2005-10-06 Nec Electronics Corp 半導体装置の製造方法
CN101065837A (zh) * 2004-03-30 2007-10-31 巴斯福股份公司 用于去除蚀刻后残留物的水溶液
US20060003910A1 (en) * 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US7456093B2 (en) * 2004-07-03 2008-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving a semiconductor device delamination resistance
US9217929B2 (en) * 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
US20070054482A1 (en) * 2004-08-10 2007-03-08 Takahito Nakajima Semiconductor device fabrication method
JP2006054251A (ja) * 2004-08-10 2006-02-23 Toshiba Corp 半導体装置の製造方法
JP3994992B2 (ja) * 2004-08-13 2007-10-24 三菱瓦斯化学株式会社 シリコン微細加工に用いる異方性エッチング剤組成物及びエッチング方法
US7166543B2 (en) * 2004-08-30 2007-01-23 Micron Technology, Inc. Methods for forming an enriched metal oxide surface for use in a semiconductor device
US20060094613A1 (en) * 2004-10-29 2006-05-04 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US20060094612A1 (en) * 2004-11-04 2006-05-04 Mayumi Kimura Post etch cleaning composition for use with substrates having aluminum
KR100628215B1 (ko) * 2004-12-24 2006-09-26 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
US7879782B2 (en) * 2005-10-13 2011-02-01 Air Products And Chemicals, Inc. Aqueous cleaning composition and method for using same
DE102005057061B3 (de) 2005-11-30 2007-06-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Entfernen einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfer-metallisierungsschicht
KR100679822B1 (ko) * 2005-12-14 2007-02-06 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US20080174015A1 (en) * 2007-01-23 2008-07-24 Russell Thomas Herrin Removal of etching process residual in semiconductor fabrication
JPWO2009096480A1 (ja) * 2008-01-30 2011-05-26 日産化学工業株式会社 ハードマスク用除去組成物および除去方法
SG175273A1 (en) 2009-05-07 2011-11-28 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
US8765653B2 (en) 2009-07-07 2014-07-01 Air Products And Chemicals, Inc. Formulations and method for post-CMP cleaning
CN102473638B (zh) * 2009-07-30 2015-02-18 巴斯夫欧洲公司 用于高级半导体应用的离子植入后剥离剂
TWI516879B (zh) * 2009-09-09 2016-01-11 東友精細化工有限公司 形成銅系配線用光阻剝離劑組成物、使用其來製造半導體裝置及平板顯示器之方法
CN107255905A (zh) * 2012-01-27 2017-10-17 旭化成株式会社 干式蚀刻用热反应型抗蚀剂材料、模具的制造方法及模具
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
MX353852B (es) * 2013-04-11 2018-01-30 Taminco Procedimiento mejorado para preparar hidróxido de colina.
EP3721297B1 (en) * 2017-12-08 2024-02-07 Henkel AG & Co. KGaA Photoresist stripper compostion
JP7036212B2 (ja) * 2018-04-26 2022-03-15 栗田工業株式会社 第四級トリアルキルアルカノールアミン水酸化物を含む組成物の安定化
WO2021121552A1 (en) * 2019-12-17 2021-06-24 Henkel Ag & Co. Kgaa Photoresist stripping composition

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09197681A (ja) * 1995-11-13 1997-07-31 Tokyo Ohka Kogyo Co Ltd レジスト用剥離液組成物

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4686002A (en) 1986-07-18 1987-08-11 Syntex (U.S.A.) Inc. Stabilized choline base solutions
US5209858A (en) * 1991-02-06 1993-05-11 E. I. Du Pont De Nemours And Company Stabilization of choline and its derivatives against discoloration
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JP2857042B2 (ja) * 1993-10-19 1999-02-10 新日本製鐵株式会社 シリコン半導体およびシリコン酸化物の洗浄液
US5554320A (en) * 1993-11-22 1996-09-10 Yianakopoulos; Georges Liquid cleaning compositions
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
JPH10289891A (ja) 1997-04-11 1998-10-27 Mitsubishi Gas Chem Co Inc 半導体回路用洗浄剤及びそれを用いた半導体回路の製造方法
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US5877075A (en) * 1997-10-14 1999-03-02 Industrial Technology Research Institute Dual damascene process using single photoresist process

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09197681A (ja) * 1995-11-13 1997-07-31 Tokyo Ohka Kogyo Co Ltd レジスト用剥離液組成物

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030023204A (ko) * 2001-09-12 2003-03-19 삼성전자주식회사 포토레지스트용 스트리퍼 조성물

Also Published As

Publication number Publication date
JP2002520812A (ja) 2002-07-09
WO2000002238A1 (en) 2000-01-13
EP1127370A1 (en) 2001-08-29
AU4969099A (en) 2000-01-24
TW428241B (en) 2001-04-01
KR20010080865A (ko) 2001-08-25
US6417112B1 (en) 2002-07-09
EP1127370A4 (en) 2001-08-29

Similar Documents

Publication Publication Date Title
KR100645619B1 (ko) 듀얼 다마스크 시스템 후엣칭 세정조성물 및 방법
US7157415B2 (en) Post etch cleaning composition for dual damascene system
US7547669B2 (en) Remover compositions for dual damascene system
US6869921B2 (en) Stripping composition
KR100748903B1 (ko) 세정제, 반도체 기판 세정 방법 및 반도체 기판에의 회로형성 방법
TWI274968B (en) Composition for stripping and cleaning and use thereof
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
JP4819429B2 (ja) 残留物を除去するための組成物及び方法
KR100700998B1 (ko) 기판으로부터 잔사를 제거하기 위한 조성물 및 그의 사용방법
KR100942009B1 (ko) 포토레지스트, 에칭 잔류물 및 barc를 제거하기 위한제제
EP0918081A1 (en) Etching composition and use
WO2003091376A1 (en) Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
KR20040032855A (ko) 술폭시드 피롤리드(인)온 알칸올아민 박리 및 세정 조성물
JP2007128064A (ja) 残留物を除去するための水性洗浄組成物及びそれを使用する方法
US6787293B2 (en) Photoresist residue remover composition
US11091727B2 (en) Post etch residue cleaning compositions and methods of using the same
US11377624B2 (en) Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
TWI762681B (zh) 抑制鈷、氧化鋁、層間絕緣膜與氮化矽之損傷的組成液及利用此組成液的清洗方法
EP1965418A1 (en) Formulation for removal of photoresist, etch residue and barc
KR102321217B1 (ko) 에칭 후 잔여물 세정 조성물 및 이의 사용 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20091022

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee