KR100583120B1 - Manufacturing method for semiconductor device - Google Patents

Manufacturing method for semiconductor device Download PDF

Info

Publication number
KR100583120B1
KR100583120B1 KR1020000034894A KR20000034894A KR100583120B1 KR 100583120 B1 KR100583120 B1 KR 100583120B1 KR 1020000034894 A KR1020000034894 A KR 1020000034894A KR 20000034894 A KR20000034894 A KR 20000034894A KR 100583120 B1 KR100583120 B1 KR 100583120B1
Authority
KR
South Korea
Prior art keywords
insulating film
semiconductor device
manufacturing
interlayer insulating
layer
Prior art date
Application number
KR1020000034894A
Other languages
Korean (ko)
Other versions
KR20020000348A (en
Inventor
신현상
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020000034894A priority Critical patent/KR100583120B1/en
Publication of KR20020000348A publication Critical patent/KR20020000348A/en
Application granted granted Critical
Publication of KR100583120B1 publication Critical patent/KR100583120B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체소자의 제조방법에 관한 것으로, 반도체기판 상에 금속층으로 도전배선을 형성하고, 전체표면 상부에 콘택으로 예정되는 부분을 노출시키는 콘택홀이 구비된 층간절연막을 형성한 다음, 상기 콘택홀에 의해 노출되는 도전배선의 측면을 산화시켜 상기 도전배선 측면에 절연막 스페이서를 형성하는 공정을 생략할 수 있으므로 공정을 단순하게 하고, 절연막 스페이서의 넓이 만큼 콘택면적이 증가하여 콘택저항을 개선할 수 있으며, 그에 따른 반도체소자의 고집적화를 유리하게 하는 기술이다. The present invention relates to a method for manufacturing a semiconductor device, wherein a conductive wiring is formed of a metal layer on a semiconductor substrate, and an interlayer insulating film having a contact hole for exposing a portion intended for contact is formed on the entire surface of the semiconductor substrate. Since the step of oxidizing the side surface of the conductive wiring exposed by the hole to form the insulating film spacer on the side of the conductive wiring can be omitted, the process can be simplified and the contact area can be increased by the area of the insulating film spacer to improve the contact resistance. In accordance with the present invention, the semiconductor device is advantageously integrated.

Description

반도체소자의 제조방법{Manufacturing method for semiconductor device}Manufacturing method for semiconductor device

도 1 은 종래기술에 따른 반도체소자의 제조방법을 도시한 단면도. 1 is a cross-sectional view showing a method for manufacturing a semiconductor device according to the prior art.

도 2a 및 도 2b 는 본 발명에 따른 반도체소자의 제조방법을 도시한 단면도.2A and 2B are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with the present invention.

< 도면의 주요부분에 대한 부호의 설명 ><Description of Symbols for Major Parts of Drawings>

10, 20 : 반도체기판 12, 22 : 게이트전극10, 20: semiconductor substrate 12, 22: gate electrode

14 : 마스크절연막패턴 16 : 절연막 스페이서14 mask insulating film pattern 16 insulating film spacer

18, 24 : 층간절연막 19, 26 : 콘택홀18, 24: interlayer insulating film 19, 26: contact hole

28 : WOx28: WO x membrane

본 발명은 반도체소자의 제조방법에 관한 것으로, 특히 고집적 소자의 콘택 제조 공정 시 금속층 패턴의 측벽에 절연막 스페이서를 형성하지 않고, 후속공정에서 상기 금속층 패턴의 측벽을 소정 두께 산화시켜 절연막 스페이서로 사용함으로써 공정마진을 확보하고, 그에 따른 반도체소자의 고집적화를 가능하게 하는 기술에 관한 것이다. The present invention relates to a method for manufacturing a semiconductor device, and in particular, in the contact fabrication process of a highly integrated device, an insulating layer spacer is not formed on the sidewall of the metal layer pattern, and in the subsequent step, the sidewall of the metal layer pattern is oxidized to a predetermined thickness to be used as the insulating layer spacer. The present invention relates to a technology for securing a process margin and thereby enabling high integration of semiconductor devices.                         

최근의 반도체 장치의 고집적화 추세는 미세 패턴 형성 기술의 발전에 큰 영향을 받고 있으며, 반도체 장치의 제조 공정 중에서 식각 또는 이온주입 공정 등의 마스크로 매우 폭 넓게 사용되는 감광막 패턴의 미세화가 필수 요건이다.The recent trend of high integration of semiconductor devices has been greatly influenced by the development of fine pattern formation technology, and the miniaturization of photoresist patterns, which are widely used as masks such as etching or ion implantation processes, are essential in the manufacturing process of semiconductor devices.

상기 감광막 패턴의 분해능(R)은 축소노광장치의 광원의 파장(λ) 및 공정 변수(k)에 비례하고, 노광 장치의 렌즈 구경(numerical aperture:NA, 개구수)에 반비례한다.The resolution R of the photoresist pattern is proportional to the wavelength λ of the light source of the reduction exposure apparatus and the process variable k, and inversely proportional to the lens aperture (NA, numerical aperture) of the exposure apparatus.

[R=k*λ/NA, R=해상도, λ=광원의 파장, NA=개구수][R = k * λ / NA, R = resolution, λ = wavelength of light source, NA = number of apertures]

여기서, 상기 축소노광장치의 광분해능을 향상시키기 위하여 광원의 파장을 감소시키게 되며, 예를 들어 파장이 436 및 365㎚인 G-라인 및 i-라인 축소노광장치는 공정 분해능이 각각 약 0.7, 0.5㎛ 정도가 한계이고, 0.5㎛ 이하의 미세 패턴을 형성하기 위해 파장이 작은 원자외선, 예를 들어 파장이 248㎚인 KrF 레이저나 193㎚인 ArF 레이저를 광원으로 사용하는 노광 장치를 이용하거나, 공정 상의 방법으로는 노광마스크를 위상 반전 마스크를 사용하는 방법과, 이미지 콘트라스트를 향상시킬 수 있는 별도의 박막을 웨이퍼 상에 형성하는 씨.이.엘.(contrast enhancement layer: 이하 CEL이라 함) 방법이나 두 층의 감광막 사이에 에스.오.지.(spin on glass: SOG) 등의 중간층을 개재시킨 삼층레지스트(Tri layer resist: 이하 TLR 라 함) 방법 또는 감광막의 상측에 선택적으로 실리콘을 주입시키는 실리레이션 방법 등이 개발되어 분해능 한계치를 낮추고 있다.Here, the wavelength of the light source is reduced in order to improve the optical resolution of the reduced exposure apparatus. For example, the G-line and i-line reduced exposure apparatus having wavelengths of 436 and 365 nm have a process resolution of about 0.7 and 0.5, respectively. In order to form a fine pattern of 0.5 μm or less, the micrometer has a limit of about μm, and an exposure apparatus using an ultraviolet ray having a small wavelength, for example, a KrF laser having a wavelength of 248 nm or an ArF laser having a wavelength of 193 nm, is used as a light source, or a process As a method of imaging, a method of using a phase inversion mask as an exposure mask and a method of forming a separate thin film on the wafer which can improve image contrast can be used. Tri-layer resist (hereinafter referred to as TLR) method in which an intermediate layer such as spin on glass (SOG) is interposed between two photoresist layers or silicon on the photoresist layer. The method of injecting silicide has been developed to lower the resolution limit.

또한, 상하의 도전배선을 연결하는 콘택홀은 소자가 고집적화 되어감에 따라 자체의 크기와 주변배선과의 간격이 감소되고, 콘택홀의 지름과 깊이의 비인 에스 펙트비(aspect ratio)가 증가한다. 따라서, 다층의 도전배선을 구비하는 고집적 반도체소자에서는 콘택을 형성하기 위하여 제조 공정에서의 마스크들 간의 정확하고, 엄격한 정렬이 요구되어 공정여유도가 감소된다.In addition, the contact hole connecting the upper and lower conductive wirings is reduced in size as the device is highly integrated, and the distance between the wiring and the peripheral wiring is reduced, and the aspect ratio, which is the ratio of the diameter and the depth of the contact hole, is increased. Therefore, in a highly integrated semiconductor device having multiple conductive wirings, accurate and tight alignment between masks in a manufacturing process is required to form a contact, thereby reducing process margin.

이러한 콘택홀은 간격 유지를 위하여 마스크 정렬시의 오배열의 여유(misalignment tolerance), 노광공정 시의 렌즈 왜곡(lens distortion), 마스크 제작 및 사진식각 공정시의 임계크기 변화, 마스크간의 정합 등과 같은 요인들을 고려하여 마스크를 형성한다.These contact holes have factors such as misalignment tolerance during mask alignment, lens distortion during exposure process, critical size change during mask fabrication and photolithography process, and matching between masks to maintain spacing. Consider these to form a mask.

도 1 은 종래기술에 따른 반도체소자의 제조방법을 도시한 단면도로서, 반도체기판(10) 상부에 게이트절연막(도시안됨), 게이트전극(12) 및 마스크절연막패턴(14)의 적층구조를 형성하고, 상기 적층구조의 측벽에 절연막 스페이서(16)를 형성한 후, 전체표면 상부에 콘택으로 예정되는 부분을 노출시키는 콘택홀(19)이 구비된 층간절연막(18)을 형성하였다. 1 is a cross-sectional view illustrating a method of manufacturing a semiconductor device according to the prior art, in which a stacked structure of a gate insulating film (not shown), a gate electrode 12, and a mask insulating film pattern 14 is formed on a semiconductor substrate 10. After the insulating film spacers 16 were formed on the sidewalls of the stacked structure, an interlayer insulating film 18 having contact holes 19 exposing portions intended to be contacts were formed on the entire surface.

이때, 상기 마스크절연막패턴(14)과 절연막 스페이서(16)는 상기 층간절연막(18)과 식각선택비 차이를 갖는 박막으로 형성하였다. 예를 들면, 상기 층간절연막(18)이 산화막(SiO2)인 경우 마스크절연막패턴(14)과 절연막 스페이서(16)는 실리콘산질화막(SiON) 또는 실리콘질화막(Si3N4)으로 형성하였다. (도 1 참조)In this case, the mask insulating layer pattern 14 and the insulating layer spacer 16 are formed of a thin film having a difference in etching selectivity from the interlayer insulating layer 18. For example, when the interlayer insulating film 18 is an oxide film (SiO 2 ), the mask insulating film pattern 14 and the insulating film spacer 16 are formed of a silicon oxynitride film (SiON) or a silicon nitride film (Si 3 N 4 ). (See Figure 1)

그러나, 종래기술에 따른 반도체소자의 제조방법은, 사진공정 시 오배열이 발생하더라도 소자가 간에 쇼트(short)되는 일없이 콘택을 형성하기 위하여 하부의 도전층패턴의 상부에 마스크절연막패턴을 형성하고, 측벽에 절연막 스페이서를 형성하여 층간절연막과 식각선택비를 이용한 자기정렬콘택(self-aligned contact)방법으로 콘택홀을 형성하였으나, 반도체소자가 고집적화되어 갈수록 소자간의 간격이 계속 좁아져 콘택홀 내의 접촉면적이 작아지고, 도전층패턴 측벽의 절연막 스페이서에 의해 콘택면적이 더욱 좁아져 콘택 저항이 증가하여 공정 수율 및 소자동작의 신뢰성을 떨어드리는 문제점이 있다.However, in the method of manufacturing a semiconductor device according to the related art, a mask insulating film pattern is formed on the lower conductive layer pattern so as to form a contact without a short between the devices even when misalignment occurs in the photolithography process. The contact hole was formed by the self-aligned contact method using the interlayer insulating film and the etch selectivity by forming the insulating film spacer on the sidewall. The area is reduced, and the contact area is further narrowed by the insulating film spacers on the sidewalls of the conductive layer pattern, thereby increasing the contact resistance, thereby decreasing process yield and reliability of device operation.

본 발명은 상기한 종래기술의 문제점을 해결하기 위하여, 텅스텐막 패턴으로 게이트전극 또는 비트라인을 형성하고, 전체표면 상부에 콘택으로 예정되는 부분을 노출시키는 콘택홀이 구비된 층간절연막을 형성한 다음, 상기 콘택홀에 의해 노출된 텅스텐막 패턴을 산화시켜 소자간을 절연시킴으로써 콘택면적을 증가시켜 콘택 저항을 감소시키고, 그에 따른 반도체소자의 고집적화를 가능하게 하는 반도체소자의 제조방법을 제공하는데 그 목적이 있다.In order to solve the above problems of the prior art, a gate electrode or a bit line is formed of a tungsten film pattern, and an interlayer insulating film having contact holes for exposing a portion intended for contact is formed on the entire surface. The present invention provides a method of fabricating a semiconductor device, which reduces the contact resistance by increasing the contact area by oxidizing the tungsten film pattern exposed by the contact hole to insulate the devices, thereby enabling high integration of the semiconductor device. There is this.

이상의 목적을 달성하기 위한 본 발명에 따른 반도체소자의 제조방법은, Method for manufacturing a semiconductor device according to the present invention for achieving the above object,

반도체기판 상부에 금속층으로 형성된 도전배선을 형성하는 공정과,Forming a conductive wiring formed of a metal layer on the semiconductor substrate;

전체표면 상부에 상기 금속층과 식각선택비 차이를 갖는 층간절연막을 형성하는 공정과, Forming an interlayer insulating film having a difference in etching selectivity with the metal layer over the entire surface;

상기 반도체기판에서 콘택으로 예정되는 부분을 노출시키는 콘택마스크를 식각마스크로 상기 층간절연막을 식각하여 콘택홀을 형성하는 공정과, Forming a contact hole by etching the interlayer insulating layer with an etch mask using a contact mask that exposes a portion of the semiconductor substrate to be contacted;                     

상기 콘택홀에 노출되는 상기 도전배선을 산화시켜 절연막 스페이서로 사용하여 콘택 면적을 증가시키는 공정을 포함하는 것을 특징으로 한다.And oxidizing the conductive wiring exposed to the contact hole to use as an insulating film spacer, thereby increasing a contact area.

이하, 첨부된 도면을 참조하여 상세히 설명하기로 한다. Hereinafter, with reference to the accompanying drawings will be described in detail.

도 2a 및 도 2b 는 본 발명에 따른 반도체소자의 제조방법을 도시한 단면도이다. 2A and 2B are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the present invention.

먼저, 반도체기판(20) 상부에 게이트절연막(도시안됨) 및 텅스텐층(도시안됨)을 순차적으로 형성한다. First, a gate insulating film (not shown) and a tungsten layer (not shown) are sequentially formed on the semiconductor substrate 20.

상기 텅스텐층 대신 몰리브덴층 또는 상기 텅스텐층 및 몰리브덴층의 합금층으로 형성할 수 있다.Instead of the tungsten layer may be formed of a molybdenum layer or an alloy layer of the tungsten layer and molybdenum layer.

상기 텅스텐층 상부에 마스크절연막을 형성할 수도 있다. 이때, 상기 마스크절연막은 실리콘산화질화막 또는 실리콘질화막 또는 알루미늄산화막으로 형성한다. A mask insulating film may be formed on the tungsten layer. In this case, the mask insulating film is formed of a silicon oxynitride film, a silicon nitride film or an aluminum oxide film.

다음, 게이트전극으로 예정되는 부분을 보호하는 게이트전극 마스크를 식각마스크로 상기 금속층과 게이트절연막을 식각하여 게이트전극(22)을 형성한다. Next, the gate electrode 22 is formed by etching the metal layer and the gate insulating layer by using a gate electrode mask that protects a portion intended as a gate electrode as an etch mask.

그 다음, 전체표면 상부에 산화막으로 층간절연막(24)을 형성하고, 콘택으로 예정되는 부분을 노출시키는 콘택마스크를 식각마스크로 상기 층간절연막(24)을 식각하여 콘택홀(26)을 형성한다. 이때, 상기 콘택마스크는 상기 게이트전극(22) 간의 거리보다 넓은 부분을 노출시키므로, 콘택홀(26) 형성 후 도 2a 에 도시된 ⓧ부분과 같이 게이트전극(22)의 일부가 노출된다.Next, an interlayer insulating layer 24 is formed on the entire surface of the oxide layer, and the contact insulating layer 24 is etched using an etch mask to expose a portion intended for contact. In this case, since the contact mask exposes a portion wider than the distance between the gate electrodes 22, a part of the gate electrode 22 is exposed as shown in FIG. 2A after forming the contact hole 26.

상기 식각공정은 탄소/불소의 비율이 높은 C2/F6, C3/F8, C 4/F8 및 C5/F8 가스 로 이루어지는 군에서 임의로 선택되는 하나를 이용하여 식각함으로써 상기 게이트전극(22)과 층간절연막(24) 간에 식각선택비 차이를 높게 유지하면서 식각공정을 실시할 수 있다. The etching process may be performed by etching using one selected from the group consisting of C 2 / F 6 , C 3 / F 8 , C 4 / F 8, and C 5 / F 8 gas having a high carbon / fluorine ratio. An etching process may be performed while maintaining a high difference in etching selectivity between the electrode 22 and the interlayer insulating film 24.

다음, 상기 노출된 게이트전극(22)을 산화시켜 WOx막(28)으로 형성한다. 이때, 상기 산화공정은 콘택홀(26) 형성 후 인시튜(insitu)로 실시하되, O2 가스를 첨가가스로 사용하여 상기 게이트전극(22)의 측면이 100 ∼ 200Å 두께로 산화되도록 실시한다. Next, the exposed gate electrode 22 is oxidized to form a WO x film 28. In this case, the oxidation process is performed in situ after the contact hole 26 is formed, and the side surface of the gate electrode 22 is oxidized to a thickness of 100 to 200 kPa using O 2 gas as an additive gas.

그 다음, 상기 산화공정으로 콘택홀(26) 저부에 형성된 산화막을 BOE용액 등을 이용하여 제거한다. Next, the oxide film formed on the bottom of the contact hole 26 is removed by the BOE solution by the oxidation process.

한편, 상기 층간절연막(24)을 유기저유전체막으로 형성하는 경우 상기 층간절연막(24)을 O2 가스를 식각가스로 사용하여 식각하면서 인시튜(insitu)로 산화공정을 실시할 수 있다. Meanwhile, when the interlayer insulating layer 24 is formed of an organic low-k dielectric layer, the interlayer insulating layer 24 may be oxidized in situ while being etched using O 2 gas as an etching gas.

상기 실시예에서는 게이트전극 사이에 콘택홀을 형성하는 공정을 기재하였으나, 비트라인 형성 후에도 상기와 같은 방법으로 콘택홀을 형성할 수 있다. In the above embodiment, a process of forming contact holes between gate electrodes is described. However, the contact holes may be formed in the same manner as described above even after the bit line is formed.

이상에서 설명한 바와 같이 본 발명에 따른 반도체소자의 제조방법은, 반도체기판 상에 금속층으로 도전배선을 형성하고, 전체표면 상부에 콘택으로 예정되는 부분을 노출시키는 콘택홀이 구비된 층간절연막을 형성한 다음, 상기 콘택홀에 의해 노출되는 도전배선의 측면을 산화시켜 상기 도전배선 측면에 절연막 스페이서를 형성하는 공정을 생략할 수 있으므로 공정을 단순하게 하고, 절연막 스페이서의 넓이 만큼 콘택면적이 증가하여 콘택저항을 개선할 수 있으며, 그에 따른 반도체소자의 고집적화를 유리하게 하는 이점이 있다. As described above, in the method of manufacturing a semiconductor device according to the present invention, a conductive wiring is formed of a metal layer on a semiconductor substrate, and an interlayer insulating film having contact holes for exposing a portion to be contacted is formed over the entire surface. Next, since the step of oxidizing the side surface of the conductive wiring exposed by the contact hole to form the insulating film spacer on the side of the conductive wiring can be omitted, the process is simplified and the contact area is increased by the area of the insulating film spacer so that the contact resistance is increased. It can be improved, and there is an advantage that advantageously high integration of the semiconductor device.

Claims (11)

반도체기판 상부에 금속층으로 형성된 도전배선을 형성하는 공정과,Forming a conductive wiring formed of a metal layer on the semiconductor substrate; 전체표면 상부에 상기 금속층과 식각선택비 차이를 갖는 층간절연막을 형성하는 공정과, Forming an interlayer insulating film having a difference in etching selectivity with the metal layer over the entire surface; 상기 반도체기판에서 콘택으로 예정되는 부분을 노출시키는 콘택마스크를 식각마스크로 상기 층간절연막을 식각하여 콘택홀을 형성하는 공정과,Forming a contact hole by etching the interlayer insulating layer with an etch mask using a contact mask that exposes a portion of the semiconductor substrate to be contacted; 상기 콘택홀에 노출되는 상기 도전배선을 산화시켜 절연막 스페이서로 사용하여 콘택 면적을 증가시키는 공정을 포함하는 반도체소자의 제조방법.And oxidizing the conductive wiring exposed to the contact hole to increase the contact area by using the insulating wiring as an insulating film spacer. 제 1 항에 있어서, The method of claim 1, 상기 금속층은 텅스텐층, 몰리브덴층 또는 상기 금속층들의 합금층으로 형성되는 것을 특징으로 하는 반도체소자의 제조방법. And the metal layer is formed of a tungsten layer, a molybdenum layer, or an alloy layer of the metal layers. 제 1 항에 있어서, The method of claim 1, 상기 도전배선의 상부에 마스크절연막 패턴이 적층되어 있는 것을 특징으로 하는 반도체소자의 제조방법. A method for manufacturing a semiconductor device, characterized in that a mask insulating film pattern is stacked on top of the conductive wiring. 제 3 항에 있어서, The method of claim 3, wherein 상기 마스크절연막 패턴은 실리콘산화질화막, 실리콘질화막 및 알루미늄산화 막으로 이루어지는 군에서 임의로 선택되는 하나를 사용하여 형성하는 것을 특징으로 하는 반도체소자의 제조방법.And the mask insulating film pattern is formed using one selected from the group consisting of a silicon oxynitride film, a silicon nitride film, and an aluminum oxide film. 제 1 항에 있어서, The method of claim 1, 상기 도전배선은 게이트전극 또는 비트라인 인 것을 특징으로 하는 반도체소자의 제조방법. And the conductive wiring is a gate electrode or a bit line. 제 1 항에 있어서, The method of claim 1, 상기 층간절연막은 산화막으로 형성하는 것을 특징으로 하는 반도체소자의 제조방법. And said interlayer insulating film is formed of an oxide film. 제 1 항 또는 제 6 항에 있어서, The method according to claim 1 or 6, 상기 층간절연막은 탄소/불소의 비율이 높은 C2/F6, C3/F8, C4/F8 및 C5/F8 가스로 이루어지는 군에서 임의로 선택되는 하나의 가스로 식각하여 상기 도전배선과의 식각선택비 차이를 높이는 것을 특징으로 하는 반도체소자의 제조방법. The interlayer insulating film is etched with one gas arbitrarily selected from the group consisting of C 2 / F 6 , C 3 / F 8 , C 4 / F 8, and C 5 / F 8 gases having a high carbon / fluorine ratio to the conductive layer. A method of manufacturing a semiconductor device, characterized in that to increase the difference in etching selectivity with the wiring. 제 1 항 또는 제 6 항에 있어서, The method according to claim 1 or 6, 상기 산화공정은 콘택홀을 형성공정 시 O2가스를 첨가가스로 사용하여 인시튜로 실시하는 것을 특징으로 하는 반도체소자의 제조방법. The oxidation process is a method of manufacturing a semiconductor device, characterized in that carried out in-situ using the O 2 gas as an additive gas in the process of forming a contact hole. 제 1 항에 있어서, The method of claim 1, 상기 산화공정은 상기 콘택홀에 노출되는 도전배선의 측면이 100 ∼ 200Å 두께가 산화되록 실시하는 것을 특징으로 하는 반도체소자의 제조방법. The oxidation step is a method for manufacturing a semiconductor device, characterized in that the side of the conductive wiring exposed to the contact hole is oxidized to 100 ~ 200Å thickness. 제 1 항에 있어서, The method of claim 1, 상기 층간절연막은 유기저유전체막으로 형성하는 것을 특징으로 하는 반도체소자의 제조방법. And said interlayer insulating film is formed of an organic low dielectric film. 제 1 항 또는 제 10 항에 있어서, The method according to claim 1 or 10, 상기 층간절연막은 O2 가스를 식각가스로 사용하여 식각하면서 인시튜로 산화공정을 실시하는 것을 특징으로 하는 반도체소자의 제조방법. The interlayer insulating film is a method of manufacturing a semiconductor device, characterized in that the oxidation process in-situ while etching using O 2 gas as an etching gas.
KR1020000034894A 2000-06-23 2000-06-23 Manufacturing method for semiconductor device KR100583120B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020000034894A KR100583120B1 (en) 2000-06-23 2000-06-23 Manufacturing method for semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020000034894A KR100583120B1 (en) 2000-06-23 2000-06-23 Manufacturing method for semiconductor device

Publications (2)

Publication Number Publication Date
KR20020000348A KR20020000348A (en) 2002-01-05
KR100583120B1 true KR100583120B1 (en) 2006-05-24

Family

ID=19673539

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000034894A KR100583120B1 (en) 2000-06-23 2000-06-23 Manufacturing method for semiconductor device

Country Status (1)

Country Link
KR (1) KR100583120B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100727891B1 (en) * 2005-05-26 2007-06-14 박재영 Connection absence of molding for interior
KR101036900B1 (en) * 2009-07-06 2011-05-25 (주)한미글로벌건축사사무소 Installed Structure of Magnetic Bracket

Also Published As

Publication number Publication date
KR20020000348A (en) 2002-01-05

Similar Documents

Publication Publication Date Title
KR20060114431A (en) Manufacturing method for semiconductor device
KR100583120B1 (en) Manufacturing method for semiconductor device
KR100367495B1 (en) Method for manufacturing contact hole in semiconductor device
KR100685595B1 (en) Manufacturing method for semiconductor device
KR20020002018A (en) Manufacturing method for semiconductor device
KR100702308B1 (en) Manufacturing method for semiconductor device
KR100709453B1 (en) Forming method for bit line of semiconductor device
KR100261682B1 (en) Method for fabricating semiconductor device
KR100861188B1 (en) Manufacturing method for semiconductor device
KR20030058573A (en) Manufacturing method for semiconductor device
KR100944344B1 (en) Manufacturing method for semiconductor device
KR100324025B1 (en) Manufacturing method of semiconductor device
KR20020052460A (en) Manufacturing method for semiconductor device
KR100465604B1 (en) Manufacturing method of semiconductor device
KR20030058635A (en) Manufacturing method for semiconductor device
KR100569526B1 (en) Manufacturing method for semiconductor device
KR100482997B1 (en) Manufacturing method for semiconductor device
KR100434961B1 (en) Method of forming contact hole of semiconductor device using nitride pattern formed on only gate electrode as etch stop layer
KR0172782B1 (en) Method for forming contact of semiconductor device
KR20040080574A (en) Method for manufacturing semiconductor device
KR20050014156A (en) Manufacturing method for semiconductor device
KR20020002009A (en) Manufacturing method for semiconductor device
KR20030059417A (en) Manufacturing method for semiconductor device
KR20050041553A (en) Manufacturing method for semiconductor device
KR20050000004A (en) Manufacturing method for semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110429

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee