KR100551138B1 - 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스 - Google Patents

균일한 플라즈마 발생을 위한 적응형 플라즈마 소스 Download PDF

Info

Publication number
KR100551138B1
KR100551138B1 KR1020030063416A KR20030063416A KR100551138B1 KR 100551138 B1 KR100551138 B1 KR 100551138B1 KR 1020030063416 A KR1020030063416 A KR 1020030063416A KR 20030063416 A KR20030063416 A KR 20030063416A KR 100551138 B1 KR100551138 B1 KR 100551138B1
Authority
KR
South Korea
Prior art keywords
bushing
plane
disposed
plasma
reaction chamber
Prior art date
Application number
KR1020030063416A
Other languages
English (en)
Other versions
KR20050026679A (ko
Inventor
김남헌
Original Assignee
어댑티브프라즈마테크놀로지 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어댑티브프라즈마테크놀로지 주식회사 filed Critical 어댑티브프라즈마테크놀로지 주식회사
Priority to KR1020030063416A priority Critical patent/KR100551138B1/ko
Priority to EP04774541A priority patent/EP1665908A1/en
Priority to CNB200480028661XA priority patent/CN100438718C/zh
Priority to US10/570,942 priority patent/US20070084405A1/en
Priority to JP2006526028A priority patent/JP2007505466A/ja
Priority to PCT/KR2004/002282 priority patent/WO2005025281A1/en
Publication of KR20050026679A publication Critical patent/KR20050026679A/ko
Application granted granted Critical
Publication of KR100551138B1 publication Critical patent/KR100551138B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

본 발명의 적응형 플라즈마 소스는, 플라즈마가 형성될 반응공간을 갖는 반응챔버 상부에 배치되어 외부의 고주파 전원으로부터의 고주파전력을 인가받아 상기 반응공간 내에 전기장을 형성시키는 적응형 플라즈마 소스에 관한 것이다. 이 적응형 플라즈마 소스는, 고주파전원과 연결되며 반응챔버 상부의 중심부에 배치되는 도전성의 부싱과, 부싱으로부터 분지되어 부싱 둘레를 나선형으로 감는 형상으로 배치되는 적어도 m개(m은 2 이상의 정수)의 단위코일들을 포함하여 구성된다. 특히 각 단위코일은 b/m회(b는 m보다 큰 정수)의 회전수를 갖는다.

Description

균일한 플라즈마 발생을 위한 적응형 플라즈마 소스{Adaptively plasma source for generating uniform plasma}
도 1은 일반적인 플라즈마 반응챔버 내의 위치에 따른 플라즈마 밀도 분포와 CD 변화율의 분포를 각각 나타내 보인 그래프이다.
도 2는 본 발명에 따른 적응형 플라즈마 소스를 채용한 플라즈마 반응챔버의 일 예를 나타내 보인 단면도이다.
도 3은 도 2의 적응형 플라즈마 소스를 나타내 보인 평면도이다.
도 4a 및 도 4b는 본 발명의 다른 실시예에 따른 적응형 플라즈마 소스를 설명하기 위하여 나타내 보인 도면들이다.
도 5a 및 도 5b는 본 발명의 또 다른 실시예에 따른 적응형 플라즈마 소스를 설명하기 위하여 나타내 보인 도면들이다.
도 6은 본 발명의 또 다른 실시예에 따른 적응형 플라즈마 소스를 설명하기 위하여 나타내 보인 도면이다.
도 7은 본 발명의 또 다른 실시예에 따른 적응형 플라즈마 소스를 설명하기 위하여 나타내 보인 도면이다.
도 8은 도 7의 적응형 플라즈마 코일의 인덕턴스성분을 등가적으로 나타내 보인 회로도이다.
도 9a 및 도 9b는 본 발명의 또 다른 실시예에 따라 각진 형상을 갖는 적응형 플라즈마 소스를 나타내 보인 도면들이다.
본 발명은 플라즈마를 이용한 반도체 등의 처리에 관한 것으로서, 특히 플라즈마 반응챔버 내의 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스에 관한 것이다.
초고집적(ULSI; Ultra-Large Scale Integrate) 회로소자들의 제조기술은 지난 20여년 간 눈부신 발전을 거듭하였다. 이는 극한의 기술이 요구되는 공정기술들을 뒷받침할 수 있는 반도체제조설비들이 뒷받침되었기 때문에 가능한 것이었다. 이들 반도체제조설비들 중 하나인 플라즈마 반응챔버는, 주로 사용되던 식각(etching)공정 이외에도 데포지션(deposition)공정 등에서도 사용되는 등 그 적용 범위를 점점 넓여가고 있다.
플라즈마 반응챔버는, 그 내부에 플라즈마를 형성시키고, 이 플라즈마를 이용하여 식각, 데포지션 등의 공정들을 수행하기 위한 설비이다. 이와 같은 플라즈마 반응챔버는, 플라즈마 발생소스에 따라 전자 사이클로트론 공진(ECR; Electron Cyclotron Resonance) 플라즈마 소스, 헬리콘파 여기 플라즈마(HWEP; Helicon-Wave Excited Plasma) 소스, 용량성 결합 플라즈마(CCP; Capacitively Coupled Plasma) 소스, 유도성 결합 플라즈마(ICP; Inductively Coupled Plasma) 소스 등 여러가지 형태로 분류된다. 이 중 ICP 소스는, 유도코일에 RF(Radio Frequency) 전력을 공급하여 자기장을 발생시키고, 이 발생된 자기장에 의해 유도된 전기장에 의하여 챔버 내부 중심에 전자를 가두어 낮은 압력에서도 고밀도 플라즈마를 생성시킨다. 이러한 ICP 소스는, ECR 플라즈마 소스나 HWEP 소스와 비교하여 구조적인 면에서 간단하고, 또한 대면적의 플라즈마를 상대적으로 용이하게 얻을 수 있다는 장점으로 인하여 널리 사용되고 있다.
ICP 소스를 채택하고 있는 플라즈마 챔버에 있어서, 공진회로의 인덕터(inductor)를 구성하는 코일에는 큰 RF 전류가 흐르게 되는데, 이 RF 전류량은 챔버 내부에 생성되는 플라즈마의 분포에 큰 영향을 끼친다. 일반적으로 인덕터를 구성하는 코일 내부에는 자체 저항을 포함하고 있다는 사실은 잘 알려져 있는 사실이다. 따라서 코일 내부를 따라 전류가 흐를 때, 코일을 따라 진행할수록 자체 저항에 의해 에너지 소모가 발생하여 열로 변환되게 되고, 그 결과 코일 내부를 흐르는 전류량은 점점 감소될 수 있다. 이와 같이 코일 내부를 흐르는 전류량이 불균일하게 되면, 챔버 내부에 생성되는 플라즈마 분포도 또한 불균일해질 수 있다.
도 1은 일반적인 플라즈마 챔버에서의 플라즈마 밀도(ni)와 CD(Critical Dimension)의 변화율(이하 △CD)(본 명세서에서 △CD는 공정이 이루어지기 전의 예상 CD와 공정이 이루어진 후의 결과 CD의 차로 정의한다)의 분포를 각각 나타낸 그래프이다.
도 1에 도시된 바와 같이, 플라즈마 밀도(ni)를 나타낸 곡선(12)을 관찰하면, 웨이퍼 중심에서 플라즈마 밀도(ni)가 가장 높은 반면에 웨이퍼 가장자리로 갈수록 플라즈마 밀도(ni)가 감소한다는 것을 알 수 있다. 또한 △CD를 나타낸 곡선(14)을 관찰하면, 상기와 같은 방식으로 플라즈마 밀도(ni)가 불균일해짐에 따라, △CD도 또한 웨이퍼 중심으로부터 웨이퍼 가장자리로 갈수록 증가한다는 사실을 쉽게 알 수 있다.
지금까지는 이와 같은 플라즈마 불균일에 의해 발생하는 문제를 주로 공정적인 측면에서 해결하고자 하였다. 그러나 리소그라피 공정의 한계 등의 요인들로 인하여 공정적으로 상기와 같은 문제들을 해결하는데는 한계가 있다.
본 발명이 이루고자 하는 기술적 과제는, 플라즈마 반응챔버의 내부에서 균일한 플라즈마가 발생되도록 하는 적응형 플라즈마 소스를 제공하는 것이다.
상기 기술적 과제를 달성하기 위하여, 본 발명의 일 실시예에 따른 적응형 플라즈마 소스는, 플라즈마가 형성될 반응공간을 갖는 반응챔버 상부에 배치되어 외부의 고주파 전원으로부터의 고주파전력을 인가받아 상기 반응공간 내에 전기장을 형성시키는 적응형 플라즈마 소스에 있어서, 상기 고주파전원과 연결되며 상기 반응챔버 상부의 중심부에 배치되는 도전성의 부싱; 및 상기 부싱으로부터 분지되어 상기 부싱 둘레를 나선형으로 감는 형상으로 배치되며, m개의 개수를 갖고(m은 2 이상의 정수), 각각이 상기 부싱의 상호 대칭이 되는 위치로부터 분지되어 상기 부싱 둘레를 나선형으로 감는 형상으로 배치되며, 각각이 b/m회(b는 m보다 큰 정수)의 회전수를 동일하게 갖는 단위코일들을 포함하는 것을 특징으로 한다.
상기 부싱은 일정한 직경을 갖는 원형의 형상을 가지며, 상기 단위코일들은 상기 부싱의 가장자리에서 상호 대칭이 되는 위치에서 각각 분지되는 것이 바람직하다.
상기 부싱은 다각형 형상을 가지며, 상기 단위코일들도 상기 부싱과 동일한 다각형 형상을 이루면서 상기 부싱 둘레를 나선형으로 감도록 배치되는 것이 바람직하다.
이 경우 상기 부싱 및 단위코일은 사각 형상인 것이 바람직하다. 또는 상기 부싱 및 단위코일은 육각 형상일 수도 있다.
상기 부싱은 상기 반응챔버의 상부에 배치된 상기 단위코일과 동일 평면상에 배치되는 것이 바람직하다.
상기 부싱은 상기 반응챔버의 상부에 배치된 상기 단위코일이 위치한 제1 평면상보다 더 높은 제2 평면상에 배치되는 것이 바람직하다.
이 경우 상기 단위코일은, 상기 제2 평면상에 배치되는 상기 부싱으로부터 분지되어 수직 방향으로 상기 제1 평면상까지 연장된 후에 상기 제1 평면상에서 나선형으로 배치되는 것이 바람직하다.
상기 기술적 과제를 달성하기 위하여, 본 발명의 다른 실시예에 따른 적응형 플라즈마 소스는, 플라즈마가 형성될 반응공간을 갖는 반응챔버 상부에 배치되어 외부의 고주파 전원으로부터의 고주파전력을 인가받아 상기 반응공간 내에 전기장을 형성시키는 적응형 플라즈마 소스에 있어서, 상기 반응챔버 상부의 제1 평면상에서 상기 반응챔버 상부의 중심부에 배치되는 도전성의 제1 부싱; m1개의 개수를 갖고(m1은 2 이상의 정수), 각각이 상기 제1 평면상에서 상기 제1 부싱의 상호 대칭이 되는 위치로부터 분지되어 상기 제1 부싱 둘레를 나선형으로 감는 형상으로 배치되며, 각각이 b/m1회(b는 m1보다 큰 정수)의 회전수를 동일하게 갖는 제1 단위코일들; 상기 제1 부싱이 배치된 제1 평면상보다 상대적으로 상기 반응챔버의 상부로부터 더 높은 제2 평면상에서 상기 제1 부싱에 대응되도록 배치되되, 상기 제1 부싱으로부터 전기적으로연결되는 도전성의 제2 부싱; 및 m2개의 개수를 갖고(m2은 2 이상의 정수), 각각이 상기 제2 평면상에서 상기 제2 부싱의 상호 대칭이 되는 위치로부터 분지되어 상기 제1 부싱 둘레를 나선형으로 감는 형상으로 배치되며, 각각이 b/m2회(b는 m2보다 큰 정수)의 회전수를 동일하게 갖는 제2 단위코일들을 포함하는 것을 특징으로 한다.
상기 제1 부싱의 단면적은 상기 제2 부싱의 단면적보다 더 큰 것이 바람직하다.
본 실시예에 있어서, 상기 제1 평면상과 상기 제2 평면상 사이의 적어도 하나 이상의 평면에서 상기 제1 부싱 및 제2 부싱과 연결되되는 적어도 하나 이상의 제3 부싱; 및 상기 제3 부싱으로부터 분지되어 상기 제1 단위코일 및 제2 단위코일과 동일하게 배치되는 적어도 하나 이상의 제3 단위코일들을 더 포함하는 것이 바람직하다.
이하 첨부도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나 본 발명의 실시예들은 여러가지 다른 형태들로 변형될 수 있으며, 따라서 본 발명의 범위가 아래에서 상술되는 실시예들로 한정되는 것으로 해석되어져서는 안된다.
도 2는 본 발명에 따른 적응형 플라즈마 소스를 채용한 플라즈마 반응챔버의 일 예를 나타내 보인 단면도이다. 그리고 도 3은 도 2의 적응형 플라즈마 소스를 나타내 보인 평면도이다.
먼저 도 2를 참조하면, 상기 플라즈마 반응챔버(200)는, 챔버외벽(202)에 의해 한정되는 일정 부피의 내부공간(204)을 갖는다. 처리하고자하는 대상물, 예컨대 반도체웨이퍼(206)는 플라즈마 반응챔버(200)의 내부공간(204) 하부에 위치된다. 이 반도체웨이퍼(206)는 플라즈마 반응챔버(200) 하부의 지지대(208) 위에 안착된다. 지지대(208)는 플라즈마 반응챔버(200) 외부의 RF(고주파)전원(210)에 연결된다. 플라즈마 반응챔버(200)의 상부에는 돔(dome)(212)이 배치된다. 그리고 돔(212)과 반도체웨이퍼(206) 사이의 공간에 플라즈마(214)가 만들어진다.
상기 플라즈마(214) 형성을 위한 적응형 플라즈마소스(300)는 돔(212) 위에서 돔(212)과 일정 간격 이격되도록 배치된다. 적응형 플라즈마소스(300)는 중앙에 배치되는 부싱(bushing)(310)과 복수개의 단위코일들(321, 322, 323)을 포함하여 구성된다. 부싱(310)은 RF전원(216)과 연결된다. 상기 RF전원(216)으로부터 RF전력을 공급받은 단위코일들(321, 322, 323)은 전기장을 발생시킨다. 이 전기장은 돔(212)을 통과하여 반응챔버(200)의 내부공간(204)으로 유기된다. 내부공간(204)에 유기된 전기장은 내부공간(204) 내의 가스들을 방전시켜서 플라즈마화하고, 이로부터 발생된 중성의 라디컬 입자들과 전하를 띤 이온들 사이의 화학반응이 발생되도록 한다.
이와 같이 상기 플라즈마 반응챔버(200)의 내부공간(204) 내에 플라즈마(214)를 발생시키는 적응형 플라즈마소스(300)는, 도 3에 도시된 바와 같이, 중심부의 부싱(310)으로부터 분지된 복수개의 단위코일들(321, 322, 323)이 부싱(310) 둘레를 나선형으로 감는 구조를 갖는다. 부싱(310)은 원형의 형상을 가지지만, 다른 여러 형상을 가질 수도 있다. 예컨대 상기 부싱(310)은, 삼각형과 같은 다각형 형상을 가질 수도 있으며, 또는 원형이나 다각형의 도넛형상을 가질 수도 있다. 이 부싱(310)은 플라즈마 반응챔버의 중심부에 대응되도록 배치되며, 따라서 플라즈마 반응챔버의 중심부에서의 플라즈마 밀도를 감소시킬 수 있다.
단위코일들(321, 322, 323)과 부싱(310)이 연결되는 분지점들(a, b, c)은 상호 대칭을 이룬다. 단위코일들(321, 322, 323)은 부싱(310)을 통해 RF전원(216)으로부터의 RF전력을 공급받아야 하므로, 부싱(310)의 일부 또는 전부는 도전체로 이루어진다. 일 예로서, 도면에는 단위코일의 개수 및 각 단위코일의 회전수가 각각 3개 및 1회인 경우를 나타내었지만, 단위코일의 개수는 2개일 수 있으며, 또는 4개 이상일 수도 있다. 또한 각 단위코일의 회전수는 아래의 수학식 1로 나타낸 바와 같은 일정한 규칙에 의해 선택된 회전수들 중 하나이어야 한다.
Figure 112003033929261-pat00001
여기서 n은 각 단위코일의 회전수를 나타내고, a 및 b는 양의 정수값을 나타내며, 그리고 m은 단위코일의 개수를 나타낸다.
상기 수학식 1에 의해 나타낸 바에 따르면, 도 3의 각 단위코일(321, 322 또는 323)의 회전수(n)는, 단위코일의 개수(m)가 3이므로, 1과 1/3회, 1과 2/3회, 1과 2/3회, 2회 …가 될 수 있다. 이와 같은 조건이 충족될 경우, 어느 위치에서도 단위코일들(321, 322, 323)의 배치가 상호 대칭을 이루고, 따라서 균일한 플라즈마 밀도를 만들 수 있다. 즉 부싱(310)의 중심점을 지나는 라인들 중 어느 라인을 따라 절단하더라도 각 단위코일의 좌우 배치가 대칭을 이루게 된다. 그러나 상기 수학식 1과 같은 조건이 충족되지 않은 경우에는, 각 단위코일의 좌우 배치가 비대칭을 이룰 수도 있는데, 일 예로 부싱의 좌측에는 3개의 단위코일이 모두 배치되는 반면에 부싱의 우측에는 2개의 단위코일만이 배치되는 현상이 발생할 수 있다. 이와 같은 비대칭적인 배치는, 플라즈마 반응챔버 내의 내부공간에 만들어지는 플라즈마 밀도가 불균일하게 형성되도록 하는 원인들 중 하나가 될 수 있다.
도 4a 및 도 4b는 본 발명의 다른 실시예에 따른 적응형 플라즈마 소스를 설명하기 위하여 나타내 보인 도면들이다. 구체적으로 도 4a는 본 실시예에 따른 적응형 플라즈마 소스가 플라즈마 반응챔버에 부착되는 구조를 나타내 보인 것이고, 도 4b는 도 4a의 적응형 플라즈마 소스를 입체적으로 나타내 보인 도면이다. 도 4a에서 도 2와 동일한 참조부호는 동일한 요소를 나타내므로 중복되는 설명은 생략하기로 한다.
도 4a 및 도 4b를 참조하면, 본 실시예에 따른 적응형 플라즈마 소스는, 상부에 배치된 부싱(410) 및 하부에 배치된 2개 이상의 복수개, 예컨대 3개의 단위코일들(421, 422, 423)을 포함하여 구성된다. 단위코일들(421, 422, 423)은 플라즈마 반응챔버(200)의 돔(212) 상부표면에 인접한 제1 평면(4a)상에 배치된다. 부싱(410)은 상대적으로 돔(212)의 상부표면으로부터 더 멀리 떨어져 있는 제2 평면(4b)상에 배치된다. 구체적으로 제2 평면(4b)상에서 부싱(410)으로부터 분지되는 단위코일들(421, 422, 423)은 제1 평면(4a)상에 이르기까지 각각 수직방향으로 연장된다. 제1 평면(4a)상에 도달된 각 단위코일(421, 422, 423)은 제1 평면(4a)상에서 나선형으로 배치된다. 각 단위코일(421, 422, 423)이 나선형으로 배치되는 구조는 도 3을 참조하여 설명한 바와 동일하므로 그 중복되는 설명은 생략하기로 한다.
도 5a 및 도 5b는 본 발명의 또 다른 실시예에 따른 적응형 플라즈마 소스를 설명하기 위하여 나타내 보인 도면들이다. 구체적으로 도 5a는 본 실시예에 따른 적응형 플라즈마 소스가 플라즈마 반응챔버에 부착되는 구조를 나타내 보인 것이고, 도 5b는 도 5a의 적응형 플라즈마 소스를 입체적으로 나타내 보인 도면이다. 도 5a에서 도 2와 동일한 참조부호는 동일한 요소를 나타내므로 중복되는 설명은 생략하기로 한다.
도 5a 및 도 5b를 참조하면, 본 실시예에 따른 적응형 플라즈마 소스는, 하부에 배치된 제1 부싱(510) 및 상부에 배치된 제2 부싱(530)을 포함하여 구성된다. 제1 부싱(510)은 플라즈마 반응챔버(200)의 돔(212) 상부표면상의 제1 평면(5a)상에 배치되며, 제2 부싱(530)은 제1 평면(5a)보다 일정 높이만큼 더 높은 제2 평면(5b)상에 배치된다. 제1 평면(5a)상에는 제1 부싱(510) 이외에도 2개 이상의 복수개, 예컨대 3개의 제1 단위코일들(521, 522, 523)이 배치된다. 마찬가지로 제2 평면(5b)상에도 제2 부싱(530) 이외에 2개 이상의 복수개, 예컨대 3개의 제2 단위코일들(541, 542, 543)이 배치된다. 제1 부싱(510)과 제2 부싱(530)은 연결막대(550)에 의해 상호 연결된다. 연결막대(550)는 도전성 재질로 이루어지므로, RF전원(216)으로부터의 RF전력은 제2 부싱(530) 및 연결막대(550)를 통해 제1 부싱(510)으로 전달될 수 있다.
제1 단위코일들(521, 522, 523)은 제1 부싱(510)으로부터 분지되어, 각각 제1 평면(5a)상에서 제1 부싱(510) 둘레를 나선형으로 감도록 배치된다. 제2 단위코일들(541, 542, 543)은 제2 부싱(530)으로부터 분지되어, 각각 제2 평면(5b)상에서 제2 부싱(530) 둘레를 나선형으로 감도록 배치된다. 제1 평면(5a)상에서 제1 단위코일들(521, 522, 523)이 배치되는 구조와 제2 평면(5b)상에서 제2 단위코일들(541, 542, 543)이 배치되는 구조는 모두 도 3을 참조하여 설명한 바와 동일하다.
한편 도면상에는 나타내지 않았지만, 제1 평면(5a) 및 제2 평면(5b) 사이의 일 평면상에는 제1 부싱(510) 및 제2 부싱(530)과 동일하게 배치되는 부싱(미도시)이 적어도 하나 이상 더 배치될 수 있으며, 이 부싱으로부터 적어도 2개 이상의 단위코일(미도시)들이 제1 단위코일 및 제2 단위코일과 동일하게 배치될 수 있다. 또한 제1 단위코일의 개수와 제2 단위코일의 개수는 같을 수도 있고 다를 수도 있다.
도 6은 본 발명의 또 다른 실시예에 따른 적응형 플라즈마 소스를 설명하기 위하여 나타내 보인 도면이다.
도 6을 참조하면, 본 실시예에 따른 적응형 플라즈마 소스는, 하부의 제1 부싱(510)의 지름(d1)과 상부의 제2 부싱(530)의 지름(d2)이 서로 다른 점에서 도 5a의 적응형 플라즈마 소스와 다르다. 즉 제1 평면(5a)상의 제1 부싱(510)의 지름(d1)이 제2 평면(5b)상의 제2 부싱(530)의 지름(d2)보다 더 크다. 이는 제1 부싱(510)의 단면적이 제2 부싱(530)의 단면적보다 더 크다는 것을 의미한다. 즉 제1 부싱(510)의 지름(d1)이 제2 부싱(530)의 지름(d2)과 동일한 경우보다, 제1 부싱(510)의 지름(d1)이 늘어난 경우로서, 플라즈마 반응챔버(200)의 중심부에서의 플라즈마 밀도를 감소시키는데 더 효과적이다. 다시 말하면, 제1 단위코일들(521, 522, 523)과 중첩되는 플라즈마 반응챔버(200)의 영역이 감소됨에 따라 플라즈마 밀도가 낮아지는 영역이 더 넓어지게 된다.
도 7은 본 발명의 또 다른 실시예에 따른 적응형 플라즈마 소스를 설명하기 위하여 나타내 보인 도면이다.
도 7을 참조하면, 하부의 제1 단위코일들(521, 522, 523)의 개수와 상부의 제2 단위코일들(541, 542, 543, 544)의 개수가 서로 다른 점에서 도 5a의 적응형 플라즈마 소스와 다르다. 즉 하부의 제1 단위코일들(521, 522, 523)의 개수는 3개인 반면에, 상부의 제2 단위코일들(541, 542, 543, 544)의 개수는 4개로서 서로 다르다. 이와 같이 하부의 단위코일의 개수와 상부의 단위코일의 개수를 조절함으로써 보다 정밀한 임피던스를 얻을 수 있다.
도 8은 도 7의 적응형 플라즈마 코일의 인덕턴스성분을 등가적으로 나타내 보인 회로도이다.
도 8을 참조하면, 하부의 제1 단위코일들(521, 522, 523)은 모두 제1 부싱(510)으로부터 분지되므로 상호 병렬로 연결되는 회로구조를 이루고, 상부의 제2 단위코일들(541, 542, 543, 544)도 또한 제2 부싱(530)으로부터 분지되므로 상호 병렬로 연결되는 회로구조를 이룬다. 각각의 단위코일들이 모두 동일한 임피던스(Z)를 가질 경우, 상부의 제2 단위코일회로의 제2 등가임피던스(Z2)는 Z/4가 되며, 마찬가지로 하부의 제1 단위코일회로의 제1 등가임피던스(Z1)는 Z/3이 된다. 따라서 전체 등가임피던스(Zt)는 제1 등가임피던스(Z1)와 제2 등가임피던스(Z2)의 합이므로 7Z/12가 된다. 즉 하나의 단위코일이 갖는 임피던스의 7/12배의 등가임피던스를 얻을 수 있으며, 이에 따라 보다 더 세밀한 등가임피던스, 예컨대 본 실시예에서와 같이 하부에 3개의 단위코일들이 배치되고 상부에 4개의 단위코일들이 배치되는 경우 하나의 단위코일이 갖는 임피던스의 1/12배 내지 12/12배를 얻을 수 있다.
도 9a 및 도 9b는 본 발명의 또 다른 실시예에 따라 각진 형상을 갖는 적응형 플라즈마 소스를 나타내 보인 도면들이다.
지금까지 부싱이 원형의 형상을 갖는 경우를 예를 들어 설명하였지만, 경우에 따라서 부싱은 각진(angular) 형상을 가질 수도 있다. 일 예로서, 도 9a에 도시된 바와 같이, 사각 형상의 부싱(910)이 사용될 수도 있고, 또는 도 9b에 도시된 바와 같이, 6각 형상의 부싱(930)이 사용될 수도 있다. 먼저 도 9a에 도시된 바와 같이, 사각 형상의 부싱(910)을 사용하는 경우, 2개 이상의 복수개, 예컨대 4개의 단위코일들(921, 922, 923, 924)은 부싱(910)의 변으로부터 상호 대칭적으로 분지된다. 경우에 따라서 부싱(910)의 각 모서리로부터 분지될 수도 있다는 것은 당연하다. 이 경우 각 단위코일들(921, 922, 923, 924)의 회전수는 앞서 설명한 수학식 1에 의해 결정된다. 즉 4개의 단위코일들(921, 922, 923, 924)이 사용되므로, 그 회전수는 1과 1/4, 1과 2/4, 1과 3/4, 2… 등이 된다. 다음에 도 9b에 도시된 바와 같이, 6각 형상의 부싱(930)을 사용하는 경우, 2개 이상의 복수개, 예컨대 6개의 단위코일들(941, 942, 943, 944, 945, 946)은 부싱(930)의 각 모서리로부터 상호 대칭적으로 분지된다. 이 경우 각 단위코일들(941, 942, 943, 944, 945, 946)의 회전수는 앞서 설명한 수학식 1에 의해 결정된다. 즉 6개의 단위코일들(941, 942, 943, 944, 945, 946)이 사용되므로, 그 회전수는 1과 1/6, 1과 2/6, 1과 3/6, 1과 4/6, 1과 5/6, 2… 등이 된다.
이상의 설명에서와 같이, 본 발명에 따른 적응형 플라즈마 소스에 의하면, 일정한 규칙에 의해 단위코일들을 부싱 둘레에 나선형으로 배치함에 따라 어느 위치에서도 상호 대칭이 되는 코일배치가 이루어지며, 이에 따라 균일한 플라즈마 밀도를 발생시킬 수 있다. 이 외에도 중심부에 배치되는 부싱으로 인하여 상대적으로 강한 중심부에서의 플라즈마 밀도를 경감시켜서 전체적으로 균일한 플라즈마 밀도로 분포시킬 수 있다. 또한 상부 및 하부에 각각 부싱 및 단위코일들을 배치시킴으로써, 단위코일들의 개수 및 회전수의 조절을 통해 전체 임피던스 조절을 미세하게 할 수 있다는 이점도 제공한다.

Claims (11)

  1. 플라즈마가 형성될 반응공간을 갖는 반응챔버 상부에 배치되어 외부의 고주파 전원으로부터의 고주파전력을 인가받아 상기 반응공간 내에 전기장을 형성시키는 적응형 플라즈마 소스에 있어서,
    상기 고주파전원과 연결되며 상기 반응챔버 상부의 중심부에 배치되는 도전성의 부싱; 및
    m개의 개수를 갖고(m은 2 이상의 정수), 각각이 상기 부싱의 상호 대칭이 되는 위치로부터 분지되어 상기 부싱 둘레를 나선형으로 감는 형상으로 배치되며, 각각이 b/m회(b는 m보다 큰 정수)의 회전수를 동일하게 갖는 단위코일들을 포함하는 것을 특징으로 하는 적응형 플라즈마 소스.
  2. 제1항에 있어서,
    상기 부싱은 일정한 직경을 갖는 원형의 형상을 가지며, 상기 단위코일들은 상기 부싱의 가장자리에서 상호 대칭이 되는 위치에서 각각 분지되는 것을 특징으로 하는 적응형 플라즈마 소스.
  3. 제1항에 있어서,
    상기 부싱은 다각형 형상을 가지며, 상기 단위코일들은 상기 부싱의 모양을 따라서 상기 부싱 둘레를 나선형으로 감도록 배치되는 것을 특징으로 하는 적응형 플라즈마 소스.
  4. 제3항에 있어서,
    상기 부싱은 사각 형상인 것을 특징으로 하는 적응형 플라즈마 소스.
  5. 제3항에 있어서,
    상기 부싱은 육각 형상인 것을 특징으로 하는 적응형 플라즈마 소스.
  6. 제1항에 있어서,
    상기 부싱은 상기 반응챔버의 상부에 배치된 상기 단위코일과 동일 평면상에 배치되는 것을 특징으로 하는 적응형 플라즈마 소스.
  7. 제1항에 있어서,
    상기 부싱은 상기 반응챔버의 상부에 배치된 상기 단위코일이 위치한 제1 평면상보다 더 높은 제2 평면상에 배치되는 것을 특징으로 하는 적응형 플라즈마 소스.
  8. 제7항에 있어서,
    상기 단위코일은, 상기 제2 평면상에 배치되는 상기 부싱으로부터 분지되어 수직 방향으로 상기 제1 평면상까지 연장된 후에 상기 제1 평면상에서 나선형으로 배치되는 것을 특징으로 하는 적응형 플라즈마 소스.
  9. 플라즈마가 형성될 반응공간을 갖는 반응챔버 상부에 배치되어 외부의 고주파 전원으로부터의 고주파전력을 인가받아 상기 반응공간 내에 전기장을 형성시키는 적응형 플라즈마 소스에 있어서,
    상기 반응챔버 상부의 제1 평면상에서 상기 반응챔버 상부의 중심부에 배치되는 도전성의 제1 부싱;
    m1개의 개수를 갖고(m1은 2 이상의 정수), 각각이 상기 제1 평면상에서 상기 제1 부싱의 상호 대칭이 되는 위치로부터 분지되어 상기 제1 부싱 둘레를 나선형으로 감는 형상으로 배치되며, 각각이 b/m1회(b는 m1보다 큰 정수)의 회전수를 동일하게 갖는 제1 단위코일들;
    상기 제1 부싱이 배치된 제1 평면상보다 상대적으로 상기 반응챔버의 상부로부터 더 높은 제2 평면상에서 상기 제1 부싱에 대응되도록 배치되되, 상기 제1 부싱으로부터 전기적으로연결되는 도전성의 제2 부싱; 및
    m2개의 개수를 갖고(m2은 2 이상의 정수), 각각이 상기 제2 평면상에서 상기 제2 부싱의 상호 대칭이 되는 위치로부터 분지되어 상기 제1 부싱 둘레를 나선형으로 감는 형상으로 배치되며, 각각이 b/m2회(b는 m2보다 큰 정수)의 회전수를 동일하게 갖는 제2 단위코일들을 포함하는 것을 특징으로 하는 적응형 플라즈마 소스.
  10. 제9항에 있어서,
    상기 제1 부싱의 단면적은 상기 제2 부싱의 단면적보다 같거나 더 큰 것을 특징으로 하는 적응형 플라즈마 소스.
  11. 제9항에 있어서,
    상기 제1 평면상과 상기 제2 평면상 사이의 적어도 하나 이상의 평면에서 상기 제1 부싱 및 제2 부싱과 연결되는 적어도 하나 이상의 제3 부싱; 및
    상기 제3 부싱으로부터 분지되어 상기 제1 단위코일 및 제2 단위코일과 동일하게 배치되는 적어도 하나 이상의 제3 단위코일들을 더 포함하는 것을 특징으로 하는 적응형 플라즈마 소스.
KR1020030063416A 2003-09-09 2003-09-09 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스 KR100551138B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020030063416A KR100551138B1 (ko) 2003-09-09 2003-09-09 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스
EP04774541A EP1665908A1 (en) 2003-09-09 2004-09-08 Adaptively plasma source for generating uniform plasma
CNB200480028661XA CN100438718C (zh) 2003-09-09 2004-09-08 用于产生均匀等离子体的自适应等离子体源
US10/570,942 US20070084405A1 (en) 2003-09-09 2004-09-08 Adaptive plasma source for generating uniform plasma
JP2006526028A JP2007505466A (ja) 2003-09-09 2004-09-08 均一なプラズマ発生のための適応型プラズマソース
PCT/KR2004/002282 WO2005025281A1 (en) 2003-09-09 2004-09-08 Adaptively plasma source for generating uniform plasma

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030063416A KR100551138B1 (ko) 2003-09-09 2003-09-09 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스

Publications (2)

Publication Number Publication Date
KR20050026679A KR20050026679A (ko) 2005-03-15
KR100551138B1 true KR100551138B1 (ko) 2006-02-10

Family

ID=36242202

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030063416A KR100551138B1 (ko) 2003-09-09 2003-09-09 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스

Country Status (6)

Country Link
US (1) US20070084405A1 (ko)
EP (1) EP1665908A1 (ko)
JP (1) JP2007505466A (ko)
KR (1) KR100551138B1 (ko)
CN (1) CN100438718C (ko)
WO (1) WO2005025281A1 (ko)

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006031010A1 (en) * 2004-09-14 2006-03-23 Adaptive Plasma Technology Corp. Adaptively plasma source and method of processing semiconductor wafer using the same
KR100716720B1 (ko) * 2004-10-13 2007-05-09 에이피티씨 주식회사 비원형의 플라즈마 소스코일
KR100748871B1 (ko) * 2005-10-21 2007-08-13 에이피티씨 주식회사 균일한 자계분포를 갖도록 하는 적응형 플라즈마 소스 및이를 포함하는 플라즈마 챔버
KR100777635B1 (ko) * 2006-01-17 2007-11-21 (주)아이씨디 평판 타입 고밀도 icp 안테나
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011065506A1 (ja) * 2009-11-27 2011-06-03 株式会社 アルバック プラズマ処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
PL235377B1 (pl) * 2016-04-05 2020-07-13 Edward Reszke Adapter kształtujący mikrofalowe pole elektromagnetyczne nagrzewające toroidalne wyładowanie plazmowe
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10971333B2 (en) * 2016-10-24 2021-04-06 Samsung Electronics Co., Ltd. Antennas, circuits for generating plasma, plasma processing apparatus, and methods of manufacturing semiconductor devices using the same
KR102630343B1 (ko) * 2017-08-03 2024-01-30 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3105403B2 (ja) * 1994-09-14 2000-10-30 松下電器産業株式会社 プラズマ処理装置
US5731565A (en) * 1995-07-27 1998-03-24 Lam Research Corporation Segmented coil for generating plasma in plasma processing equipment
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
TW349234B (en) * 1996-07-15 1999-01-01 Applied Materials Inc RF plasma reactor with hybrid conductor and multi-radius dome ceiling
TW406523B (en) * 1998-02-11 2000-09-21 Tsai Chuen Hung Inductively-coupled high density plasma producing apparatus and plasma processing equipment provided with the same
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6238528B1 (en) * 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source

Also Published As

Publication number Publication date
CN1864449A (zh) 2006-11-15
US20070084405A1 (en) 2007-04-19
WO2005025281A1 (en) 2005-03-17
JP2007505466A (ja) 2007-03-08
CN100438718C (zh) 2008-11-26
KR20050026679A (ko) 2005-03-15
EP1665908A1 (en) 2006-06-07

Similar Documents

Publication Publication Date Title
KR100551138B1 (ko) 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스
US6806437B2 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
JP5561812B2 (ja) プラズマ処理装置
JP5315243B2 (ja) 誘導結合コイルおよび該誘導結合コイルを用いた誘導結合プラズマ装置
JP4750879B2 (ja) プラズマ発生装置
US6028285A (en) High density plasma source for semiconductor processing
EP1540694B1 (en) Method and apparatus for producing uniform processing rates
US7381292B2 (en) Inductively coupled plasma generating apparatus incorporating serpentine coil antenna
KR20020074270A (ko) 유도결합 플라즈마 소스의 임피던스 정합 회로
US20040261718A1 (en) Plasma source coil for generating plasma and plasma chamber using the same
US20080178806A1 (en) Plasma Source For Uniform Plasma Distribution in Plasma Chamber
CN111192752B (zh) 一种功率分配电感耦合线圈及具有其的等离子体处理装置
KR101118492B1 (ko) 유도 코일, 플라즈마 발생 장치 및 플라즈마 발생 방법
KR100528253B1 (ko) 낮은 이온 플럭스와 높은 임피던스를 갖는 플라즈마 소스및 이를 채용한 플라즈마 챔버
KR100527837B1 (ko) 균일한 플라즈마 분포를 발생시키는 플라즈마 소스 및플라즈마 챔버
KR101712263B1 (ko) 헬리컬공명플라즈마 안테나 및 이를 구비하는 플라즈마 발생 장치
KR100487575B1 (ko) 3차원 구조의 플라즈마 소스 및 이를 채용한 플라즈마 챔버
KR101040541B1 (ko) 플라즈마 발생용 하이브리드 안테나
CN110415948B (zh) 一种立体四螺旋电感耦合线圈
KR100519676B1 (ko) 플라즈마소스코일을 갖는 플라즈마챔버 세팅방법
KR101063319B1 (ko) 적응형 플라즈마 소스를 갖는 플라즈마 반응챔버
KR100464808B1 (ko) 다중 유도 결합 플라즈마 인덕터
KR100772448B1 (ko) 중성빔 처리 장치
KR20120140084A (ko) 균일한 플라즈마 밀도를 위한 플라즈마 소스 및 이를 이용한 플라즈마 챔버
KR20040087408A (ko) 플라즈마 소스를 위한 코일 구조 및 이를 이용한 반도체제조용 플라즈마 설비

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130204

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140303

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150203

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160303

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170203

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180202

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20190131

Year of fee payment: 14