KR100503553B1 - Apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces - Google Patents

Apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces Download PDF

Info

Publication number
KR100503553B1
KR100503553B1 KR10-2004-7011238A KR20047011238A KR100503553B1 KR 100503553 B1 KR100503553 B1 KR 100503553B1 KR 20047011238 A KR20047011238 A KR 20047011238A KR 100503553 B1 KR100503553 B1 KR 100503553B1
Authority
KR
South Korea
Prior art keywords
wafer chuck
assembly
wafer
upper section
spring
Prior art date
Application number
KR10-2004-7011238A
Other languages
Korean (ko)
Other versions
KR20040070317A (en
Inventor
휴이 왕
필릭스 굿맨
보하 누치
Original Assignee
에이씨엠 리서치, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이씨엠 리서치, 인코포레이티드 filed Critical 에이씨엠 리서치, 인코포레이티드
Publication of KR20040070317A publication Critical patent/KR20040070317A/en
Application granted granted Critical
Publication of KR100503553B1 publication Critical patent/KR100503553B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

웨이퍼를 전기도금 및/또는 전기연마하는 동안 웨이퍼를 보유하기 위한 웨이퍼 척 어셈블리는 웨이퍼를 수용하는 웨이퍼 척을 포함한다. 또한 웨이퍼 척 어셈블리는 제 1 및 제 2 위치 사이에 웨이퍼 척을 이동시키기 위한 액츄에이터 어셈블리를 포함한다. 제 1 위치에 있는 경우, 웨이퍼 척이 개방된다. 제 2 위치에 있는 경우, 웨이퍼 척이 폐쇄된다.A wafer chuck assembly for holding a wafer during electroplating and / or electropolishing the wafer includes a wafer chuck for receiving the wafer. The wafer chuck assembly also includes an actuator assembly for moving the wafer chuck between the first and second positions. When in the first position, the wafer chuck is open. When in the second position, the wafer chuck is closed.

Description

반도체 물품을 전기연마 및/또는 전기도금하는 동안 반도체 물품을 보유하고 위치시키는 장치 {APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES}Apparatus for holding and placing semiconductor articles during electropolishing and / or electroplating of semiconductor articles

본 발명은 반도체 물품을 처리하는 동안 반도체 물품을 보유하고 위치시키는 방법 및 장치에 관한 것이다. 특히, 본 발명은 반도체 물품을 전기도금 및/또는 전기연마하는 동안 반도체 물품을 보유하고 위치시키는 방법 및 장치에 관한 것이다.The present invention relates to a method and apparatus for holding and positioning a semiconductor article during processing of the semiconductor article. In particular, the present invention relates to a method and apparatus for holding and positioning a semiconductor article during electroplating and / or electropolishing of the semiconductor article.

일반적으로, 반도체 장치는 웨이퍼 또는 슬라이스라 불리는 반도체 물질의 디스크상에 제작 또는 제조된다. 특히, 웨이퍼는 실리콘 잉곳에서 초기에 절단된다. 다음 웨이퍼는 다중의 마스킹, 에칭 및 반도체 장치의 전기 회로를 형성하기 위해 증착 공정을 거치게 된다.Generally, semiconductor devices are fabricated or fabricated on disks of semiconductor material called wafers or slices. In particular, the wafer is initially cut in a silicon ingot. The wafer is then subjected to a deposition process to form multiple masking, etching and electrical circuits of the semiconductor device.

과거 수십년 동안, 반도체 산업은 반도체 장치의 전력이 18 개월마다 2배로 된다고 예언하는, 무어(Moore)의 법칙에 따라 반도체 장치의 전력이 증가되었다. 반도체 장치의 전력 증가는 이러한 반도체 장치의 픽춰 크기(즉, 장치상에서 최소 치수)를 감소시킴에 따라 일부 달성되었다. 사실, 반도체 장치의 픽춰 크기는 0.35 미크론에서 0.25 미크론으로 급속히 변했고, 현재는 0.18 미크론에 이르고 있다. 당연히, 반도체 장치는 소형화되는 이러한 경향으로 0.18 미크론 스테이지를 훨씬 넘게 처리될 것이다.In the past decades, the semiconductor industry has increased in accordance with Moore's Law, which predicts that the power of semiconductor devices will double every 18 months. Increasing the power of the semiconductor device has been achieved in part by reducing the picture size of the semiconductor device (ie, the minimum dimensions on the device). In fact, the picture size of semiconductor devices has rapidly changed from 0.35 microns to 0.25 microns and is now reaching 0.18 microns. Naturally, semiconductor devices will be processed well beyond 0.18 micron stages with this trend toward miniaturization.

그러나, 보다 강력한 반도체 장치를 개발하는데 있어 있을 수 있는 제한 요인은 내부배선(단일 반도체 장치의 소자의 연결 및/또는 다수의 반도체 장치를 서로 연결하는 도체 라인)에서 증가하는 신호 지연이다. 반도체 장치의 픽춰 크기가 감소됨에 따라, 장치 상에서의 내부배선의 밀도는 증가한다. 그러나, 내부배선이 보다 가까워지면 내부배선의 라인 대 라인 캐패시턴스가 증가하여, 내부배선에서 신호 지연이 증가되게 된다. 일반적으로, 내부배선 지연은 픽춰 크기 감소의 제곱에 비례하여 증가한다는 것으로 알려졌다. 대조적으로, 게이트 지연(즉, 반도체 장치의 게이트 또는 메사에서의 지연)은 픽춰 크기에서의 감소에 따라 선형적으로 증가한다는 것으로 알려졌다. However, a limiting factor in developing more powerful semiconductor devices is the increased signal delay in the internal wiring (connection of elements of a single semiconductor device and / or conductor lines connecting multiple semiconductor devices to each other). As the picture size of a semiconductor device is reduced, the density of internal wiring on the device increases. However, the closer the internal wiring is, the higher the line-to-line capacitance of the internal wiring is, which increases the signal delay in the internal wiring. In general, it is known that the internal wiring delay increases in proportion to the square of the picture size reduction. In contrast, it is known that the gate delay (i.e., the delay in the gate or mesa of the semiconductor device) increases linearly with the decrease in picture size.

종래 방법에서는 내부배선 지연에서의 이러한 증가를 보상하도록 금속층을 부가시켰다. 그러나, 이러한 방법은 부가적 금속층의 형성과 관련하여 생산 비용을 증가시킨다는 단점이 있다. 또한, 이러한 금속층의 부가는 부가적 가열을 발생시키며 이는 칩 성능 및 신뢰성에 악영향을 줄 수 있다. In the conventional method, a metal layer was added to compensate for this increase in internal wiring delay. However, this method has the disadvantage of increasing the production cost with respect to the formation of additional metal layers. In addition, the addition of such metal layers results in additional heating, which can adversely affect chip performance and reliability.

따라서, 반도체 산업에서 금속 내부배선을 형성하는데 있어 알루미늄보다는 구리를 사용하기 시작했다. 구리의 장점은 알루미늄보다 전도성이 크다는 것이다. 또한, 구리는 알루미늄다 일렉트로마이그레이션에 대한 내성이 작다(구리로 형성된 라인은 전류 부하 상태에서 덜 얇아지는 경향이 있다는 것을 의미한다).Thus, the semiconductor industry has begun to use copper rather than aluminum to form metal interconnects. The advantage of copper is that it is more conductive than aluminum. In addition, copper is less resistant to aluminum die electromigration (meaning that lines formed of copper tend to be thinner under current load conditions).

그러나, 우선 반도체 산업에서 구리가 광범위하게 사용될 수 있도록, 새로운 공정 기술이 요구된다. 특히, 구리층은 전기도금 공정을 사용하여 웨이퍼상에 형성되고/또는 전기연마 공정을 사용하여 에칭될 수 있다. 일반적으로, 전기도금 및/또는 전기연마 공정에서, 웨이퍼는 전해질 용액에 보유되어 전하가 웨이퍼에 제공된다. 따라서, 웨이퍼 척은 전기도금 및/또는 전기연마 공정 동안 웨이퍼를 보유한 후 웨이퍼에 전하를 제공해야 한다. However, first, new process technologies are required so that copper can be used extensively in the semiconductor industry. In particular, the copper layer can be formed on the wafer using an electroplating process and / or etched using an electropolishing process. Generally, in the electroplating and / or electropolishing process, the wafer is held in an electrolyte solution so that charge is provided to the wafer. Thus, the wafer chuck must retain the wafer during the electroplating and / or electropolishing process and then provide charge to the wafer.

종래의 웨이퍼 척은 통상적으로 웨이퍼의 하면(즉, 처리될 웨이퍼 면의 반대면)을 고정한다. 웨이퍼는 단순히 웨이퍼 척의 상부에 고정되거나 진공이나 흡입에 의해 웨이퍼 척에 고정된다. 그러나, 이러한 통상의 웨이퍼 척은 일반적으로 전기도금 및/또는 전기연마 처리용으로 사용하는데 적합하지 않은데, 그 이유는 전기연마 및/또는 전기도금 도중에 전하가 웨이퍼의 전면(즉, 웨이퍼의 처리될 면)에 인가될 필요가 있기 때문이다. Conventional wafer chucks typically hold the lower surface of the wafer (ie, opposite surface of the wafer to be processed). The wafer is simply fixed on top of the wafer chuck or fixed to the wafer chuck by vacuum or suction. However, such conventional wafer chucks are generally not suitable for use for electroplating and / or electropolishing treatments, because during electropolishing and / or electroplating, the charge is transferred to the front of the wafer (i.e. the surface of the wafer to be processed). Is required to be applied).

따라서, 본 발명의 목적은 전기연마 및/또는 전기도금 도중에 웨이퍼를 고정 및 수용하도록 개방 및 폐쇄될 수 있는 웨이퍼 척을 제공하는 것이다.It is therefore an object of the present invention to provide a wafer chuck that can be opened and closed to fix and receive a wafer during electropolishing and / or electroplating.

본 발명의 실시예에서, 웨이퍼의 전기도금 및/또는 전기연마를 하는 동안 웨이퍼를 보유하는 웨이퍼 척 어셈블리는 웨이퍼를 수용하는 웨이퍼 척을 포함한다. 또한 웨이퍼 척 어셈블리는 제 1 위치와 제 2 위치 사이로 웨이퍼 척을 이동시키는 액츄에이터 어셈블리를 포함한다. 제 1 위치에서, 웨이퍼 척은 개방된다. 제 2 위치에서 웨이퍼 척은 폐쇄된다.In an embodiment of the invention, the wafer chuck assembly holding the wafer during electroplating and / or electropolishing of the wafer includes a wafer chuck for receiving the wafer. The wafer chuck assembly also includes an actuator assembly that moves the wafer chuck between the first and second positions. In the first position, the wafer chuck is open. In the second position the wafer chuck is closed.

본 발명의 이해를 돕기 위해, 이하 설명은 특정 물질, 파라미터 등에 대해 상세히 설명한다. 그러나, 이러한 설명은 본 발명의 범주로 제한되는 것이 아니며, 실시예의 설명을 보조하기 위해 제공되는 것이다.In order to facilitate understanding of the present invention, the following description will be given in detail for specific materials, parameters, and the like. However, this description is not limited to the scope of the present invention, but is provided to aid the description of the embodiments.

부가적으로, 본 발명의 당면 과제는 반도체 물품 또는 웨이퍼의 전기도금 및/또는 전기연마와 관련하여 사용하는데 특히 적합하다. 따라서, 본 발명의 실시예는 이러한 조건하에서 설명된다. 그러나, 이러한 설명은 본 발명의 사용 또는 응용에 제한을 두는 것은 아니다. 오히려, 이러한 설명은 실시예의 설명을 보조하기 위해 제공된다.In addition, the present subject matter is particularly suitable for use in connection with electroplating and / or electropolishing of semiconductor articles or wafers. Thus, embodiments of the present invention are described under these conditions. However, this description does not limit the use or application of the present invention. Rather, these descriptions are provided to assist in describing the embodiments.

먼저 도 1을 참조로, 웨이퍼 처리 장치(100)는 반도체 물품 또는 웨이퍼를 전기도금 및/또는 전기연마하도록 구성된다. 실시예에서, 웨이퍼 처리 장치(100)는 전기도금 및/또는 전기연마 스테이션(102), 세척 스테이션(104), 웨이퍼 처리 스테이션(108, 110) 및 로봇(106)을 포함한다.Referring first to FIG. 1, wafer processing apparatus 100 is configured to electroplate and / or electropolize a semiconductor article or wafer. In an embodiment, wafer processing apparatus 100 includes an electroplating and / or electropolishing station 102, a cleaning station 104, wafer processing stations 108, 110 and a robot 106.

다음 도 4를 참조로, 웨이퍼 처리 장치(100)에 의해 수행되는 공정 단계는 흐름도 포맷에서 시작된다. 다시 도 1을 참조로, 처리되지 않은 반도체 물품 또는 웨이퍼가 웨이퍼 처리 스테이션(108, 110)으로부터 로봇(106)에 의해 얻어진다(도 4, 블록 402). 웨이퍼는 로봇(106)에 의해 웨이퍼 처리 스테이션(108, 110)에서 전기도금 및/또는 전기연마 스테이션(102)으로 이송된다(도 4, 블록 404). 이하 보다 상세히 설며되는 것처럼, 웨이퍼는 전기도금 및/또는 전기연마 스테이션(102)에서 전기도금 및/전기연마된다(도 4, 블록 406). 전기도금 및/또는 전기연마된 웨이퍼는 로봇(106)에 의해 세척 스테이션(104)으로 이송된다(도 4, 블록 408). 웨이퍼는 세척 스테이션(104)에서 세척 및 건조된다(도 4, 블록 410). 세척 및 건조된 웨이퍼는 로봇(106)에 의해 다시 웨이퍼 처리 스테이션(108, 110)으로 이송된다(도 4, 블록 412). 전체 공정은 또다른 처리된 웨이퍼에 대해 다시 반복될 수 있다. 그러나, 본 발명의 범주를 벗어나지 않고 상기 설명되고 도 4에 도시된 단계에서 다양한 변형이 있을 수 있다.Referring next to FIG. 4, the process steps performed by the wafer processing apparatus 100 begin in flow chart format. Referring again to FIG. 1, an unprocessed semiconductor article or wafer is obtained by the robot 106 from wafer processing stations 108 and 110 (FIG. 4, block 402). The wafer is transferred from the wafer processing stations 108, 110 to the electroplating and / or electropolishing station 102 by the robot 106 (FIG. 4, block 404). As described in more detail below, the wafer is electroplated and / or electropolished at the electroplating and / or electropolishing station 102 (FIG. 4, block 406). The electroplated and / or electropolished wafer is transferred to the cleaning station 104 by the robot 106 (FIG. 4, block 408). The wafer is cleaned and dried at the cleaning station 104 (FIG. 4, block 410). The cleaned and dried wafers are transferred back to the wafer processing stations 108 and 110 by the robot 106 (FIG. 4, block 412). The whole process can be repeated again for another processed wafer. However, various modifications may be made in the steps described above and shown in FIG. 4 without departing from the scope of the present invention.

도 2를 참조로, 본 실시예에서, 전기도금 및/또는 전기연마 스테이션(102) 및 세척 스테이션(104)은 5개의 전기도금 및/또는 전기연마 셀(112) 및 5개의 세척 셀(114)을 포함한다. 따라서, 5개의 웨이퍼는 한번에 전기도금 및/또는 전기연마 및 세척될 수 있다. 그러나, 전기도금 및/또는 전기연마 스테이션(102) 및 세척 스테이션(104)은 특정 용도에 따라 임의의 수의 전기도금 및/또는 전기연마 셀(112) 및 세척 셀(114)을 포함할 수 있다. 예를 들어, 적은 체적 용도를 위해, 전기도금 및/또는 전기연마 스테이션(102) 및 세척 스테이션(104)은 각각 1개의 전기도금 및/또는 전기연마 셀(112) 및 1개의 세척 셀(114)로 구성될 수 있다. 또한, 전기도금 및/또는 전기연마 셀(112) 대 세척 셀(114)의 비율은 특정 용도에 따라 가변적이다. 예를 들어, 전기도금 및/또는 전기연마 공정이 세척 공정이 세척 공정 보다 처리되는 시간이 더 요구되는 경우, 웨이퍼 처리 장치(100)는 세척 셀(114) 보다 전기도금 및/또는 전기연마 셀(112)을 더 구성할 수 있다. 선택적으로, 전기도금 및/또는 전기연마 공정이 세척 공정 보다 처리시간이 덜 요구되는 경우, 웨이퍼 처리 장치(100)는 세척 셀(114) 보다 적은 전기도금 및/또는 전기연마 셀(112)로 구성될 수 있다.Referring to FIG. 2, in this embodiment, the electroplating and / or electropolishing station 102 and the cleaning station 104 are five electroplating and / or electropolishing cells 112 and five cleaning cells 114. It includes. Thus, five wafers can be electroplated and / or electropolished and cleaned at one time. However, electroplating and / or electropolishing station 102 and cleaning station 104 may comprise any number of electroplating and / or electropolishing cells 112 and cleaning cells 114, depending on the particular application. . For example, for low volume applications, the electroplating and / or electropolishing station 102 and the cleaning station 104 may each have one electroplating and / or electropolishing cell 112 and one cleaning cell 114. It can be configured as. In addition, the ratio of electroplating and / or electropolishing cell 112 to cleaning cell 114 may vary depending on the particular application. For example, when the electroplating and / or electropolishing process requires more time for the cleaning process to be processed than the cleaning process, the wafer processing apparatus 100 is more capable of electroplating and / or electropolishing cells than the cleaning cell 114. 112 may be further configured. Optionally, when the electroplating and / or electropolishing process requires less processing time than the cleaning process, the wafer processing apparatus 100 consists of less electroplating and / or electropolishing cells 112 than the cleaning cell 114. Can be.

도 2에 도시된 것처럼, 전기도금 및/또는 전기연마 셀(112)과 세척 셀(114)은 수직 스택으로써 구성된다. 이러한 방식으로, 처리된 다수의 웨이퍼는 웨이퍼 처리 장치(100)의 풋프린트(footprint)(웨이퍼 처리 장치에 의해 점유되는 플로어(floor) 공간의 양)를 증가시키지 않고 증가될 수 있다. 점점 경쟁력있는 반도체 산업에서, 웨이퍼 처리 장치(100)에 의해 점유되는 제조 플로어(floor) 공간의 스퀘어 풋 당 처리되는 웨이퍼의 비율 증가는 바람직할 수 있다.As shown in FIG. 2, electroplating and / or electropolishing cells 112 and cleaning cells 114 are configured as vertical stacks. In this manner, the number of wafers processed can be increased without increasing the footprint of the wafer processing apparatus 100 (the amount of floor space occupied by the wafer processing apparatus). In an increasingly competitive semiconductor industry, it may be desirable to increase the ratio of wafers processed per square foot of manufacturing floor space occupied by wafer processing apparatus 100.

다시 도 1을 참조로, 상기 설명된 것처럼, 처리되지 않은 웨이퍼가 웨이퍼 처리 스테이션(108, 110)에서 얻어지고, 처리된 웨이퍼는 웨이퍼 처리 스테이션(108, 110)으로 복귀된다. 보다 상세하게, 도 3을 참조로, 본 실시예에서, 웨이퍼 처리 스테이션(108, 110)(도 1)은 웨이퍼를 보유하는 웨이퍼 카세트(116)를 포함한다. 도 3에 도시된 것처럼, 로봇(106)은 웨이퍼 카세트(116)로부터 처리되지 않은 웨이퍼를 제거하고 전기도금 및/또는 전기연마 셀(112)중 하나로 웨이퍼를 이송하도록 구성된다(도 2). 또한 로봇(106)은 세척 셀(114)중 어느 하나로부터 웨이퍼 카세트(116)(도 2)로 처리된 웨이퍼를 복귀시키도록 구성된다. 도 3에 단일 웨이퍼 카세트(116)가 도시되어 있지만, 웨이퍼 처리 스테이션(108, 110)(도 1)은 임의의 수의 웨이퍼 카세트(116)를 포함할 수 있다.Referring again to FIG. 1, as described above, an unprocessed wafer is obtained at wafer processing stations 108 and 110, and the processed wafer is returned to wafer processing stations 108 and 110. More specifically, with reference to FIG. 3, in this embodiment, wafer processing stations 108, 110 (FIG. 1) include a wafer cassette 116 that holds a wafer. As shown in FIG. 3, the robot 106 is configured to remove the unprocessed wafer from the wafer cassette 116 and transfer the wafer to one of the electroplating and / or electropolishing cells 112 (FIG. 2). The robot 106 is also configured to return the processed wafer to the wafer cassette 116 (FIG. 2) from any of the cleaning cells 114. Although a single wafer cassette 116 is shown in FIG. 3, wafer processing stations 108 and 110 (FIG. 1) may include any number of wafer cassettes 116.

부가적으로, 웨이퍼 처리 스테이션(108,110)은 특정 용도에 따라 다양한 구성을 포함할 수 있다. 예를 들어, 웨이퍼 처리 스테이션(108, 110)은 적어도 1개의 웨이퍼 카세트(116)를 각각 포함할 수 있다. 하나의 구성에 있어, 처리되지 않은 웨이퍼를 포함하는 웨이퍼 카세트(116)가 웨이퍼 처리 스테이션(108)에 제공된다. 웨이퍼가 제거되고, 처리되고, 웨이퍼 처리 스테이션(108)에서 동일 웨이퍼 카세트(116)로 복귀된다. 웨이퍼 처리 스테이션(108)에서 웨이퍼 카세트(116)로부터 웨이퍼의 처리를 완성하기 이전에, 처리되지 않은 웨이퍼를 포함하는 또다른 웨이퍼 카세트(116)가 웨이퍼 처리 스테이션(110)에 제공된다. 웨이퍼 처리 스테이션(108)에서 웨이퍼 카세트(116)로부터 웨이퍼가 일단 처리되면, 웨이퍼 처리 장치(100)는 웨이퍼 처리 스테이션(110)에서 웨이퍼 카세트(116)로부터 처리되지 않은 웨이퍼를 처리하기 시작한다. 웨이퍼 처리 스테이션(108)에서 웨이퍼 카세트(116)내의 처리된 웨이퍼는 제거되고 처리되지 않은 웨이퍼를 포함하는 또다른 웨이퍼 카세트(116)로 교체될 수 있다. 이런 방식으로, 웨이퍼 처리 장치(100)가 계획되지 않은 중단없이 연속적으로 작동할 수 있다.In addition, wafer processing stations 108 and 110 may include a variety of configurations depending on the particular application. For example, wafer processing stations 108 and 110 may each include at least one wafer cassette 116. In one configuration, a wafer cassette 116 is provided to wafer processing station 108 that includes an unprocessed wafer. The wafer is removed, processed, and returned to the same wafer cassette 116 at the wafer processing station 108. Prior to completing the processing of the wafer from the wafer cassette 116 at the wafer processing station 108, another wafer cassette 116 is provided to the wafer processing station 110 that includes the unprocessed wafer. Once the wafer is processed from the wafer cassette 116 at the wafer processing station 108, the wafer processing apparatus 100 begins to process the unprocessed wafer from the wafer cassette 116 at the wafer processing station 110. The processed wafers in the wafer cassette 116 at the wafer processing station 108 may be removed and replaced with another wafer cassette 116 containing the unprocessed wafers. In this way, wafer processing apparatus 100 can operate continuously without unplanned interruptions.

또다른 구성에서, 처리되지 않은 웨이퍼를 포함하는 웨이퍼 카세트(116)가 웨이퍼 처리 스테이션(108)에 제공된다. 비어있는 웨이퍼 카세트(116)가 웨이퍼 처리 스테이션(110)에 제공된다. 웨이퍼 처리 스테이션(108)에서 웨이퍼 카세트(116)로부터 처리되지 않은 웨이퍼가 처리되며 웨이퍼 처리 스테이션(110)에서 비어있는 웨이퍼 카세트로 복귀된다. 이러한 구성은 처리 장치(100)의 연속적인 동작을 용이하게 한다. 그러나 이러한 구성은 2개의 처리 스테이션(108, 110)중 하나는 처리되지 않은 웨이퍼에 대해 설계될 수 있고 다른 하나는 처리된 웨이퍼에 대해 설계될 수 있다는 장점을 갖는다. 이러한 방식으로, 작업자 또는 로봇은 처리되지 않은 웨이퍼를 갖는 웨이퍼 카세트에 비해 처리된 웨이퍼를 포함하는 웨이퍼 카세트(116) 및 처리되는 웨이퍼를 갖는 웨이퍼 카세트에 비해 처리되지 않은 웨이퍼를 포함하는 웨이퍼 카세트가 오류를 덜 발생시키게 된다.In another configuration, a wafer cassette 116 is provided to wafer processing station 108 that includes an unprocessed wafer. Empty wafer cassette 116 is provided to wafer processing station 110. Unprocessed wafers from wafer cassette 116 are processed at wafer processing station 108 and returned to the empty wafer cassette at wafer processing station 110. This configuration facilitates the continuous operation of the processing device 100. However, this configuration has the advantage that one of the two processing stations 108, 110 can be designed for the unprocessed wafer and the other can be designed for the processed wafer. In this manner, an operator or robot may have an error in the wafer cassette 116 containing the processed wafer as compared to the wafer cassette with the unprocessed wafer and the wafer cassette containing the unprocessed wafer as compared to the wafer cassette with the wafer being processed. Will generate less.

다시 도 2를 참조로, 웨이퍼 처리 장치(100)는 전원 장치, 필터, 와이어, 프롬빙(plumbing), 화학적 콘테이너, 펌프, 밸브와 같은 웨이퍼 처리 장치(100)의 다양한 전기적 및 기계적 부품을 하우징하기 위한 하우징 유닛(118)을 포함한다. 다시 도 1을 참조로, 웨이퍼 처리 장치(100)는 웨이퍼 처리 장치(100)의 동작을 제어하기 위해 컴퓨터(132)를 더 포함할 수 있다. 특히, 컴퓨터(132)는 도 4에서 착수되는 처리공정 단계를 실행시키기 위한 적절한 소프트웨어 프로그램으로 형성될 수 있으며 도 4와 조합하여 설명된다.Referring again to FIG. 2, the wafer processing apparatus 100 houses various electrical and mechanical components of the wafer processing apparatus 100 such as power supplies, filters, wires, plumbing, chemical containers, pumps, and valves. For housing unit 118. Referring back to FIG. 1, the wafer processing apparatus 100 may further include a computer 132 to control the operation of the wafer processing apparatus 100. In particular, computer 132 may be formed of a suitable software program for executing the processing steps undertaken in FIG. 4 and described in combination with FIG.

본 발명의 정신 및/또는 범주를 벗어나지 않는 웨이퍼 처리 장치(100)의 다양한 구성 변형이 있을 수 있다. 이와 관련하여, 이하 설명 및 관련 도면에서, 본 발명의 다양한 선택적 실시예를 설명하고 기술한다. 그러나, 이러한 선택적 실시예는 본 발명을 구성할 수 있는 다양한 변형 모두를 설명하는 것이 아니다. 오히려, 이러한 선택적 실시예는 다양한 변형 가능성의 단지 일부만을 설명하도록 제공된다.Various configuration variations of the wafer processing apparatus 100 may be made without departing from the spirit and / or scope of the present invention. In this regard, in the following description and the associated drawings, various optional embodiments of the invention are described and described. However, these optional embodiments do not describe all of the various variations that can make up the invention. Rather, these optional embodiments are provided to illustrate only some of the various modification possibilities.

도 5 내지 도 7을 참조로, 본 발명의 선택적 실시예에서, 웨이퍼 처리 장치(100)는 웨이퍼 처리 스테이션(500)을 포함한다. 도 7을 참조로, 웨이퍼 처리 스테이션(500)은 웨이퍼 카세트(116)를 상승 및 하강시키도록 구성된 로봇(502)을 포함한다. 따라서, 웨이퍼가 웨이퍼 카세트(116) 안팎으로 이송되는 경우, 수직 방향으로 로봇(106)의 이동이 감소될 수 있다. 이런 방식으로, 로봇(106)의 동작 속도는 웨이퍼 처리 장치(100)의 종합적인 공정 속도를 용이하게 증가시킬 수 있다.5-7, in an optional embodiment of the present invention, wafer processing apparatus 100 includes a wafer processing station 500. Referring to FIG. 7, wafer processing station 500 includes a robot 502 configured to raise and lower wafer cassette 116. Thus, when the wafer is transferred into and out of the wafer cassette 116, the movement of the robot 106 in the vertical direction can be reduced. In this way, the operating speed of the robot 106 can easily increase the overall process speed of the wafer processing apparatus 100.

도 8을 참조로, 본 발명의 또다른 선택적 실시예에서, 웨이퍼 처리 장치(100)는 측방으로(도 8에서 x 방향으로 표시됨) 움직이도록 구성된 로봇(800)을 포함한다. 따라서, 로봇(800)은 그의 수직축 부근을 회전할 필요가 없다.Referring to FIG. 8, in another optional embodiment of the present invention, wafer processing apparatus 100 includes a robot 800 configured to move laterally (indicated in the x direction in FIG. 8). Thus, the robot 800 does not need to rotate near its vertical axis.

도 9를 참조로, 본 발명의 또다른 선택적 실시예에서, 웨이퍼 처리 장치(100)는 전기도금 및/또는 전기연마 셀(112)(도 2) 및 세척 셀(114)(도 2)의 스택(902)을 포함한다. 따라서, 처리 장치(100)의 풋 프린트가 보다 감소될 수 있다.With reference to FIG. 9, in another optional embodiment of the present invention, wafer processing apparatus 100 is a stack of electroplating and / or electropolishing cells 112 (FIG. 2) and cleaning cells 114 (FIG. 2). 902. Thus, the footprint of the processing device 100 can be further reduced.

도 10 내지 도 12를 참조로, 본 발명의 또다른 선택적 실시예에서, 웨이퍼 처리 장치(100)는 전기도금 및/또는 전기연마 셀(112)(도 12) 및 세척 셀(114)(도 12)의 3개 스택(1002, 1004, 1006)을 포함한다. 스택(1002, 1004, 1006)은 특정한 용도에 따라 전기도금 및/또는 전기연마 셀(112)의 다양한 조합을 갖게 구성될 수 있다. 예를 들어, 칼럼(1002, 1006)은 단지 전기도금 및/또는 전기연마 셀(112)만을 포함하도록 구성될 수 있다. 칼럼(1004)은 단지 세척 셀(114) 만을 포함하도록 구성될 수 있다. 선택적으로, 각각의 칼럼(1002, 1004, 1006)은 전기도금 및/또는 전기연마 셀(112) 및 세척 셀(114)의 조합으로 구성될 수 있다. 또한 웨이퍼 처리 장치(100)는 측방으로(도 10에서는 y-방향으로 표시됨) 이동되도록 구성된 로봇(1008)을 포함한다. 도 12를 참조로, 웨이퍼 처리 장치(100)는 웨이퍼 처리 장치(100)의 부가적 처리 능력을 위해 부가적 웨이퍼 카세트(1202)를 포함한다.With reference to FIGS. 10-12, in another optional embodiment of the present invention, the wafer processing apparatus 100 may include electroplating and / or electropolishing cells 112 (FIG. 12) and cleaning cells 114 (FIG. 12). ), Three stacks (1002, 1004, 1006). Stacks 1002, 1004, 1006 may be configured with various combinations of electroplating and / or electropolishing cells 112, depending on the particular application. For example, columns 1002 and 1006 may be configured to include only electroplating and / or electropolishing cells 112. Column 1004 may be configured to include only wash cell 114. Optionally, each column 1002, 1004, 1006 may consist of a combination of electroplating and / or electropolishing cells 112 and cleaning cells 114. The wafer processing apparatus 100 also includes a robot 1008 configured to move laterally (indicated in the y-direction in FIG. 10). With reference to FIG. 12, wafer processing apparatus 100 includes additional wafer cassette 1202 for additional processing capability of wafer processing apparatus 100.

지금까지, 웨이퍼 처리 장치(100)는 전기도금 및/또는 전기연마 스테이션(102)(도 1) 및 세척 스테이션(104)(도 2)으로 설명했다. 그러나, 웨이퍼 처리 장치(100)는 전기도금 및/또는 전기연마 스테이션(102)(도 1)만을 포함하도록 구성될 수 있다. 예를 들어, 도 9를 참조로, 웨이퍼 처리 장치(100)는 전기도금 및/또는 전기연마 셀(112)(도 1)만을 갖는 스택(902)으로 구성될 수 있다. 따라서, 웨이퍼 처리 장치(100)는 웨이퍼를 세척하지 않고 웨이퍼를 전기도금 및/또는 전기연마한다. 처리된 웨이퍼는 개별적인 웨이퍼 세척 장치에서 세척될 수 있다. 선택적으로, 처리된 웨이퍼는 또다른 웨이퍼 처리 장치의 세척 스테이션에서 세척될 수 있다.Up to now, the wafer processing apparatus 100 has been described with an electroplating and / or electropolishing station 102 (FIG. 1) and a cleaning station 104 (FIG. 2). However, wafer processing apparatus 100 may be configured to include only electroplating and / or electropolishing stations 102 (FIG. 1). For example, referring to FIG. 9, wafer processing apparatus 100 may be comprised of a stack 902 having only electroplating and / or electropolishing cells 112 (FIG. 1). Thus, the wafer processing apparatus 100 electroplats and / or electropolishs the wafer without cleaning the wafer. The processed wafer can be cleaned in a separate wafer cleaning apparatus. Optionally, the processed wafer may be cleaned at a cleaning station of another wafer processing apparatus.

부가적으로, 웨이퍼 처리 장치(100)는 또다른 웨이퍼 처리 스테이션을 포함할 수 있다. 예를 들어, 도 13 내지 도 15를 참조로, 본 발명의 또다른 실시예에서, 웨이퍼 처리 장치(100)는 화학적 기계적 평탄화(CMP) 스테이션(1302)을 포함한다. 이러한 방식으로, 웨이퍼는 전기도금 및/또는 전기연마 및 세척 이외에 평탄화 및/또는 연마될 수 있다. 이러한 공정을 수행하는 순서는 특정 용도에 따라 바뀔 수 있다. 예를 들어, 일례의 응용에서, 웨이퍼는 전기도금 및/또는 전기연마 스테이션(102)에서 전기도금되고, 세척 스테이션(104)에서 세척되고, CMP 스테이션(1302)에서 평탄화될 수 있다. 또다른 응용에서, 웨이퍼는 전기도금 및/또는 전기연마 스테이션(102)에서 초기에 전기연마되고, 세척 스테이션(104)에서 세척되고, CMP 스테이션(1302)에서 평탄화될 수 있다.Additionally, wafer processing apparatus 100 may include another wafer processing station. For example, with reference to FIGS. 13-15, in another embodiment of the present invention, wafer processing apparatus 100 includes a chemical mechanical planarization (CMP) station 1302. In this way, the wafer can be planarized and / or polished in addition to electroplating and / or electropolishing and cleaning. The order in which these processes are carried out can vary depending on the particular application. For example, in an example application, the wafer may be electroplated at the electroplating and / or electropolishing station 102, cleaned at the cleaning station 104, and planarized at the CMP station 1302. In another application, the wafer may be initially electropolished at the electroplating and / or electropolishing station 102, cleaned at the cleaning station 104, and planarized at the CMP station 1302.

따라서, 설명된 웨이퍼 처리 장치의 다양한 실시예를 갖는, 전기도금 및 전기 연마 셀(112)의 실시예를 이하 설명한다. 도 16 및 도 17을 참조로, 본 발명의 일 실시예에서, 웨이퍼 전기도금 및/또는 전기연마 셀(112)은 전해질 용액 리셉테클(1608), 웨이퍼 척(1604), 및 웨이퍼 척 어셈블리(1600)를 포함한다.Accordingly, embodiments of electroplating and electropolishing cells 112, with various embodiments of the described wafer processing apparatus, are described below. Referring to FIGS. 16 and 17, in one embodiment of the present invention, wafer electroplating and / or electropolishing cells 112 may include electrolyte solution receptacles 1608, wafer chucks 1604, and wafer chuck assemblies 1600. ).

도 16을 참조로, 본 실시예에서, 전해질 용액 리셉테클(1608)은 웨이퍼(1602)의 전기도금 및/또는 전기연마를 위한 전해질 용액을 보유한다. 전기도금 및/또는 전기연마 공정 동안, 웨이퍼 척(1604)은 웨이퍼(1602)를 보유한다. 웨이퍼 척 어셈블리(1600)는 전해질 용액 리셉테클(1608) 내에 웨이퍼 척(1604)을 위치시킨다. 또한 웨이퍼 척 어셈블리(1600)는 전기도금 및/또는 전기연마 공정의 균일성을 강화시키기 위해 웨이퍼 척(1604)을 회전시킨다.Referring to FIG. 16, in this embodiment, electrolyte solution receptacle 1608 holds an electrolyte solution for electroplating and / or electropolishing of wafer 1602. During the electroplating and / or electropolishing process, wafer chuck 1604 holds wafer 1602. Wafer chuck assembly 1600 positions wafer chuck 1604 within electrolyte solution receptacle 1608. Wafer chuck assembly 1600 also rotates wafer chuck 1604 to enhance uniformity of the electroplating and / or electropolishing process.

도 17을 참조로 본 실시예에서, 전해질 용액 리셉테클(1608)은 섹션 벽(1610, 1612, 1614, 1618)에 의해 섹션(1620, 1622, 1624, 1626, 1628, 1630)으로 분할되는 것이 바람직하다. 그러나, 전해질 용액 리셉테클(1608)은 특정 용도에 따라 임의의 적정 수의 섹션 벽을 따라 임의의 수의 섹션으로 분할될 수 있다.In this embodiment with reference to FIG. 17, the electrolyte solution receptacle 1608 is preferably divided into sections 1620, 1622, 1624, 1626, 1628, 1630 by section walls 1610, 1612, 1614, 1618. Do. However, electrolyte solution receptacle 1608 may be divided into any number of sections along any suitable number of section walls, depending on the particular application.

도 16을 참조로 본 실시예에서, 펌프(1654)는 저장기(1658)로부터 전해질 용액 리셉테클(1608) 속으로 전해질 용액(1656)을 공급한다. 특히, 전해질 용액(1656)은 패스 필터(1652) 및 액체 질량 흐름 제어기(LMFC : Liquid Mass Flow Controller)(1646, 1648, 1650)를 통과한다. 패스 필터(1652)는 전해질 용액(1656)으로부터 오염물 및 원치않는 입자를 제거한다. LMFC(1646, 1648, 1650)는 각각 섹션(1620, 1624, 1628)(도 17)으로 전해질 용액(1656)의 흐름을 제어한다. 그러나, 전해질 용액(1656)은 특정 용도에 따라 임의의 종래 방법을 사용하여 제공될 수 있다.In this embodiment with reference to FIG. 16, pump 1654 supplies electrolyte solution 1656 from reservoir 1658 into electrolyte solution receptacle 1608. In particular, electrolyte solution 1656 passes through pass filter 1652 and Liquid Mass Flow Controller (LMFC) 1646, 1648, 1650. Pass filter 1652 removes contaminants and unwanted particles from electrolyte solution 1656. LMFCs 1646, 1648, 1650 control the flow of electrolyte solution 1656 into sections 1620, 1624, 1628 (FIG. 17), respectively. However, electrolyte solution 1656 may be provided using any conventional method, depending on the particular application.

상기 설명된 것처럼, 전기도금 및/또는 전기연마 공정동안, 웨이퍼 척(1604)은 웨이퍼(1602)를 보유한다. 본 실시예에서, 로봇(106)은 웨이퍼 척(1604) 속으로 웨이퍼(1602)를 삽입하거나 또는 제공한다. 상기 설명된 것처럼, 로봇(106)은 웨이퍼 카세트(116)(도 3)로부터 또는 이전의 처리 스테이션 또는 처리 장치로부터 웨이퍼(1602)를 얻을 수 있다. 또한 웨이퍼(1602)는 특정 용도에 따라 작업자에 의해 수동으로 웨이퍼 척(1604)에 적재될 수 있다.As described above, during the electroplating and / or electropolishing process, wafer chuck 1604 holds wafer 1602. In this embodiment, robot 106 inserts or provides wafer 1602 into wafer chuck 1604. As described above, the robot 106 can obtain the wafer 1602 from the wafer cassette 116 (FIG. 3) or from a previous processing station or processing apparatus. Wafer 1602 may also be loaded into wafer chuck 1604 manually by an operator, depending on the particular application.

이하 보다 상세히 설명되는 바와 같이, 웨이퍼(1602)를 수용한 후에, 웨이퍼 척(1604)은 홀더 웨이퍼(1602)에 근접한다. 다음 웨이퍼 척 어셈블리(1600)는 전해질 용액 리셉테클(1608) 내에 웨이퍼 척(1604)과 웨이퍼(1602)를 위치시킨다. 특히, 본 실시예에서, 웨이퍼 척 어셈블리(1600)는 웨이퍼(1602)의 하부면과 섹션 벽(1610, 1612, 1614, 1616, 1618)(도 17) 사이에 갭이 형성되도록 섹션 벽(1610, 1612, 1614, 1616, 1618)(도 17) 위로 웨이퍼 척(1604)과 웨이퍼(1602)를 위치시킨다.As described in more detail below, after receiving the wafer 1602, the wafer chuck 1604 is in close proximity to the holder wafer 1602. The wafer chuck assembly 1600 then positions the wafer chuck 1604 and the wafer 1602 in the electrolyte solution receptacle 1608. In particular, in this embodiment, the wafer chuck assembly 1600 includes a section wall 1610, such that a gap is formed between the bottom surface of the wafer 1602 and the section walls 1610, 1612, 1614, 1616, 1618 (FIG. 17). Place wafer chuck 1604 and wafer 1602 over 1612, 1614, 1616, 1618 (FIG. 17).

본 실시예에서, 전해질 용액(1656)은 섹션(1620, 1624, 1628)속으로 흘러, 웨이퍼(1602)의 하부면과 접촉된다. 전해질 용액(1656)은 웨이퍼(1602)의 하부면과 섹션 벽(1610, 1612, 1614, 1616, 1618)(도 17) 사이에 형성되는 갭을 흐른다. 전해질 용액(1656)은 섹션(1622, 1626, 1630)(도 17)을 통해 저장기(1658)로 복귀된다.In this embodiment, electrolyte solution 1656 flows into sections 1620, 1624, 1628 and is in contact with the bottom surface of wafer 1602. The electrolyte solution 1656 flows through a gap formed between the bottom surface of the wafer 1602 and the section walls 1610, 1612, 1614, 1616, 1618 (FIG. 17). Electrolyte solution 1656 is returned to reservoir 1658 through sections 1622, 1626, 1630 (FIG. 17).

이하 보다 상세히 설명되는 바와 같이, 웨이퍼(1602)는 1개 이상의 전원 장치(1640, 1642, 1644)와 연결된다. 또한, 전해질 용액 리셉테클(1608) 내에 배치되는 1개 이상의 전극(1632, 1634, 1636)은 전원 장치(1640, 1642, 1644)와 연결된다. 전해질 용액(1656)이 웨이퍼(1602)와 접촉되는 경우, 회로는 웨이퍼(1602)가 전기도금 및/또는 전기연마되도록 형성된다. 웨이퍼(1602)가 전극(1632, 1634, 1636)에 비해 음전위를 갖도록 전기적으로 하전되는 경우, 웨이퍼(1602)는 적절히 전기도금된다. 웨이퍼(1602)가 전극(1632, 1634, 1636)에 비해 양전위를 갖도록 전기적으로 하전되는 경우, 웨이퍼(1602)는 적절히 전기연마된다. 또한, 웨이퍼(1602)가 전기도금되는 경우, 전해질 용액(1656)은 바람직하게 황산 용액이다. 웨이퍼(1602)가 전기연마되는 경우, 전해질 용액(1656)은 바람직하게 인산 용액이다. 그러나, 전해질 용액(1656)은 특정 용도에 따라 다양한 화학적 특성을 포함할 수 있다. As described in more detail below, the wafer 1602 is connected with one or more power supplies 1640, 1642, 1644. In addition, one or more electrodes 1632, 1634, 1636 disposed in the electrolyte solution receptacle 1608 are connected to the power supply 1640, 1642, 1644. When electrolyte solution 1656 is in contact with wafer 1602, a circuit is formed such that wafer 1602 is electroplated and / or electropolished. When wafer 1602 is electrically charged to have a negative potential relative to electrodes 1632, 1634, 1636, wafer 1602 is suitably electroplated. When wafer 1602 is electrically charged to have a positive potential relative to electrodes 1632, 1634, 1636, wafer 1602 is suitably electropolished. In addition, when the wafer 1602 is electroplated, the electrolyte solution 1656 is preferably a sulfuric acid solution. When wafer 1602 is electropolished, electrolyte solution 1656 is preferably a phosphoric acid solution. However, electrolyte solution 1656 may include various chemical properties, depending on the particular application.

또한, 이하 보다 상세히 설명되는 것처럼, 웨이퍼 척 어셈블리(1600)는 웨이퍼(1602)의 전기도금 및/또는 전기연마를 보다 용이하게 하기 위해 웨이퍼(1602)를 회전 및/또는 진동시킬 수 있다. 웨이퍼(1602)가 전기도금 및/또는 전기연마된 후에, 웨이퍼(1602)는 전해질 용액 리셉테클(1608)로부터 제거된다. 특히, 웨이퍼 척 어셈블리(1600)는 전해질 용액 리셉테클(1608)로부터 웨이퍼 척(1604)을 상승시킨다. 다음 웨이퍼 척(1604)이 개방된다. 로봇(106)은 웨이퍼 척(1604)으로부터 웨이퍼(1602)를 제거하고, 전기도금 및/또는 전기연마를 위해 또다른 웨이퍼(1602)를 제공한다. 전기도금 및 전기연마 공정의 보다 상세한 설명은 본 명세서에서 참조로 하는, PLATING APPARATUS AND METHOD란 명칭으로 1999년 1월 15일 출원된 미국 특허 출원 번호 09/232,864호 및 METHODS AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON SEMICONDUCTOR DEVICES란 명칭으로 1999년 8월 7일 출원된 PCT 특허 출원 번호 PCT/US99/15506호를 참조로 한다.In addition, as described in more detail below, the wafer chuck assembly 1600 may rotate and / or vibrate the wafer 1602 to facilitate electroplating and / or electropolishing of the wafer 1602. After wafer 1602 is electroplated and / or electropolished, wafer 1602 is removed from electrolyte solution receptacle 1608. In particular, wafer chuck assembly 1600 raises wafer chuck 1604 from electrolyte solution receptacle 1608. The wafer chuck 1604 is then opened. The robot 106 removes the wafer 1602 from the wafer chuck 1604 and provides another wafer 1602 for electroplating and / or electropolishing. A more detailed description of the electroplating and electropolishing process is US Patent Application No. 09 / 232,864, filed Jan. 15, 1999 and entitled METHODS AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON See, PCT Patent Application No. PCT / US99 / 15506, filed Aug. 7, 1999 under the name SEMICONDUCTOR DEVICES.

앞서 언급된 것처럼, 전기도금 및/또는 전기연마 셀(112)에 관련된 상세한 설명은 본 발명의 보다 명확한 설명을 위해 제공된다. 이처럼, 전기도금 및/또는 전기연마 셀(112)의 다양한 면은 본 발명의 정신 및 범주를 이탈하지 않는한 변형가능하다. 예를 들어, 전기도금 및/또는 전기연마 셀(112)은 다수의 섹션을 갖는 전해질 용액 리셉테클(1608)을 갖는 것으로 기술되고 설명되었으나, 전기도금 및/또는 전기연마 셀(112)은 스태틱 배쓰를 포함할 수 있다.As mentioned above, a detailed description relating to electroplating and / or electropolishing cells 112 is provided for a more clear description of the invention. As such, various aspects of electroplating and / or electropolishing cells 112 may be modified without departing from the spirit and scope of the present invention. For example, while electroplating and / or electropolishing cells 112 have been described and described as having electrolyte solution receptacles 1608 having multiple sections, electroplating and / or electropolishing cells 112 may be static baths. It may include.

따라서 도시된 예시적 전기도금 및/또는 전기연마 셀 및 방법에 따라, 웨이퍼 척(1604) 및 웨이퍼 척 어셈블리(1600)의 실시예를 이하 설명한다. 서문에서 처럼, 정확성 및 편의성을 위해, 웨이퍼 척(1604) 및 웨이퍼 척 어셈블리(1600)는 반도체 웨이퍼의 전기도금과 관련하여 설명한다. 그러나, 웨이퍼 척(1604) 및 웨이퍼 척 어셈블리(1600)는 전기연마, 세척, 에칭과 같은 어떠한 종래의 웨이퍼 공정과 관련하여 사용될 수 있다. 또한, 웨이퍼 척(1604) 및 웨이퍼 척 어셈블리(1600)는 반도체 웨이퍼 외에 다양한 물품을 처리와 관련하여 사용될 수 있다.Accordingly, embodiments of wafer chuck 1604 and wafer chuck assembly 1600 are described below, in accordance with the exemplary electroplating and / or electropolishing cells and methods shown. As in the preface, for accuracy and convenience, the wafer chuck 1604 and the wafer chuck assembly 1600 are described with reference to electroplating of semiconductor wafers. However, wafer chuck 1604 and wafer chuck assembly 1600 may be used in connection with any conventional wafer process, such as electropolishing, cleaning, etching. In addition, wafer chuck 1604 and wafer chuck assembly 1600 may be used in connection with processing various articles in addition to semiconductor wafers.

도 18A 내지 도 18C를 참조로, 상기 설명된 것처럼, 전기도금 및/또는 전기연마 공정동안, 웨이퍼 척 어셈블리(1600)는 전해질 리셉테클(1608)(도 16) 내에 웨이퍼 척(1604)을 위치시킨다. 또한, 웨이퍼 척 어셈블리(1600)는 웨이퍼(1602)의 삽입 및 제거를 위해 웨이퍼 척(1604)을 개방 및 폐쇄시키도록 구성된다.Referring to FIGS. 18A-18C, as described above, during the electroplating and / or electropolishing process, the wafer chuck assembly 1600 positions the wafer chuck 1604 within the electrolyte receptacle 1608 (FIG. 16). . Wafer chuck assembly 1600 is also configured to open and close wafer chuck 1604 for insertion and removal of wafer 1602.

특히, 본 실시예에서, 웨이퍼 척 어셈블리(1600)는 액츄에이터 어셈블리(1860) 및 스프링 어셈블리(1894)를 포함한다. 액츄에이터 어셈블리(1860)는 웨이퍼 척(1604)이 제 1 위치 및 제 2 위치 사이에서 움직이도록 구성된다. 본 실시예에서, 액츄에이터 어셈블리(1860)는 웨이퍼 척(1604)이 상승 위치 및 하강 위치 사이에서 움직이도록 구성된다. 제 1 위치에서, 스프링 어셈블리(1894)는 웨이퍼(1602)의 제거 및 삽입을 위해 웨이퍼 척(1604)을 개방시키도록 구성된다. 제 2 위치에서는, 스프링 어셈블리(1894)가 웨이퍼 척(1604)에 인접하도록 구성된다.In particular, in this embodiment, wafer chuck assembly 1600 includes actuator assembly 1860 and spring assembly 1894. The actuator assembly 1860 is configured to move the wafer chuck 1604 between the first position and the second position. In this embodiment, the actuator assembly 1860 is configured to move the wafer chuck 1604 between a raised position and a lowered position. In the first position, spring assembly 1894 is configured to open wafer chuck 1604 for removal and insertion of wafer 1602. In the second position, the spring assembly 1894 is configured to be adjacent to the wafer chuck 1604.

본 실시예에서, 액츄에이터 어셈블리(1860)는 모터(1828), 기어(1822, 1824), 및 리드 나사(1820)를 포함한다. 모터(1828)는 브래킷(1816), 리드 나사(1820) 및 기어(1822, 1824)를 통해 샤프트(1802)에 연결된다. 특히, 모터(1828)는 가이드 레일(1826)을 따라 브래킷(1816)을 이동시키기 위해 기어(1822, 1824)를 통해 리드 나사(1820)를 회전시킨다. 브래킷(1816)은 샤프트(1802)에 부착되어, 웨이퍼 척(1604)의 상부 섹션(1858)에 견고히 부착된다. 이런 방식으로, 모터(1828)는 웨이퍼 척(1604)을 하강 및 상승시킬 수 있다. 그러나, 웨이퍼 척(1604)은 뉴메틱(pneumatic) 액츄에이터, 자기력 등과 같은 종래의 장치 및 방법을 사용하여 상승 및 하강될 수 있다. 또한, 모터(1828)는 직류 서보모터, 스텝퍼 모터 등을 포함할 수 있다.In this embodiment, the actuator assembly 1860 includes a motor 1828, gears 1822, 1824, and lead screws 1820. Motor 1828 is connected to shaft 1802 through bracket 1816, lead screw 1820 and gears 1822, 1824. In particular, motor 1828 rotates lead screw 1820 through gears 1822 and 1824 to move bracket 1816 along guide rails 1826. Bracket 1816 is attached to shaft 1802 and is firmly attached to upper section 1858 of wafer chuck 1604. In this way, motor 1828 can lower and raise wafer chuck 1604. However, wafer chuck 1604 can be raised and lowered using conventional apparatus and methods such as pneumatic actuators, magnetic forces, and the like. In addition, the motor 1828 may include a direct current servo motor, a stepper motor, and the like.

도 18A 내지 도 18C에 단일 가이드 레일(1826)을 도시했지만, 특정 용도에 따라 임의의 수의 가이드 레일(1826)이 사용될 수 있다. 또한, 도 19를 참조로, 본 발명의 선택적 실시예에서, 브래킷(1816)과 또다른 브래킷(1906) 사이에 조인트(joint)(1902, 1904)가 배치된다. 조인트(1902, 1904)는 리드 나사(1820)가 상승 및 하강함에 따라 브래킷(1906, 1816) 사이의 웨이퍼 척(1604)의 이동을 허용한다. 이처럼, 브래킷(1816)은 가이드 레일(1826)과 덜 부딪치게 된다. 본 실시예에서, 조인트(1902, 1904)는 유니버셜 조인트(universal joint)이다. 그러나, 어떠한 종래의 조인트라도 브래킷(1906, 1816) 사이에 동작을 허용하는데 사용될 수 있다.Although a single guide rail 1826 is shown in FIGS. 18A-18C, any number of guide rails 1826 can be used, depending on the particular application. Also referring to FIG. 19, in an optional embodiment of the present invention, joints 1902 and 1904 are disposed between bracket 1816 and another bracket 1906. Joints 1902 and 1904 allow the movement of wafer chuck 1604 between brackets 1906 and 1816 as lead screw 1820 rises and falls. As such, the bracket 1816 is less likely to hit the guide rail 1826. In this embodiment, the joints 1902 and 1904 are universal joints. However, any conventional joint may be used to allow operation between brackets 1906 and 1816.

도 18A 내지 도 18C를 참조로, 스프링 어셈블리(1894)는 칼라(1804), 다수의 로드(1806), 및 다수의 스프링(1808)을 포함한다. 로드(1806)는 웨이퍼 척(1604)의 칼라(1804) 및 하부 섹션(1856)에 견고히 고정된다. 스프링(1808)은 로드(1806) 부근 및 웨이퍼 척(1604)의 칼라(1804) 및 상부 섹션(1858) 사이에 배치된다. 또한, 칼라(1804)는 샤프트(1802)에 부착되지 않는다. 따라서, 도 18B에 도시된 것처럼, 웨이퍼 척(1604)이 상승됨에 따라, 칼라(1804)와 리드(1810)는 접촉된다. 도 18C에 도시된 것처럼, 로드(1806)는 웨이퍼 척(1604)의 하부 섹션(1856)이 더 이상 상승되는 것을 방지한다. 그러나, 스프링(1808)은 웨이퍼 척(1604)의 상부 섹션(1858)이 연속적으로 상승되도록 압축된다. 따라서, 웨이퍼 척(1604)은 웨이퍼(1602)의 삽입 및 제거를 위해 개방된다.Referring to FIGS. 18A-18C, the spring assembly 1894 includes a collar 1804, a plurality of rods 1806, and a plurality of springs 1808. The rod 1806 is firmly secured to the collar 1804 and lower section 1856 of the wafer chuck 1604. The spring 1808 is disposed near the rod 1806 and between the collar 1804 and the upper section 1858 of the wafer chuck 1604. Also, the collar 1804 is not attached to the shaft 1802. Thus, as shown in FIG. 18B, as the wafer chuck 1604 is raised, the collar 1804 and the lid 1810 are in contact. As shown in FIG. 18C, the rod 1806 prevents the lower section 1856 of the wafer chuck 1604 from being raised further. However, the spring 1808 is compressed so that the upper section 1858 of the wafer chuck 1604 is raised continuously. Thus, wafer chuck 1604 is open for insertion and removal of wafer 1602.

도 18A 내지 도 18C에 상기 설명되고 기술된 방식으로, 상승하는 웨이퍼 척(1604)의 단일 동작(action)으로 웨이퍼 척(1604)이 개방된다. 하강하는 웨이퍼 척(1604)의 역(reverse) 동작으로 웨이퍼 척(1604)이 폐쇄된다. 특히, 도 18C에서 시작하여, 웨이퍼(1602)가 웨이퍼 척(1604) 내에 위치되는 경우, 모터(1828)는 웨이퍼 척(1604)을 하강시키기 시작한다. 도 18B에 도시된 것처럼, 모터(1828)가 웨이퍼 척(1604)을 하강시킴에 따라, 스프링(1808)은 웨이퍼 척(1604) 근처로 연장된다.In the manner described and described above in FIGS. 18A-18C, the wafer chuck 1604 is opened in a single action of the rising wafer chuck 1604. The wafer chuck 1604 is closed by the reverse operation of the descending wafer chuck 1604. In particular, starting with FIG. 18C, when wafer 1602 is positioned within wafer chuck 1604, motor 1828 begins to lower wafer chuck 1604. As shown in FIG. 18B, as the motor 1828 lowers the wafer chuck 1604, the spring 1808 extends near the wafer chuck 1604.

스프링(1808)에 의해 인가되는 힘 외에, 진공 및/또는 감압 가스를 웨이퍼 척(1604)의 상부 섹션(1858)과 하부 섹션(1856) 사이에 형성된 캐비티(1830)에 제공함으로써 웨이퍼 척(1604)이 계속해서 보유되도록 부가적 힘이 적용된다. 특히, 도 18B를 참조로, 웨이퍼 척 어셈블리(1600)는 인입구(1870, 1872)를 갖추어 구성된 슬립-링 어셈블리(1838)를 포함한다. 또한 슬립-링 어셈블리(1838)는 캐비티(1866, 1868)를 형성하도록 구성된 다수의 시일(1842)을 포함한다. 본 실시예에서, 인입구(1870), 채널(1874), 및 라인(1832)을 통해 캐비티(1830)에 진공 및/또는 감압 가스가 제공된다. 캐비티(1830)의 밀폐를 보조하기 위해서, 웨이퍼 척(1604)은 상부 섹션(1858)과 하부 섹션(1856) 사이에 배치되는 시일(1878)을 포함한다.In addition to the force applied by the spring 1808, the vacuum chuck and / or reduced pressure gas is provided to the cavity 1830 formed between the upper section 1858 and the lower section 1856 of the wafer chuck 1604. Additional forces are applied to keep them going. In particular, with reference to FIG. 18B, wafer chuck assembly 1600 includes slip-ring assembly 1838 configured with inlets 1870 and 1872. Slip-ring assembly 1838 also includes a plurality of seals 1842 configured to form cavities 1866, 1868. In this embodiment, a vacuum and / or reduced pressure gas is provided to the cavity 1830 through the inlet 1870, the channel 1874, and the line 1832. To assist in closing the cavity 1830, the wafer chuck 1604 includes a seal 1878 disposed between the upper section 1858 and the lower section 1856.

또한 도 18B를 참조로, 상기 간략히 설명되고 이하 보다 상세히 설명되는 것처럼, 전기도금 및/또는 전기연마 공정 동안에 전하가 웨이퍼(1602)에 제공된다. 특히, 본 실시예에서, 슬립-링 어셈블리(1838)는 브러시(1844), 스프링(1846), 및 나사(1848)를 포함한다. 또한, 이하 상세히 설명되는 것처럼, 웨이퍼 척(1604)은 라인(1850)과 전기적으로 접촉되는 전도성 부재(1880), 및 웨이퍼(1602)와 전기적으로 접촉되는 스프링 부재(1882)를 포함한다. 따라서, 나사(1848), 스프링(1846), 브러시(1844), 샤프트(1802), 라인(1850), 전도성 부재(1880), 및 스프링 부재(1882)를 통해 웨이퍼(1602)에 전하가 제공된다. 따라서, 나사(1848), 스프링(1846), 브러시(1844), 샤프트(1802), 라인(1850), 전도성 부재(1880), 및 스프링 부재(1882)는 전기적 전도성 물질로 형성된다. 또한, 샤프트(1802)가 회전함에 따라, 브러시(1844)는 그래파이트(graphite)와 같이 전기적으로 전도성이 있고 마찰력이 낮은 물질로 형성된다.Also with reference to FIG. 18B, charge is provided to the wafer 1602 during the electroplating and / or electropolishing process, as briefly described above and described in more detail below. In particular, in this embodiment, the slip-ring assembly 1838 includes a brush 1844, a spring 1846, and a screw 1848. In addition, as will be described in detail below, wafer chuck 1604 includes a conductive member 1880 in electrical contact with line 1850, and a spring member 1882 in electrical contact with wafer 1602. Thus, charge is provided to the wafer 1602 through screws 1848, springs 1846, brushes 1844, shafts 1802, lines 1850, conductive members 1880, and spring members 1882. . Thus, the screw 1848, spring 1846, brush 1844, shaft 1802, line 1850, conductive member 1880, and spring member 1882 are formed of an electrically conductive material. Also, as the shaft 1802 rotates, the brush 1844 is formed of a material that is electrically conductive and low in friction, such as graphite.

이하 보다 상세히 설명되는 것처럼, 전기도금 및/또는 전기연마 공정 동안 전해질 용액으로부터 스프링 부재(1882) 및 전도성 부재(1880)의 절연을 보조하기 위해, 웨이퍼 척(1604)은 시일 부재(1884)를 포함한다. 본 발명의 본 실시예에서, 시일 부재(1884)의 밀폐 특성을 검사하기 위해 캐비티(1892)에 정압(positive pressure) 가스가 제공된다. 특히, 압력 가스는 인입구(1872), 채널(1876), 및 라인(1852)을 통해 제공된다. 또한 웨이퍼 척(1604)은 캐비티(1892)의 밀폐를 보조하기 위한 시일(1886, 1888)을 포함한다. 선택적으로, 진공 및/또는 감압 가스가 시일 부재(1884)의 밀폐 특성을 검사하도록 캐비티(1892)에 제공될 수 있다. 웨이퍼 척(1604)이 전해질 용액으로부터 제거된 후에, 웨이퍼 척(1604)으로부터 전해질 용액을 정화시키기 위해 캐비티(1982)에 정압 가스가 제공될 수 있다.As described in more detail below, the wafer chuck 1604 includes a seal member 1884 to assist in insulating the spring member 1882 and the conductive member 1880 from the electrolyte solution during the electroplating and / or electropolishing process. do. In this embodiment of the present invention, a positive pressure gas is provided to the cavity 1892 to inspect the sealing properties of the seal member 1884. In particular, pressure gas is provided through inlet 1872, channel 1876, and line 1852. The wafer chuck 1604 also includes seals 1886 and 1888 to assist in closing the cavity 1892. Optionally, vacuum and / or reduced pressure gas may be provided to the cavity 1892 to inspect the sealing properties of the seal member 1884. After the wafer chuck 1604 is removed from the electrolyte solution, a static pressure gas can be provided to the cavity 1982 to purify the electrolyte solution from the wafer chuck 1604.

앞서 설명된 것처럼, 웨이퍼 척 어셈블리(1600)는 전기도금 및/또는 전기연마 공정의 균일성을 강화시키기 위해 웨이퍼 척(1604)을 회전시키도록 구성된다. 특히, 전기도금 및/또는 전기연마 공정 동안, 웨이퍼 척 어셈블리(1600)는 분 당 약 5 회전 주기 내지 분당 약 100 회전 주기로 웨이퍼 척(1604)을 회전시킨다. 그러나, 웨이퍼 척(1604)은 특정 용도에 따라 다양한 속도로 회전할 수 있다.As described above, wafer chuck assembly 1600 is configured to rotate wafer chuck 1604 to enhance uniformity of the electroplating and / or electropolishing process. In particular, during the electroplating and / or electropolishing process, the wafer chuck assembly 1600 rotates the wafer chuck 1604 at about 5 revolutions per minute to about 100 revolutions per minute. However, wafer chuck 1604 can rotate at various speeds, depending on the particular application.

또한, 이하 보다 상세히 설명되는 것처럼, 웨이퍼 척 어셈블리(1600)는 전기도금 및/또는 전기연마 공정 후에 웨이퍼 척(1604)으로부터 전해질 용액의 제거를 보조하기 위해 웨이퍼 척(1604)을 회전시키도록 구성된다. 이러한 공정 동안, 웨이퍼 척 어셈블리(1600)은 분당 약 300 회전 주기 내지 분당 약 5000 회전 주기, 바람직하게 분당 약 500 회전 주기로 웨이퍼 척(1604)을 회전시킨다. 그러나, 웨이퍼 척 어셈블리(1600)는 특정 용도에 따라 다양한 속도에서 웨이퍼 척(1604)을 회전시킬 수 있다. 도 20에 도시된 것처럼, 이러한 공정 동안, 웨이퍼 척(1604)이 개방 위치에 있는 경우 웨이퍼 척(1604)은 회전할 수 있다. 따라서, 또다른 실시예에서, 웨이퍼 척 어셈블리(1600)는 베어링(2002)을 포함한다(도 20). 본 실시예에서, 베어링(2002)은 칼라(1804)와 리드(1810) 사이에 배치되는 것으로 기술된다. 그러나, 베어링(2002)은 특정 용도에 따라 다양한 위치에 배치될 수 있다. 예를 들어, 칼라(1804)가 제거되거나 크기가 감소된 경우, 베어링(2002)은 상부 섹션(1858)과 리드(1810) 사이에 제공될 수 있다. 그러나, 부가적으로 웨이퍼 척 어셈블리(1600)는 특정 용도에 따라 다양한 속도로 웨이퍼 척(1604)을 회전시킬 수 있다.In addition, as described in more detail below, the wafer chuck assembly 1600 is configured to rotate the wafer chuck 1604 to assist in the removal of the electrolyte solution from the wafer chuck 1604 after the electroplating and / or electropolishing process. . During this process, the wafer chuck assembly 1600 rotates the wafer chuck 1604 at about 300 revolutions per minute to about 5000 revolutions per minute, preferably about 500 revolutions per minute. However, wafer chuck assembly 1600 may rotate wafer chuck 1604 at various speeds, depending on the particular application. As shown in FIG. 20, during this process, the wafer chuck 1604 can rotate when the wafer chuck 1604 is in the open position. Thus, in another embodiment, wafer chuck assembly 1600 includes bearing 2002 (FIG. 20). In this embodiment, the bearing 2002 is described as being disposed between the collar 1804 and the lid 1810. However, the bearing 2002 can be placed in various locations depending on the particular application. For example, when the collar 1804 is removed or reduced in size, a bearing 2002 may be provided between the upper section 1858 and the lid 1810. However, in addition, wafer chuck assembly 1600 may rotate wafer chuck 1604 at various speeds, depending on the particular application.

도 18A를 참조로, 웨이퍼 척 어셈블리(1600)는 웨이퍼 척(1604)을 회전시키기 위한 회전식 어셈블리(1864)를 포함한다. 본 실시예에서, 회전식 어셈블리(1864)는 모터(1836) 및 샤프트(1802)에 연결된 드라이브 벨트(1834)를 포함한다. 본 실시예에서, 모터(1836) 및 드라이브 벨트(1834)는 브래킷(1816) 아래에 배치된다. 그러나, 모터(1836) 및 드라이브 벨트(1834)는 샤프트(1802)를 회전시키도록 다양한 위치에 배치될 수 있다. 예를 들어, 도 21을 참조로, 웨이퍼 척 어셈블리(1600)는 모터(1836) 및 상기 브래킷(1816)이 배치된 드라이브 벨트(1834)로 설명된다. 선택적으로, 모터(1836)는 드라이브 벨트(1834) 보다는 기어를 통해 샤프트(1802)에 연결될 수 있다. 또한 모터(1836)는 샤프트(1802)에 직접적으로 연결될 수 있다. 본 실시예에서, 모터(1836)는 직류 서보모터, 스텝퍼 모터 등을 포함할 수 있다. 부가적으로, 회전식 어셈블리(1864)는 웨이퍼 척(1604)을 회전시키기 위해 또다른 다양한 메카니즘을 포함할 수 있다. 예를 들어, 회전식 어셈블리(1864)는 웨이퍼 척(1604)을 회전시키기 위한 전자기 시스템으로서 구성될 수 있다.Referring to FIG. 18A, wafer chuck assembly 1600 includes a rotatable assembly 1864 for rotating wafer chuck 1604. In this embodiment, the rotary assembly 1864 includes a drive belt 1834 connected to a motor 1836 and a shaft 1802. In this embodiment, the motor 1836 and the drive belt 1834 are disposed under the bracket 1816. However, the motor 1836 and the drive belt 1834 can be disposed at various positions to rotate the shaft 1802. For example, referring to FIG. 21, wafer chuck assembly 1600 is described as drive belt 1834 in which motor 1836 and bracket 1816 are disposed. Optionally, motor 1836 may be coupled to shaft 1802 via gears rather than drive belt 1834. Motor 1836 may also be connected directly to shaft 1802. In this embodiment, the motor 1836 may include a DC servomotor, a stepper motor, and the like. Additionally, the rotary assembly 1864 can include another various mechanism for rotating the wafer chuck 1604. For example, rotatable assembly 1864 can be configured as an electromagnetic system for rotating wafer chuck 1604.

도 18A 내지 도 18C를 참조로, 본 실시예에서, 샤프트(1802)는 스테인레스 스틸과 같이, 부식 방지 금속 또는 금속 합금으로 형성된다. 마찰력을 감소시키기 위해, 시일(1842)과 브러쉬(1844)를 접촉하는 샤프트(1802)의 표면은 약 5 미크론 이하의 표면 조도, 바람직하게는 약 2 미크론 이하의 표면 조도로 가공된다. 또한, 본 실시예에서, 웨이퍼 척 어셈블리(1600)는 샤프트(1802) 및 리드(1810) 사이에 배치된 베어링(1812, 1814)을 포함한다. 또한 웨이퍼 척 어셈블리(1600)는 샤프트(1802)와 브래킷(1816) 사이에 배치된 베어링(1818)을 포함한다. 베어링(1812, 1814, 1818)은 볼-베어링, 부싱, 낮은 마찰 물질 등을 포함할 수 있다.18A to 18C, in this embodiment, the shaft 1802 is formed of a corrosion resistant metal or metal alloy, such as stainless steel. To reduce the frictional force, the surface of the shaft 1802 in contact with the seal 1882 and the brush 1844 is machined with a surface roughness of about 5 microns or less, preferably about 2 microns or less. Also in this embodiment, wafer chuck assembly 1600 includes bearings 1812 and 1814 disposed between shaft 1802 and leads 1810. The wafer chuck assembly 1600 also includes a bearing 1818 disposed between the shaft 1802 and the bracket 1816. Bearings 1812, 1814, 1818 may include ball bearings, bushings, low friction materials, and the like.

상기 설명된 것처럼, 슬립-링 어셈블리(1838)는 진공 및/또는 감압 가스, 감압 가스, 압력 가스를 공급하고, 샤프트(1802)에 전기를 공급하도록 구성된다. 지금까지, 도 18A 내지 도 18C에 상세히 설명된 것처럼, 슬립-링 어셈블리(1838)는 브래킷(1816)에 고정되는 것처럼 도시되었다. 대조적으로, 도 22A 및 도 22B를 참조로, 본 발명의 또다른 실시예에서, 웨이퍼 척 어셈블리(1600)는 웨이퍼 척(1604)이 상승 및 하강되는 경우 고정되게 유지하는 슬립-링 어셈블리(2200)를 포함한다. 특히, 샤프트(1802)는 웨이퍼 척이 상승 및 하강됨에 따라 슬립-링 어셈블리(2200)를 통해 슬라이딩된다.As described above, the slip-ring assembly 1838 is configured to supply vacuum and / or reduced pressure gas, reduced pressure gas, pressure gas, and to supply electricity to the shaft 1802. So far, as described in detail in FIGS. 18A-18C, the slip-ring assembly 1838 has been shown to be secured to the bracket 1816. In contrast, with reference to FIGS. 22A and 22B, in another embodiment of the present invention, the wafer chuck assembly 1600 holds a slip-ring assembly 2200 that remains fixed when the wafer chuck 1604 is raised and lowered. It includes. In particular, shaft 1802 slides through slip-ring assembly 2200 as the wafer chuck is raised and lowered.

이하 상세한 설명 및 관련 도면에 따라, 본 발명의 다양한 선택적 실시예가 설명되고 묘사된다. 이러한 선택적 실시예가 본 발명을 구성하는데 있어 가능한 변형 및 잠재적 변경 모두를 포함하는 것을 의미하는 것은 아니다. 오히려, 이러한 선택적 실시예는 잠재적 변형 및 변경의 일부를 설명한다는 것을 의미한다.DETAILED DESCRIPTION Various optional embodiments of the present invention are described and depicted in accordance with the following description and the associated drawings. This optional embodiment is not meant to include all possible variations and potential changes in constructing the present invention. Rather, these optional embodiments are meant to explain some of the potential variations and modifications.

도 23을 참조로, 선택적 실시예에서, 웨이퍼 척(1604)의 전도성 부재(1880)는 시일(1888)(도 18A)없이 설명된다. 또한, 스프링(2302)은 전도성 부재(1880)에 전하를 제공한다. 도 18C에 도시된 와이어(1890)와는 다르게, 스프링(2302)은 웨이퍼 척(1604)이 개방될 때 전도성 부재(1880)를 상승시켜 제거한다.Referring to FIG. 23, in an optional embodiment, the conductive member 1880 of the wafer chuck 1604 is described without the seal 1888 (FIG. 18A). Spring 2302 also provides charge to conductive member 1880. Unlike the wire 1890 shown in FIG. 18C, the spring 2302 raises and removes the conductive member 1880 when the wafer chuck 1604 is opened.

도 24를 참조로, 또다른 실시예에서, 웨이퍼 척(1604)은 Z-형상 단면 프로파일을 갖는 시일 부재(1884)로 설명된다. L-형상 단면 프로파일을 갖는 시일 부재(1884)(도 18A)와 비교해 볼 때, Z-형상 단면 프로파일은 적소에 보다 정확하게 스프링 부재(1882)를 보유할 수 있다. 그러나, 시일 부재(1884)는 다양한 단면 프로파일을 갖게 형성될 수 있다. 이와 관련하여, 다수의 가능한 프로파일을 이하 설명하고 기술한다.Referring to FIG. 24, in another embodiment, the wafer chuck 1604 is described as a seal member 1884 having a Z-shaped cross-sectional profile. Compared with the seal member 1884 (FIG. 18A) having the L-shaped cross-sectional profile, the Z-shaped cross-sectional profile can hold the spring member 1882 more accurately in place. However, the seal member 1884 may be formed to have various cross-sectional profiles. In this regard, a number of possible profiles are described and described below.

도 25를 참조로, 또다른 실시예에서, 웨이퍼 척(1604)은 상부 섹션(1858)에 형성된 라인(1832, 1852)로 설명된다. 그러나, 라인(1832, 1852)는 다양한 방식으로 형성될 수 있다. 예를 들어, 상부 섹션(1858)의 상부 표면을 따라 그루브가 형성될 수 있다. 라인(1832, 1852)은 그루브 속에 삽입된 튜브일 수 있다. 이러한 방식으로, 라인(1832, 1852)이 보다 안전하게 보유될 수 있다. Referring to FIG. 25, in another embodiment, wafer chuck 1604 is described by lines 1832 and 1852 formed in upper section 1858. However, lines 1832 and 1852 can be formed in a variety of ways. For example, grooves may be formed along the upper surface of the upper section 1858. Lines 1832 and 1852 may be tubes inserted into grooves. In this way, lines 1832 and 1852 can be held more securely.

도 26을 참조로, 또다른 실시예에서, 웨이퍼 척(1604)은 너트(2602)를 이용하여 하부 섹션(1856)에 부착된 로드(1806)로 설명한다. 로드(1806) 및 너트(2602)의 단부는 전기도금 및/또는 전기연마 공정동안 전해질 용액으로부터 보호되도록 캡(2604)으로 밀폐된다.Referring to FIG. 26, in another embodiment, the wafer chuck 1604 is described as a rod 1806 attached to the lower section 1856 using a nut 2602. The ends of rod 1806 and nut 2602 are sealed with cap 2604 to be protected from electrolyte solution during the electroplating and / or electropolishing process.

도 27을 참조로, 선택적 실시예에서, 도 26에 도시된 실시예는 Z-형상 단면 프로파일을 갖는 시일 부재(1884)로 설명한다. 상기 설명된 것처럼, 상기 단면 프로파일은 스프링 부재(1882)를 보다 안전하게 보유할 수 있다.Referring to FIG. 27, in an alternative embodiment, the embodiment shown in FIG. 26 is described with a seal member 1884 having a Z-shaped cross-sectional profile. As described above, the cross-sectional profile can hold the spring member 1882 more securely.

도 28을 참조로, 또다른 실시예에서, 웨이퍼 척(1604)은 라인(1852)으로 설명된다. 따라서, 웨이퍼 척(1604)이 폐쇄되는 경우, 진공 및/또는 감압 가스가 웨이퍼 척(1604)을 함께 보유하는 힘을 증가시키기 위해 라인(1852)에 먼저 제공된다. 전기도금 및/또는 전기연마 공정 후에, 웨이퍼 척(1604)으로부터 전해질을 정화를 돕도록 라인(1852)에 압력 가스가 제공될 수 있다. Referring to FIG. 28, in another embodiment, wafer chuck 1604 is described by line 1852. Thus, when wafer chuck 1604 is closed, vacuum and / or reduced pressure gas is first provided to line 1852 to increase the force holding wafer chuck 1604 together. After the electroplating and / or electropolishing process, pressure gas may be provided to line 1852 to assist in purifying the electrolyte from the wafer chuck 1604.

도 29를 참조로, 또다른 실시예에서, 웨이퍼 척(1604)은 웨이퍼(1602)의 표면에 진공 및/또는 감압 가스 및 압력 가스를 제공하기 위해 라인(2902)을 갖는 것으로 설명된다. 따라서, 웨이퍼 척(1604)이 폐쇄된 후에, 웨이퍼 척(1604)과 함께 보유되는 힘을 증가시키기 위해 라인(1852)과 라인(2902)에 진공 및/또는 감압 가스가 제공된다. 전기도금 및/또는 전기연마 공정 후에, 웨이퍼 척(1604)으로부터 전해질 정화를 돕기 위해 라인(1852)에 압력 가스가 제공될 수 있다. 다음, 웨이퍼 척(1604)은 바람직하게 약 1 밀리미터 내지 약 3 밀리미터, 보다 바람직하게는 약 1.5 밀리미터의 갭으로 개방된다. 웨이퍼 척(1604)이 개방된 후, 웨이퍼(1602)의 제거를 돕기 위해 라인(2902)에 압력 가스가 제공될 수 있다.Referring to FIG. 29, in another embodiment, the wafer chuck 1604 is described as having a line 2902 to provide vacuum and / or reduced pressure gas and pressure gas to the surface of the wafer 1602. Thus, after wafer chuck 1604 is closed, vacuum and / or reduced pressure gas is provided to lines 1852 and 2902 to increase the force retained with wafer chuck 1604. After the electroplating and / or electropolishing process, a pressure gas may be provided to line 1852 to assist in purifying the electrolyte from the wafer chuck 1604. Next, the wafer chuck 1604 is preferably opened with a gap of about 1 millimeter to about 3 millimeters, more preferably about 1.5 millimeters. After wafer chuck 1604 is opened, pressure gas may be provided to line 2902 to assist in removal of wafer 1602.

도 30을 참조로, 또다른 실시예에서, 웨이퍼 척(1604)은 단일 라인(3002)을 갖는 것으로 설명된다. 따라서, 진공 및/또는 감압 가스 및 압력 가스가 캐비티(3004)와 웨이퍼(1602) 표면에 동시에 제공된다.Referring to FIG. 30, in another embodiment, the wafer chuck 1604 is described as having a single line 3002. Thus, vacuum and / or reduced pressure gas and pressure gas are simultaneously provided to the cavity 3004 and the wafer 1602 surface.

도 31 내지 도 33을 참조로, 전기도금 및/또는 전기연마 스테이션(102)의 실시예의 보다 상세한 설명을 나타낸다. 상기 설명된 것처럼, 전기도금 및/또는 전기연마 스테이션(102)은 1개 이상의 전기도금 및/또는 전기연마 셀(112)을 포함한다. 특히, 본 실시예에서, 전기도금 및/또는 전기연마 스테이션(102)은 프레임(3202)에 장착되는 3개의 전기도금 및/또는 전기연마 셀(112)을 포함한다. 그러나, 앞서 설명된 것처럼, 임의의 수의 전기도금 및/또는 전기연마 셀(112)이 특정 용도에 따라 프레임(3202)에 장착될 수 있다.31 to 33, a more detailed description of an embodiment of an electroplating and / or electropolishing station 102 is shown. As described above, electroplating and / or electropolishing station 102 includes one or more electroplating and / or electropolishing cells 112. In particular, in this embodiment, the electroplating and / or electropolishing station 102 comprises three electroplating and / or electropolishing cells 112 mounted to the frame 3202. However, as described above, any number of electroplating and / or electropolishing cells 112 may be mounted to the frame 3202 depending on the particular application.

본 실시예에서, 전기도금 및/또는 전기연마 스테이션(102)은 웨이퍼 척 어셈블리(1600)를 이동시키기 위해 에어 실린더(3206) 및 가이드 레일(3204)을 포함한다. 특히, 에어 실린더(3206)는 프레임(3202)에 부착된 가이드 레일(3204)을 따라 웨이퍼 척 어셈블리(1600)을 이동시킨다. 이러한 방식으로, 도 32A 및 도 32B에 도시된 것처럼, 웨이퍼 척 어셈블리(1600) 및 웨이퍼 척(1604)는 웨이퍼 척 어셈블리(1600) 및 웨이퍼 척(1604)을 포함하는 전기도금 및/또는 전기연마 셀(112)을 보조하기 위해 전해질 리셉테클(1608)로부터 철회될 수 있다. 특히 도 32B에서, 전기도금 및/또는 전기연마 셀(112)은 개방 위치에서 수축된 웨이퍼 척 어셈블리(1600)로 설명된다. 도 32A에서, 전기도금 및/또는 전기연마 셀(112)은 전해질 리셉테클(1608) 위의 폐쇄 위치에서의 웨이퍼 척 어셈블리(1600)로 설명된다. 그러나, 다양한 엑츄에이터가 웨이퍼 척 어셈블리(1600)을 철회하는데 사용될 수 있다.In this embodiment, the electroplating and / or electropolishing station 102 includes an air cylinder 3206 and a guide rail 3204 to move the wafer chuck assembly 1600. In particular, the air cylinder 3206 moves the wafer chuck assembly 1600 along a guide rail 3204 attached to the frame 3202. In this manner, as shown in FIGS. 32A and 32B, the wafer chuck assembly 1600 and the wafer chuck 1604 include an electroplating and / or electropolishing cell comprising a wafer chuck assembly 1600 and a wafer chuck 1604. May be withdrawn from electrolyte receptacle 1608 to assist with 112. In particular in FIG. 32B, electroplating and / or electropolishing cells 112 are described as wafer chuck assembly 1600 retracted in an open position. In FIG. 32A, electroplating and / or electropolishing cell 112 is described as wafer chuck assembly 1600 in a closed position above electrolyte receptacle 1608. However, various actuators may be used to withdraw wafer chuck assembly 1600.

도 31A, 32A 및 33A를 참조로, 전기도금 및/또는 전기연마 셀(112)은 전해질 용액 리셉테클(1608) 및 웨이퍼 척 어셈블리(1600)를 포함한다. 도 32A에 도시된 것처럼, 웨이퍼 척 어셈블리(1600)는 전해질 용액 리셉테클(1608)를 덮는 리드(1810)를 포함한다. 이처럼, 리드(1810)는 전해질 용액 리셉테클(1608) 내에서 밖으로 증기를 제거하기 위한 배기 홀(3208)을 포함한다. 이런 방식으로, 전기도금 및/또는 전기연마 스테이션(102)에서 각각의 전기도금 및/또는 전기연마 셀(112)은 개별적으로 배기될 수 있어, 전체 전기도금 및/또는 전기연마 스테이션(102)에 대한 커다란 환기 시스템에 대한 필요성이 감소될 수 있다(도 32A 및 도 33A). With reference to FIGS. 31A, 32A, and 33A, electroplating and / or electropolishing cells 112 include an electrolyte solution receptacle 1608 and a wafer chuck assembly 1600. As shown in FIG. 32A, wafer chuck assembly 1600 includes a lid 1810 covering electrolyte solution receptacle 1608. As such, lid 1810 includes an exhaust hole 3208 for removing vapor out of electrolyte solution receptacle 1608. In this way, each electroplating and / or electropolishing cell 112 at the electroplating and / or electropolishing station 102 can be evacuated separately, so that the entire electroplating and / or electropolishing station 102 is exhausted. The need for large ventilation systems can be reduced (FIGS. 32A and 33A).

도 31A 및 도 32A에 도시된 것처럼, 웨이퍼(1602)는 슬롯(1892)을 통해 전해질 용액 리셉테클(1608) 속으로 삽입되고 밖으로 제거될 수 있다. 특히, 상기 설명된 것처럼, 로봇(106)은 전해질 용액 리셉테클(1608) 안팎으로 웨이퍼(1602)를 이송한다. 슬롯(1892)은 전해질 리셉테클(1608)에 형성되는 것으로 도시되었지만, 슬롯(1892)은 리드(1810)에 형성될 수 있다.As shown in FIGS. 31A and 32A, wafer 1602 may be inserted into and removed from electrolyte solution receptacle 1608 through slot 1892. In particular, as described above, robot 106 transports wafer 1602 into and out of electrolyte solution receptacle 1608. Although slot 1892 is shown formed in electrolyte receptacle 1608, slot 1892 may be formed in lead 1810.

앞서 설명된 것처럼, 웨이퍼(1602)는 웨이퍼 척(1604)에 의해 보유된다(도 18A). 도 31A를 참조로, 본 실시예에서, 웨이퍼 척 어셈블리(1600)는 전기도금 및/또는 전기연마되는 전해질 리셉테클(1608) 속으로 웨이퍼(1602)를 하강시킨다. 전기도금 및/전기연마 공정이 완료된 후, 웨이퍼 척 어셈블리(1600)는 언로드되는 웨이퍼(1602) 및 로드되는 새로운 웨이퍼(1602)를 상승시킨다.As described above, the wafer 1602 is held by the wafer chuck 1604 (FIG. 18A). Referring to FIG. 31A, in this embodiment, the wafer chuck assembly 1600 lowers the wafer 1602 into the electrolytic receptacle 1608 that is electroplated and / or electropolished. After the electroplating and / or electropolishing process is complete, the wafer chuck assembly 1600 raises the unloaded wafer 1602 and the new wafer 1602 loaded.

도 37을 참조로, 상기 설명된 것처럼, 웨이퍼 척 어셈블리(1600)(도 31A)는 브래킷(1816)을 포함한다. 본 실시예에서, 브래킷(1816)은 샤프트(1802)를 통해 웨이퍼 척(1604)과 연결된다(도 18A). 특히, 이하 보다 상세히 설명되는 것처럼, 샤프트(1802)는 웨이퍼 척(1604)의 상부 섹션(1858)에 고정된다. 또한, 슬립-링 어셈블리(1838)는 브래킷(1816)에 고정된다. 따라서, 샤프트(1802)는 슬립-링 어셈블리(1838) 내에 배치된다.Referring to FIG. 37, as described above, the wafer chuck assembly 1600 (FIG. 31A) includes a bracket 1816. In this embodiment, bracket 1816 is connected with wafer chuck 1604 through shaft 1802 (FIG. 18A). In particular, as described in more detail below, the shaft 1802 is secured to the upper section 1858 of the wafer chuck 1604. In addition, slip-ring assembly 1838 is secured to bracket 1816. Thus, shaft 1802 is disposed in slip-ring assembly 1838.

도 35를 참조로, 웨이퍼 척 어셈블리(1600)의 일부는 리드(1810) 아래에 놓인다. 도 34를 참조로, 본 실시예에서, 브래킷(1816)은 가이드 레일(1826)을 포함한다. 특히, 본 실시예에서, 각각의 가이드 레일(1826)은 부싱(3404) 내에 배치된 로드(3402)를 포함한다. 로드(3402)는 리드(1810)에 장착되고 부싱(3404)은 브래킷(1816)에 연결된다. 또한, 본 실시예에서, 4개의 가이드 레일(1826)이 제공된다. 그러나, 특정 용도에 따라 임의의 수의 가이드 레일(1826)이 사용될 수 있다.Referring to FIG. 35, a portion of wafer chuck assembly 1600 lies under lid 1810. Referring to FIG. 34, in this embodiment, the bracket 1816 includes a guide rail 1826. In particular, in this embodiment, each guide rail 1826 includes a rod 3402 disposed within the bushing 3404. Rod 3402 is mounted to lid 1810 and bushing 3404 is connected to bracket 1816. Also in this embodiment, four guide rails 1826 are provided. However, any number of guide rails 1826 can be used, depending on the particular application.

또한 도 35를 참조로, 모터(1828)는 가이드 레일(1826)을 따라 브래킷(1816)이 움직이도록 구성된다. 특히 모터(1828)는 브래킷(1816)을 이동시키기 위해 리드 나사(1820)와 결합된다. 또한, 상기 설명된 것처럼, 본 실시예에서, 브래킷(1816)은 브래킷(1906)과 연결된다. 특히, 브래킷(1816, 1906)은 브래킷(1816, 1906) 사이의 움직임을 허용하는 조인트(1902, 1904)를 통해 연결된다. 앞서 설명된 것처럼, 조인트(1902, 1904)는 브래킷(1816, 1906)이 가이드 레일(1826)에 부딪치는 것을 감소시킨다.Also referring to FIG. 35, the motor 1828 is configured to move the bracket 1816 along the guide rail 1826. In particular, motor 1828 is coupled with lead screw 1820 to move bracket 1816. Also, as described above, in this embodiment, bracket 1816 is connected with bracket 1906. In particular, brackets 1816 and 1906 are connected through joints 1902 and 1904 allowing movement between the brackets 1816 and 1906. As described above, the joints 1902 and 1904 reduce the impact of the brackets 1816 and 1906 against the guide rails 1826.

도 37을 참조로, 상기 설명된 것처럼, 웨이퍼 척(1604)은 회전하도록 구성된다. 도 35를 참조로, 모터(1836)는 웨이퍼 척(1604)을 회전시키도록 구성된다(도 37). 특히, 본 실시예에서, 모터(1836)는 드라이브 벨트(1834)를 통해 샤프트(1802)를 회전시킨다. 도 37을 참조로, 샤프트(1802)는 웨이퍼 척(1604)의 상부 섹션(1858)에 고정된다. 부가적으로, 샤프트(1802)는 슬립-링 어셈블리(1838)내에서 회전한다.Referring to FIG. 37, as described above, the wafer chuck 1604 is configured to rotate. Referring to FIG. 35, the motor 1836 is configured to rotate the wafer chuck 1604 (FIG. 37). In particular, in this embodiment, the motor 1836 rotates the shaft 1802 through the drive belt 1834. Referring to FIG. 37, the shaft 1802 is secured to the upper section 1858 of the wafer chuck 1604. Additionally, shaft 1802 rotates in slip-ring assembly 1838.

연속해서 도 37을 참조로, 상기 설명된 것처럼, 웨이퍼 척(1604)은 웨이퍼 척(1604)의 개방 및 폐쇄를 위해 구성된 다수의 스프링 어셈블리(1894)를 포함한다. 특히, 본 실시예에서, 웨이퍼 척(1604)은 6개의 스프링 어셈블리(1894)를 포함한다. 그러나, 특정 용도에 따라 임의의 수의 스프링 어셈블리(1894)가 사용될 수 있다.With continued reference to FIG. 37, as described above, wafer chuck 1604 includes a plurality of spring assemblies 1894 configured for opening and closing wafer chuck 1604. In particular, in this embodiment, wafer chuck 1604 includes six spring assemblies 1894. However, any number of spring assemblies 1894 can be used, depending on the particular application.

연속해서 도 37을 참조로, 본 실시예에서, 각각의 스프링 어셈블리(1894)는 칼라(1804) 보다 헤드 위치에 형성된 1개 단부를 갖는 로드(1806)를 포함한다(도 18A). 특히, 도 40A 및 40B를 참조로, 로드(1806)의 한쪽 단부는 웨이퍼 척(1604)의 하부 섹션(1856)에 고정된다. 로드(1806)의 다른쪽 단부는 헤드 부분(4002)을 포함한다. 부가적으로, 스프링(1808)은 로드(1806) 부근에 그리고 상부 섹션(1858)과 헤드 부분(4002) 사이에 배치된다. 따라서, 웨이퍼 척(1604)이 하강 위치에 있는 경우, 스프링(1808)은 상부 섹션(1858)을 보유하는 힘을 가하기 위해 연장되며 하부 섹션(1856)은 폐쇄된다. 웨이퍼 척(1604)이 상승함에 따라, 헤드 부분(4002)은 리드(1810)의 하부면과 실질적으로 접촉하게 된다(도 34). 따라서, 스프링(1808)이 압축되며, 로드(1806)는 웨이퍼 척(1604)을 개방시키기 위해 하부 섹션(1856)과 상부 섹션(1858)이 분리된다.With continued reference to FIG. 37, in this embodiment, each spring assembly 1894 includes a rod 1806 having one end formed at the head position rather than the collar 1804 (FIG. 18A). In particular, with reference to FIGS. 40A and 40B, one end of the rod 1806 is secured to the lower section 1856 of the wafer chuck 1604. The other end of the rod 1806 includes a head portion 4002. Additionally, spring 1808 is disposed near rod 1806 and between upper section 1858 and head portion 4002. Thus, when wafer chuck 1604 is in the lowered position, spring 1808 extends to exert a force holding upper section 1858 and lower section 1856 is closed. As the wafer chuck 1604 rises, the head portion 4002 comes into substantial contact with the bottom surface of the lid 1810 (FIG. 34). Thus, the spring 1808 is compressed and the rod 1806 separates the lower section 1856 and the upper section 1858 to open the wafer chuck 1604.

상기 설명된 것처럼, 도 37을 참조로, 스프링 어셈블리(1894)에 의해 인가된 힘 이외에, 진공 및/또는 감압이 웨이퍼 척(1604)을 결합시키기 위해 제공된다. 도 41을 참조로, 본 실시예에서, 진공 및/또는 감압이 시일(4104)에 의해 형성된 캐비티(1830)에 제공된다. 상기 상세한 설명 및 도 18A 내지 도 18C에 설명된 것처럼, 캐비티(1830)는 하부 섹션(1856)에 형성되며 시일(1878)에 의해 밀폐된다. 도 41과 비교해 보면, 시일(4104)은 나사, 볼트, 접착제 등과 같은 임의의 종래 고정 장치 및/또는 방법을 사용하여 하부 섹션(1856)에 보다 쉽게 장착될 수 있다. 특히, 본 실시예에서, 시일(4104)은 나사, 볼트 등과 같은 임의의 종래의 고정 장치를 사용하여 하부 섹션(1856)에 고정될 수 있는 링(1406)을 사용하여 부착된다. 링(1406)은 시일(4104) 부근의 고정 장치에 의해 인가된 힘의 분산을 보조한다. 부가적으로, 시일(4104)의 사용은 하부 섹션(1856)에 캐비티(1830)를 형성하는 것보다 보다 비용면에서 효율적이고 신뢰성이 있을 수 있다. 시일(4104)은 바이턴(Viton), (플루오르화탄소) 고무, 실리콘 고무 등과 같은 임의의 탄력적인 물질을 포함할 수 있다.As described above, with reference to FIG. 37, in addition to the force applied by the spring assembly 1894, vacuum and / or reduced pressure are provided to engage the wafer chuck 1604. Referring to FIG. 41, in this embodiment, vacuum and / or reduced pressure is provided to the cavity 1830 formed by the seal 4104. As described above and described in FIGS. 18A-18C, the cavity 1830 is formed in the lower section 1856 and is sealed by the seal 1878. Compared to FIG. 41, seal 4104 may be more easily mounted to lower section 1856 using any conventional fastening device and / or method such as screws, bolts, adhesives, and the like. In particular, in this embodiment, the seal 4104 is attached using a ring 1406 that can be secured to the lower section 1856 using any conventional fixing device such as screws, bolts, and the like. Ring 1406 assists in dispersing the force applied by the securing device near seal 4104. In addition, the use of seal 4104 may be more cost effective and reliable than forming cavity 1830 in lower section 1856. Seal 4104 may comprise any resilient material, such as Viton, (fluorocarbon) rubber, silicone rubber, and the like.

도 42를 참조로, 상기 설명된 것처럼, 시일 부재(1884)에 의해 형성된 시일을 검사하고/또는 강화시키기 위해 캐비티(1892)에 진공 및/또는 감압이 제공될 수 있다. 또한, 상기 설명된 것처럼, 압력 가스가 시일 부재(1884)에 의해 형성된 시일을 검사하고, 시일 부재(1884)에 의해 형성된 시일을 강화하고, 나머지 전해질 용액의 정화 및 다양한 다른 목적을 위해 캐비티(1892)에 제공될 수 있다.Referring to FIG. 42, as described above, vacuum and / or reduced pressure may be provided to the cavity 1892 to inspect and / or reinforce the seal formed by the seal member 1884. Further, as described above, pressure gas inspects the seal formed by the seal member 1884, reinforces the seal formed by the seal member 1884, and purifies the cavity 1892 for the purification of the remaining electrolyte solution and various other purposes. ) May be provided.

그러나, 진공 및/또는 감압 가스가 캐비티(1892)에 제공된 경우, 진공 및/또는 감압 가스 일부는 웨이퍼(1602)와 상부 섹션(1852) 사이의 인터페이스로 스며들 수 있다. 이처럼, 진공 및/또는 감압 가스 제공이 중단되더라도, 웨이퍼(1602)는 웨이퍼 척(1604)(도 37)이 개방 위치에 있을 때 상부 섹션(1852)에 부착되게 유지될 수 있어, 웨이퍼(1602)의 제거를 어렵게 할 수 있다. 도 46 내지 도 48을 참조로, 웨이퍼(1602)(도 42)가 상부 섹션(1852)(도 42)에 부착되는 것을 방지하기 위해, 텍스춰(textured) 패드(4600)가 웨이퍼(1602)(도 42)와 상부 섹션(1852)(도 42) 사이에 제공될 수 있다. 본 실시예에서, 텍스춰 패드(4600)는 웨이퍼(1602)(도 42)와 접촉되는 표면에 거쳐 형성된 다수의 그루브(4602)를 포함한다. 이처럼, 웨이퍼(1602)(도 42) 후면에 스며드는 임의의 진공 및/또는 감압 가스가 보다 쉽게 빠져나갈 수 있다. 결과적으로, 웨이퍼(1602)(도 42)는 상부 섹션(1852)(도 42)에 덜 달라붙게 될 것이다.However, if a vacuum and / or reduced pressure gas is provided to the cavity 1892, some of the vacuum and / or reduced pressure gas may seep into the interface between the wafer 1602 and the upper section 1852. As such, even if the vacuum and / or reduced pressure gas supply is interrupted, the wafer 1602 can remain attached to the upper section 1852 when the wafer chuck 1604 (FIG. 37) is in the open position, thereby providing the wafer 1602. Can make removal difficult. 46-48, to prevent the wafer 1602 (FIG. 42) from adhering to the upper section 1852 (FIG. 42), a textured pad 4600 is mounted on the wafer 1602 (FIG. 42 may be provided between the upper section 1852 (FIG. 42). In this embodiment, texture pad 4600 includes a plurality of grooves 4602 formed over a surface in contact with wafer 1602 (FIG. 42). As such, any vacuum and / or reduced pressure gas that penetrates the backside of the wafer 1602 (FIG. 42) can more easily escape. As a result, wafer 1602 (FIG. 42) will stick less to upper section 1852 (FIG. 42).

다시 도 41 및 도 42를 참조로, 본 실시예에서, 진공, 감압, 및/또는 압력 가스가 각각 고정물(fitting)(4102;도 41, 4202;도 42)을 통해 캐비티(1830, 1892)에 제공된다. 도 38을 참조로, 진공, 감압, 및/또는 압력 가스가 채널(1874)로부터 라인(1832)을 통해 그리고 채널(1876)로부터 라인(1852)를 통해 각각 고정물(4102;도 41, 4202;도 42)에 제공된다.Referring again to FIGS. 41 and 42, in this embodiment, vacuum, reduced pressure, and / or pressure gas are respectively introduced into cavities 1830, 1892 through fittings 4102 (FIGS. 41, 4202; 42). Is provided. With reference to FIG. 38, vacuum, reduced pressure, and / or pressure gas is fixed 4102 through line 1832 from channel 1874 and through line 1852 from channel 1876; FIGS. 41, 4202; 42).

도 43을 참조로, 진공, 감압, 및/또는 압력 가스가 슬립-링 어셈블리(1838)를 통해 샤프트(1802)에 형성된 채널(1874, 1876)에 제공된다. 상기 설명된 것처럼, 슬립-링 어셈블리(1838)는 샤프트(1802)가 회전할 때 샤프트(1802) 속으로 진공 및/또는 감압을 제공하도록 구성된다. 특히, 상기 설명된 것처럼, 시일(1842)은 인입구(1870, 1872)를 통해 진공 및/또는 감압이 주입될 수 있는, 샤프트(1802) 및 슬립-링 어셈블리(1838) 사이에 캐비티(1866, 1868)(도 18B)를 형성한다.Referring to FIG. 43, a vacuum, reduced pressure, and / or pressure gas is provided to channels 1874, 1876 formed in shaft 1802 through slip-ring assembly 1838. As described above, the slip-ring assembly 1838 is configured to provide vacuum and / or depressurization into the shaft 1802 when the shaft 1802 rotates. In particular, as described above, the seal 1842 is a cavity 1866, 1868 between the shaft 1802 and the slip-ring assembly 1838, through which inlet 1870, 1872 can be injected vacuum and / or reduced pressure. (Fig. 18B).

도 16을 참조로, 상기 설명된 것처럼, 전해질 리셉테클(1608)에서 전해질 용액(1656)의 표면 레벨과 일직선을 이루게 웨이퍼(1602)를 유지하는 것은 전기도금 및/또는 전기연마 공정의 균일성 강화를 돕는다. 이와 관련하여, 도 43을 참조로, 브래킷(1816)의 정렬은 웨이퍼 척(1858)과 평행하게 구성될 수 있다.Referring to FIG. 16, as described above, maintaining the wafer 1602 in alignment with the surface level of the electrolyte solution 1656 in the electrolyte receptacle 1608 enhances the uniformity of the electroplating and / or electropolishing process. To help. In this regard, with reference to FIG. 43, the alignment of the brackets 1816 may be configured parallel to the wafer chuck 1858.

도 44를 참조로, 슬립-링 어셈블리(1838)를 중심으로 브래킷(1816) 정렬은 다수의 나사(4312) 및 다수의 나사 세트(4314)를 다양하게 조절함으로써 조절될 수 있다. 특히, 브래킷(1816)과 슬립-링 어셈블리(1838) 사이의 갭은 각각 나사(4312)와 세트-나사(4314)를 조절함으로써 증가되고 감소될 수 있다. 본 실시예에서, 적어도 3개의 나사(4312) 및 3개의 세트-나사(4314)의 사용은 브래킷(1816)을 기준으로 짐벌처리되는(gimbaled) 슬립-링 어셈블리(1838)를 허용한다. 그러나, 조절되는 브래킷(1816)과 슬립-링 어셈블리(1838)의 정렬을 허용하는데 다양한 장치 및 방법이 사용될 수 있다.Referring to FIG. 44, the bracket 1816 alignment about the slip-ring assembly 1838 can be adjusted by varying the number of screws 4312 and the set of screws 4314. In particular, the gap between bracket 1816 and slip-ring assembly 1838 can be increased and decreased by adjusting screws 4312 and set-screw 4314, respectively. In this embodiment, the use of at least three screws 4312 and three set-screws 4314 allows the slip-ring assembly 1838 to be gimbaled relative to the bracket 1816. However, various devices and methods may be used to allow alignment of the adjusted bracket 1816 and slip-ring assembly 1838.

도 45를 참조하여, 샤프트(1802)를 기준으로 한 상부 섹션(1858)의 정렬은 다수의 나사(4304) 및 세트 나사(4306)를 다양하게 조절함으로써 조절될 수 있다. 본 실시예에서, 나사(4303)와 세트 나사(4306)의 조절은 스템 부품(4302)을 기준으로 상부 섹션(1858)의 정렬을 조절할 수 있다. 특히, 상부 섹션(1858)과 스템 부품(4302) 사이의 갭은 나사(4304)와 세트나사(4306)를 사용하여 조절가능하다. 본 실시예에서, 3개의 나사(4304)의 사용과 상부 섹션(1858) 중심의 세트 나사(4306) 및 스템 부품(4302)의 위치는 스템 부품(4302)을 중심으로 짐벌처리되는 상부 섹션(1858)을 허용한다. Referring to FIG. 45, the alignment of the upper section 1858 relative to the shaft 1802 can be adjusted by varying the number of screws 4304 and set screws 4306. In this embodiment, the adjustment of the screw 4303 and the set screw 4306 may adjust the alignment of the upper section 1858 relative to the stem component 4302. In particular, the gap between the upper section 1858 and the stem component 4302 is adjustable using screws 4304 and set screws 4306. In this embodiment, the use of three screws 4304 and the position of the set screw 4306 and stem part 4302 centered on the upper section 1858 are gimbalized around the stem part 4302 and the upper section 1858. Is allowed.

또한, 본 실시예에서, 스템 부품(4302)은 다수의 볼트(4308)를 사용하여 샤프트(1802)에 부착된다. 이러한 방식으로, 상부 섹션(1858)은 그의 정렬을 재설정할 필요없이 샤프트(1802)로부터 제거될 수 있다. 앞서 설명된 것처럼, 웨이퍼 척(1604)(도 37)은 정밀 검사, 수선, 보존과 같은 다양한 목적을 위해 제거될 수 있다. 후에 재-정렬을 용이하게 하기 위해, 도 43을 참조로, 본 실시예에서, 스템 부품(4302) 및 샤프트(1802)는 테논(tenon) 및 모티스(mortise) 형상 조인트를 사용하여 연결된다. 또한, 볼트(4308)는 단지 스템 부품(4302)과 샤프트(1802)만을 접촉한다. 이런 방식으로, 볼트(4308)의 조절은 상부 섹션(1858)의 조절은 스템 부품(4302)에 영향을 미치지 않는다.Also, in this embodiment, stem component 4302 is attached to shaft 1802 using multiple bolts 4308. In this way, the upper section 1858 can be removed from the shaft 1802 without having to reset its alignment. As described above, wafer chuck 1604 (FIG. 37) may be removed for various purposes such as overhaul, repair, preservation. To facilitate later realignment, with reference to FIG. 43, in this embodiment, the stem component 4302 and the shaft 1802 are connected using tenon and mortise shaped joints. Also, the bolt 4308 contacts only the stem component 4302 and the shaft 1802. In this way, adjustment of the bolt 4308 does not affect the stem component 4302 adjustment of the upper section 1858.

웨이퍼 척 어셈블리으로 설명된 다양한 실시예를 통해, 웨이퍼 척(1604)의 다양한 실시예를 설명한다. 도 49를 참조로, 웨이퍼 척(1604)은 하부 섹션(1856)과 상부 섹션(1858)을 포함한다. 하부 섹션(1858)은 전기도금 및/또는 전기연마 공정 동안 웨이퍼(1602)의 하부 표면을 노출시키기 위한 개구부를 갖게 형성된다. Various embodiments of the wafer chuck 1604 are described through various embodiments described as a wafer chuck assembly. Referring to FIG. 49, wafer chuck 1604 includes a lower section 1856 and an upper section 1858. Lower section 1858 is formed with an opening to expose the lower surface of wafer 1602 during the electroplating and / or electropolishing process.

일 실시예에서, 하부 섹션(1856)과 상부 섹션(1858)은 세라믹, 폴리테트라플루오르에틸렌(상업적으로 TEFLON으로 공지됨), 폴리비닐 클로라이드(PVC), 폴리비닐인딘 플로라이드(PVDF), 폴리프로필렌 등과 같은 임의의 종래의 전기적 절연 물질 및 산 및 부식에 대해 내성이 있는 물질로 형성된다. 선택적으로, 하부 섹션(1856)과 상부 섹션(1858)은 임의의 전기적 전도성 물질(금속, 금속 합금 등과 같은), 산 및 부식에 대해 내성이 있고 전기적으로 절연성이 있는 물질로 코팅된 물질로 형성될 수 있다. 본 실시예에서, 하부 섹션(1856) 및 상부 섹션(1858)은 플라스틱 층과 금속층의 샌드위치에 의해 형성된다. 금속층은 구조적 보존 및 세기를 제공한다. 플라스틱층은 전해질 용액에 대해 보호막을 제공한다.In one embodiment, the lower section 1856 and the upper section 1858 are ceramic, polytetrafluoroethylene (commercially known as TEFLON), polyvinyl chloride (PVC), polyvinylindine fluoride (PVDF), poly It is formed of any conventional electrically insulating material such as propylene and the like and materials that are resistant to acid and corrosion. Optionally, the lower section 1856 and the upper section 1858 may be formed of a material coated with any electrically conductive material (such as metal, metal alloy, etc.), acid and corrosion resistant and electrically insulating material. Can be. In this embodiment, the lower section 1856 and the upper section 1858 are formed by a sandwich of a plastic layer and a metal layer. The metal layer provides structural preservation and strength. The plastic layer provides a protective film for the electrolyte solution.

본 발명의 다양한 면에 따라 웨이퍼 척(1604)은 스프링 부재(1882), 전도성 부재(1880), 및 시일 부재(1884)를 포함한다. 앞서 설명된 것처럼, 본 발명은 반도체 웨이퍼를 보유하는 것과 관련하여 사용하는데 특히 적합하다. 일반적으로, 반도체 웨이퍼는 원형 형상이다. 따라서, 웨이퍼 척(1604)의 다양한 부품(즉, 하부 섹션(1856), 시일 부재(1884), 전도성 부재(1880), 스프링 부재(1882), 및 상부 섹션(1858))은 거의 원형 형상인 것으로 설명된다. 그러나, 웨이퍼 척(1604)의 다양한 부품은 특정 용도에 따라 다양한 형상을 포함할 수 있다. 예를 들어, 도 67을 참조로, 웨이퍼(6700)는 플랫 에지(6702)로 형성될 수 있다. 따라서, 웨이퍼 척(1604)의 다양한 부품은 플랫 에지(6702)를 따라 형성될 수 있다.In accordance with various aspects of the present invention, wafer chuck 1604 includes spring member 1882, conductive member 1880, and seal member 1884. As described above, the present invention is particularly suitable for use in connection with holding semiconductor wafers. In general, semiconductor wafers are circular in shape. Accordingly, the various components of the wafer chuck 1604 (ie, lower section 1856, seal member 1884, conductive member 1880, spring member 1882, and upper section 1858) are approximately circular in shape. It is explained. However, various components of wafer chuck 1604 may include various shapes, depending on the particular application. For example, referring to FIG. 67, wafer 6700 may be formed with flat edges 6702. Thus, various components of wafer chuck 1604 can be formed along flat edge 6702.

도 51을 참조로, 웨이퍼(1602)가 하부 섹션(1856)과 상부 섹션(1858) 사이에 배치되는 경우, 본 발명의 일면에 따라, 스프링 부재(1882)는 웨이퍼(1602)의 외부 주변부 부근에서 웨이퍼(1602)와 접촉되는 것이 바람직하다. 스프링 부재(1882)는 전도성 부재(1880)와 접촉되는 것이 바람직하다. 따라서, 전하가 전도성 부재(1880)에 제공되는 경우, 전하는 스프링 부재(1882)를 통해 웨이퍼(1602)로 전송된다.Referring to FIG. 51, when the wafer 1602 is disposed between the lower section 1856 and the upper section 1858, in accordance with one aspect of the invention, the spring member 1882 is located near the outer periphery of the wafer 1602. It is desirable to be in contact with the wafer 1602. The spring member 1882 is preferably in contact with the conductive member 1880. Thus, when charge is provided to the conductive member 1880, the charge is transferred to the wafer 1602 through the spring member 1882.

도 51을 참조로, 본 실시예에서, 스프링 부재(1882)는 전도성 부재(1880)의 리드 부분(1880a)과 웨이퍼(1602) 사이에 배치된다. 따라서, 하부 섹션(1856)과 상부 섹션(1858) 모두를 보유하도록 압력이 가해지는 경우, 스프링 부재(1882)는 웨이퍼(1602)와 전도성 부재(1880) 사이에 전기적 접촉을 유지하게 된다. 특히, 스프링 부재(1882)내의 코일의 상부 및 하부는 각각 웨이퍼(1602)와 리드 부분(1880a)에 접촉된다. 부가적으로, 스프링 부재(1882)는 납땜, 용접 등과 같은 임의의 종래 방법을 사용하여 보다 나은 전기적 접촉을 형성하도록 리드 부분(1880a)에 결합될 수 있다.Referring to FIG. 51, in this embodiment, a spring member 1882 is disposed between the lead portion 1880a of the conductive member 1880 and the wafer 1602. Thus, when pressure is applied to retain both the lower section 1856 and the upper section 1858, the spring member 1882 maintains electrical contact between the wafer 1602 and the conductive member 1880. In particular, the top and bottom of the coil in spring member 1882 are in contact with wafer 1602 and lead portion 1880a, respectively. Additionally, spring member 1882 can be coupled to lead portion 1880a to form better electrical contact using any conventional method, such as soldering, welding, or the like.

웨이퍼(1602)와 전도성 부재(1880) 사이에 형성된 접촉점의 수는 스프링 부재(1882)의 수를 변화시킴으로써 변형될 수 있다. 이런 방식으로, 웨이퍼(1602)에 가해지는 전하는 웨이퍼(1602)의 외부 주변부 부근에 보다 고르게 분산될 수 있다. 예를 들어, 200 밀리미터(mm) 웨이퍼에 대해, 약 1 내지 약 10 암페어를 갖는 전하가 전형적으로 가해진다. 스프링 부재(1882)가 웨이퍼(1602)와 약 1000개의 콘택점을 형성하는 경우, 200mm 웨이퍼에 대해, 인가되는 전하는 접촉점당 약 1 내지 약 10 밀리 암페어로 감소된다.The number of contact points formed between the wafer 1602 and the conductive member 1880 can be modified by varying the number of spring members 1882. In this way, the charge applied to the wafer 1602 can be more evenly distributed near the outer periphery of the wafer 1602. For example, for a 200 millimeter (mm) wafer, a charge with about 1 to about 10 amps is typically applied. When the spring member 1882 forms about 1000 contact points with the wafer 1602, for 200 mm wafers, the applied charge is reduced to about 1 to about 10 milliamps per contact point.

본 실시예에서, 지금까지 전도성 부재(1880)는 립(lip) 섹션(1880a)을 갖는 것으로 도시되고 설명되었다. 그러나, 전도성 부재(1880)는 스프링 부재(1882)를 전기적으로 접촉시키기 위한 다양한 형상을 포함할 수 있다. 예를 들어, 전도성 부재(1880)는 립 섹션(1880a) 없이 형성될 수 있다. 이러한 구성에서, 전기적 접촉이 전도성 부재(1880)의 측면과 스프링 부재(1882) 사이에 형성될 수 있다. 또한, 전도성 부재(1880)가 완전히 제거될 수 있다. 전하가 스프링 부재(1882)에 직접적으로 인가될 수 있다. 그러나, 이러한 구성에 있어, 전하가 인가되는 스프링 부재(1882) 부분에 핫 스폿(hot spot)이 형성될 수 있다.In this embodiment, the conductive member 1880 has been shown and described so far as having a lip section 1880a. However, the conductive member 1880 may include various shapes for electrically contacting the spring member 1882. For example, conductive member 1880 may be formed without lip section 1880a. In such a configuration, electrical contact may be formed between the side of the conductive member 1880 and the spring member 1882. In addition, the conductive member 1880 may be completely removed. Electric charge can be applied directly to the spring member 1882. However, in such a configuration, a hot spot may be formed in the portion of the spring member 1882 to which charge is applied.

스프링 부재(1882)는 종래의 전기적으로 전도성이 있는 방부 물질로 형성될 수 있다. 본 실시예에서, 스프링 부재(1882)는 금속 또는 금속 합금(스테인레스 강철, 스프링 강철, 티타늄 등)으로 형성된다. 또한 스프링 부재(1882)는 방부 물질(백금, 금 등)로 코팅될 수 있다. 본 발명의 일면에 따라, 스프링 부재(1882)는 코일 스프링이 링에 형성됨에 따라 형성된다. 그러나, 종래의 코일 스프링은 코일의 길이를 변화시킬 수 있는 단면 프로파일을 갖는다. 보다 상세하게, 일반적으로는 종래의 코일 스프링은 긴 직경 및 짧은 직경을 갖는 타원 단면 프로파일을 갖는다. 코일 스프링의 일부에서, 타원 단면 프로파일의 길고 짧은 직경은 각각 수직적이고 수평적으로 배향될 수 있다. 그러나, 이러한 타원 단면 프로파일은 코일 스프링의 길이에 따라 얽히게 되거나(twist) 회전된다. 따라서, 코일 스프링의 또다른 부분에서 타원 단면 프로파일의 길고 짧은 직경은 각각 수평으로 그리고 수직으로 배향될 수 있다. 코일 스프링의 단면 프로파일에서의 이러한 비균일함은 웨이퍼(1602)와의 비균일한 전기적 접촉 및 비균일한 전기도금을 야기시킬 수 있다. 그의 길이에 대해 일정한 단면 프로파일을 갖는 코일 스프링은 제조가 어렵고 비용이 비싸다. 이처럼, 본 발명의 일면에 따라, 스프링 부재(1882)는 거의 균일한 단면 프로파일을 유지하기 위해 다수의 코일 스프링으로 형성된다. 본 실시예의 구성에 있어, 스프링 부재(1882)가 립 부분(1880a) 상부에 배치되는 경우, 인가된 전하는 립 부분(1880a)으로부터 스프링 부재(1882) 길이에 대해 전송된다. 따라서, 이러한 구성에서, 다수의 코일 스프링은 전기적으로 결합될 필요가 없다. 그러나, 앞서 설명된 것처럼, 본 발명의 또다른 구성에 있어, 전하는 스프링 부재(1882)에 직접적으로 인가될 수 있다. 이러한 구성에서, 다수의 코일 스프링은 납땜, 용접 등과 같은 임의의 종래 방법을 사용하여 전기적으로 결합될 수 있다. 본 실시예에서, 스프링 부재(1882)는 약 1 내지 약 2 인치의 길이를 갖는 다수의 코일 스프링을 포함한다. 그러나, 스프링 부재(1882)는 특정 용도에 따라 임의의 길이를 갖는 임의의 수의 코일 스프링을 포함할 수 있다. 또한, 상기 설명된 것처럼, 스프링 부재(1882)는 임의의 종래의 형태로 전기적으로 전도성 있는 물질을 포함할 수 있다.Spring member 1882 may be formed from conventional electrically conductive antiseptic material. In this embodiment, the spring member 1882 is formed of a metal or metal alloy (stainless steel, spring steel, titanium, etc.). The spring member 1882 may also be coated with antiseptic material (platinum, gold, etc.). According to one aspect of the invention, the spring member 1882 is formed as the coil spring is formed in the ring. However, conventional coil springs have a cross-sectional profile that can vary the length of the coil. More specifically, conventional coil springs have an elliptical cross-sectional profile with long diameter and short diameter. In some of the coil springs, the long and short diameters of the elliptical cross-sectional profile can be oriented vertically and horizontally, respectively. However, this elliptic cross-sectional profile is twisted or rotated along the length of the coil spring. Thus, in another part of the coil spring the long and short diameters of the elliptical cross-sectional profile can be oriented horizontally and vertically respectively. This nonuniformity in the cross-sectional profile of the coil spring can result in nonuniform electrical contact with the wafer 1602 and nonuniform electroplating. Coil springs with a constant cross-sectional profile over their length are difficult to manufacture and expensive. As such, according to one aspect of the invention, the spring member 1882 is formed of a plurality of coil springs to maintain a nearly uniform cross-sectional profile. In the configuration of this embodiment, when the spring member 1882 is disposed above the lip portion 1880a, the applied charge is transmitted from the lip portion 1880a to the spring member 1882 length. Thus, in this configuration, multiple coil springs do not need to be electrically coupled. However, as described above, in another configuration of the present invention, charge can be applied directly to the spring member 1882. In this configuration, multiple coil springs can be electrically coupled using any conventional method, such as soldering, welding, and the like. In this embodiment, the spring member 1882 includes a plurality of coil springs having a length of about 1 to about 2 inches. However, the spring member 1882 can include any number of coil springs with any length, depending on the particular application. In addition, as described above, the spring member 1882 may comprise an electrically conductive material in any conventional form.

도 50 및 도 51을 참조로, 스프링 부재(1882)는 스프링 홀더(5002)를 포함할 수 있다. 본 실시예에서, 스프링 부재(1882)가 코일 스프링인 경우, 스프링 홀더(5002)는 로드가 코일 스프링의 루프 중심을 통과하도록 구성된다. 스프링 홀더(5002)는, 특히 스프링 부재(1882)가 다수의 코일 스프링을 포함하는 경우, 스프링 부재(1882)의 처리를 용이하게 한다. 부가적으로, 스프링 홀더(5002)는 스프링 부재(1882)의 원치않는 변형을 감소시키기 위해 구조적 지지체를 제공한다. 본 실시예에서, 스프링 홀더(5002)는 강성의 물질(금속, 금속 합금, 플라스틱 등)로 형성되는 것이 바람직하다. 또한, 스프링 홀더(5002)는 방부 물질(백금, 티타늄, 스테인레스 스틸 등)로 형성되는 것이 바람직하다. 또한, 스프링 홀더(5002)는 전기적으로 전도성 있거나 또는 비전도성일 수 있다.50 and 51, spring member 1882 may include spring holder 5002. In this embodiment, when the spring member 1882 is a coil spring, the spring holder 5002 is configured such that the rod passes through the loop center of the coil spring. The spring holder 5002 facilitates the processing of the spring member 1882, especially when the spring member 1882 includes a plurality of coil springs. Additionally, spring holder 5002 provides a structural support to reduce unwanted deformation of spring member 1882. In this embodiment, the spring holder 5002 is preferably formed of a rigid material (metal, metal alloy, plastic, etc.). In addition, the spring holder 5002 is preferably formed of an antiseptic material (platinum, titanium, stainless steel, etc.). In addition, spring holder 5002 may be electrically conductive or non-conductive.

전도성 부재(1880)는 임의의 종래 전기적 전도성 있는 방부 물질로 형성될 수 있다. 본 실시예에서, 전도성 부재(1880)는 금속 또는 금속 합금(티타늄, 스테인레스 스틸 등) 및 방부 물질(백금, 금 등)로 코팅된 물질로 형성된다.Conductive member 1880 may be formed of any conventional electrically conductive preservative material. In the present embodiment, the conductive member 1880 is formed of a material coated with a metal or metal alloy (titanium, stainless steel, etc.) and an antiseptic material (platinum, gold, etc.).

전송 라인(5104) 및 전극(5102)을 통해 전도성 부재(1880)로 전하를 인가할 수 있다. 전송 라인(5104)은 임의의 종래 전기적 전도성 매체를 포함할 수 있다. 예를 들어, 전송 라인(5104)은 구리, 알루미늄, 금 등으로 형성된 전기적 와이어를 포함할 수 있다. 또한, 전송 라인(5104)은 전원 장치(1640, 1642, 1644)(도 16)와 임의의 종래 방식을 사용하여 연결될 수 있다. 예를 들어, 도 18A에 도시된 것처럼, 전송 라인(5104)은 상부 섹션(1858)을 통해 그리고 상부 섹션(1858)의 상부 표면을 따라 동작할 수 있다.Electric charge may be applied to the conductive member 1880 through the transmission line 5104 and the electrode 5102. Transmission line 5104 may include any conventional electrically conductive medium. For example, the transmission line 5104 may include electrical wires formed of copper, aluminum, gold, and the like. In addition, the transmission line 5104 may be connected to the power supplies 1640, 1642, 1644 (FIG. 16) using any conventional scheme. For example, as shown in FIG. 18A, the transmission line 5104 may operate through the upper section 1858 and along the upper surface of the upper section 1858.

전극(5102)은 탄력성이 있게 구성되는 것이 바람직하다. 따라서, 하부 섹션(1856)과 상부 섹션(1858) 모두를 보유하도록 압력이 인가되는 경우, 전극(5102)은 전도성 부재(1880)를 따라 전기적 접촉을 유지한다. 이와 관련하여, 전극(5102)은 리프 스프링 어셈블리, 코일 스프링 어셈블리 등을 포함할 수 있다. 전극(5102)은 전기적으로 전도성있는 임의의 종래 물질(금속, 금속 합금 등)로 형성가능하다. 본 실시예에서, 전극(5102)은 방식제(anti-corrosive)(티타늄, 스테인레스 강철 등)로 형성된다. 부가적으로, 임의의 수의 전극(5102)이 전도성 부재(1880)에 전하를 인가하기 위해 상부 섹션(1858) 부근에 배치될 수 있다. 본 실시예에서, 4개 전극(5102)이 상부 섹션(1858) 부근에 약 90도 간격으로 거의 동일한 간격으로 배치된다.The electrode 5102 is preferably configured to be elastic. Thus, when pressure is applied to retain both lower section 1856 and upper section 1858, electrode 5102 maintains electrical contact along conductive member 1880. In this regard, the electrode 5102 may include a leaf spring assembly, a coil spring assembly, and the like. Electrode 5102 may be formed of any conventional material (metal, metal alloy, etc.) that is electrically conductive. In this embodiment, the electrode 5102 is formed of anti-corrosive (titanium, stainless steel, etc.). Additionally, any number of electrodes 5102 can be disposed near the upper section 1858 to apply charge to the conductive member 1880. In this embodiment, four electrodes 5102 are disposed at approximately the same interval at about 90 degree intervals near the upper section 1858.

상기 설명된 것처럼, 금속층을 전기도금하기 위해, 웨이퍼(1602)는 전해질 용액에 침지되고 전하가 웨이퍼(1602)에 적용된다. 웨이퍼(1602)가 전극(1632, 1634, 1636)(도 16) 보다 큰 전위로 전기적 전하가 인가되는 경우, 전해질 용액 내에 금속 이온은 금속층을 형성하기 위해 웨이퍼 표면으로 이동된다. 그러나, 전하가 인가되는 경우, 스프링 부재(1882) 및/또는 전도성 부재(1880)가 전해질 용액에 노출되는 경우 단락을 야기시킬 수 있다. 부가적으로, 전기도금 공정 동안 웨이퍼(1602)가 금속의 시드층을 포함하는 경우, 금속 시드층은 애노드로서 작용을 할 수 있고 스프링 부재(1882)는 캐소드로서의 작용을 할 수 있다. 이처럼, 금속층은 스프링 부재(1882) 상에 형성될 수 있고 웨이퍼(1602) 상의 시드층은 전기연마될 수 있다(즉, 제거된다). 스프링 부재(1882)의 단락 및 웨이퍼(1602)상의 시드층 제거는 웨이퍼(1602) 상에 형성된 금속층의 균일성을 감소시킬 수 있다.As described above, to electroplate the metal layer, the wafer 1602 is immersed in an electrolyte solution and charge is applied to the wafer 1602. When the wafer 1602 is applied with an electrical charge at a potential greater than that of the electrodes 1632, 1634, 1636 (FIG. 16), metal ions in the electrolyte solution are moved to the wafer surface to form a metal layer. However, when charge is applied, it may cause a short circuit when the spring member 1882 and / or the conductive member 1880 are exposed to the electrolyte solution. Additionally, if the wafer 1602 comprises a seed layer of metal during the electroplating process, the metal seed layer can act as an anode and the spring member 1882 can act as a cathode. As such, the metal layer may be formed on the spring member 1882 and the seed layer on the wafer 1602 may be electropolished (ie, removed). Shorting of the spring member 1882 and removing the seed layer on the wafer 1602 can reduce the uniformity of the metal layer formed on the wafer 1602.

따라서, 본 발명의 다양한 면에 따라, 시일 부재(1884)는 전해질 용액으로부터 스프링 부재(1882) 및 전도성 부재(1880)를 절연시킨다. 시일 부재(1884)는 바이턴(플로오르카본) 고무, 실리콘 고무 등과 같은 방식제로 형성하는 것이 바람직하다. 또한, 본 실시예는 도 51을 참조로 하였지만, 시일 부재(1884)는 L-형상 프로파일을 포함하며, 시일 부재(1884)는 특정 용도에 따라 다양한 형상 및 구성을 포함할 수 있다. 시일 부재(1884)의 다양한 구성의 예는 도 53A 내지 53G에 도시된다. 그러나, 도 53A 내지 도 53G에 도시된 다양한 구성은 단지 일례이며 각각 시일 부재(1884)의 선택적 가능 구성을 나타내는 것은 아니다.Accordingly, in accordance with various aspects of the present invention, seal member 1884 insulates spring member 1882 and conductive member 1880 from electrolyte solution. The seal member 1884 is preferably formed of an anticorrosive such as Viton (fluorocarbon) rubber, silicone rubber, or the like. In addition, although this embodiment has been described with reference to FIG. 51, the seal member 1884 includes an L-shaped profile, and the seal member 1884 may include various shapes and configurations, depending on the particular application. Examples of various configurations of seal member 1884 are shown in FIGS. 53A-53G. However, the various configurations shown in FIGS. 53A-53G are merely examples and do not represent optional configurations of the seal member 1884, respectively.

상기 설명되고 도 51에 도시된 것처럼, 스프링 부재(1882) 및 시일 부재(1884)는 웨이퍼(1602)의 외부 주변 부근에서 웨이퍼(1602)와 접촉된다. 특히, 스프링 부재(1882)와 시일 부재(1884)는 웨이퍼(1602)의 외부 주변부 폭(5106)과 접촉된다. 일반적으로, 웨이퍼(1602)의 이러한 영역은 후에 전자공학 구조 등을 형성하는데 사용될 수 없다. 이처럼, 본 발명의 일 실시예에 따라, 폭(5106)은 웨이퍼(1602)의 전체 표면 면적의 작은 비율로 유지된다. 예를 들어, 약 300 밀리미터(mm) 웨이퍼에 대해, 폭(5106)은 약 2mm 내지 약 6mm로 유지된다. 그러나, 폭(5106)은 특정 용도에 따라 웨이퍼(1602)의 전체 표면 면적의 임의의 비율일 수 있다. 예를 들어, 하나의 용도에서, 웨이퍼(1602)상에 증착된 금속층의 양은 웨이퍼(1602)의 유용한 영역보다 중요할 수 있다. 이처럼, 웨이퍼(1602)의 표면 면적의 상당부는 다량 인가된 전하를 수용하기 위해 스프링 부재(1882) 및 시일 부재(1884)를 접촉시키게 제공될 수 있다.As described above and shown in FIG. 51, the spring member 1882 and the seal member 1884 are in contact with the wafer 1602 near the outer periphery of the wafer 1602. In particular, the spring member 1882 and the seal member 1884 are in contact with the outer perimeter width 5106 of the wafer 1602. In general, this area of wafer 1602 cannot be used later to form electronic structures or the like. As such, in accordance with one embodiment of the present invention, width 5106 is maintained at a small percentage of the total surface area of wafer 1602. For example, for an about 300 millimeter (mm) wafer, the width 5106 is maintained between about 2 mm and about 6 mm. However, the width 5106 may be any proportion of the total surface area of the wafer 1602, depending on the particular application. For example, in one application, the amount of metal layer deposited on wafer 1602 may be more important than the useful area of wafer 1602. As such, a substantial portion of the surface area of the wafer 1602 may be provided to contact the spring member 1882 and the seal member 1884 to receive a large amount of applied charge.

도 54를 참조로, 웨이퍼 척(1604)(도 51)에 의해 수행되는 공정 단계는 순서도 포맷에서 착수된다. 도 51을 참조로, 웨이퍼 척(1604)은 처리되는 웨이퍼(1602)를 수용하기 위해 개방된다(도 54, 블록(5402)). 특히, 하부 섹션(1856)은 상부 섹션(1858)을 기준으로 하강될 수 있다. 선택적으로, 상부 섹션(1858)은 하부 섹션(1856)을 기준으로 상승될 수 있다. 앞서 설명된 것처럼, 뉴매틱(pneumatic), 스프링, 진공, 자기력 등과 같이, 웨이퍼 척(1604)을 개방하기 위해 다양한 방법이 사용될 수 있다.Referring to FIG. 54, the process steps performed by wafer chuck 1604 (FIG. 51) are undertaken in a flowchart format. Referring to FIG. 51, wafer chuck 1604 is opened to receive wafer 1602 to be processed (FIG. 54, block 5402). In particular, the lower section 1856 can be lowered relative to the upper section 1858. Optionally, the upper section 1858 can be raised relative to the lower section 1856. As described above, various methods may be used to open the wafer chuck 1604, such as pneumatic, spring, vacuum, magnetic force, and the like.

웨이퍼 척(1604)이 비어있는 (도 54, 판단 블록(5404)이 블록(5408)을 향해 예로 되는) 경우, 처리될 새로운 웨이퍼(1602)가 제공되거나 또는 삽입된다(도 54, 블록(5408)). 그러나, 웨이퍼 척(1604)이 이전에 처리된 웨이퍼를 포함하고 있는 경우, 이전에 처리된 웨이퍼는 웨이퍼 척(1604)으로부터 제거되고(도 54, 판단 블록(5404)이 블록(5406)을 향해 아니오로 되는 경우) 새로운 웨이퍼(1602)가 제공된다(도 54, 블록(5408)). 상기 설명된 것처럼, 웨이퍼(1602)의 처리는 로봇(106)(도 16)에 의해 수행될 수 있다. 또한, 웨이퍼(1602)는 웨이퍼 카세트(116)(도 3)로부터 얻어질 수 있고 웨이퍼 카세트(116)(도 3)로 복귀될 수 있다.If the wafer chuck 1604 is empty (FIG. 54, decision block 5404 is exemplified towards block 5408), a new wafer 1602 is provided or inserted (FIG. 54, block 5408). ). However, if the wafer chuck 1604 includes a previously processed wafer, the previously processed wafer is removed from the wafer chuck 1604 (FIG. 54, decision block 5404 is directed toward block 5406. A new wafer 1602 is provided (FIG. 54, block 5408). As described above, the processing of the wafer 1602 may be performed by the robot 106 (FIG. 16). Also, wafer 1602 can be obtained from wafer cassette 116 (FIG. 3) and returned to wafer cassette 116 (FIG. 3).

웨이퍼(1602)가 웨이퍼 척(1604) 내에 제공된 후, 웨이퍼 척(1604)은 폐쇄될 수 있다(도 54, 블록(5410)). 상기 설명된 것처럼, 하부 섹션(1856)은 상부 섹션(1858)을 기준으로 상승될 수 있다. 선택적으로, 상부 섹션(1858)은 하부 섹션(1856)을 기준으로 하강될 수 있다. 상기 설명된 것처럼, 웨이퍼 척(1604)이 폐쇄될 때, 스프링 부재(1882)는 웨이퍼(1602)와 전도성 부재(1880)와의 전기적 접촉을 형성한다. 추가로, 전도성 부재(1880)는 전극(502)과의 전기적 접촉을 형성한다.After the wafer 1602 is provided in the wafer chuck 1604, the wafer chuck 1604 can be closed (FIG. 54, block 5410). As described above, the lower section 1856 may be raised relative to the upper section 1858. Optionally, upper section 1858 can be lowered relative to lower section 1856. As described above, when the wafer chuck 1604 is closed, the spring member 1882 forms an electrical contact between the wafer 1602 and the conductive member 1880. In addition, conductive member 1880 forms electrical contact with electrode 502.

웨이퍼 척(1604)이 폐쇄된 후, 웨이퍼 척(1604)은 전해질 용액 리셉테클(1608)(도 16)내로 하강된다(도 54, 블록(5412)). 상기 설명된 것처럼, 웨이퍼(1602)는 전해질 용액내로 침지된다. 또한, 상기 설명된 것처럼, 시일 부재(1884)는 스프링 부재(1882)와 전도성 부재(1880)와 전해질 용액이 접촉되는 것을 방지한다.After the wafer chuck 1604 is closed, the wafer chuck 1604 is lowered into the electrolyte solution receptacle 1608 (FIG. 16) (FIG. 54, block 5412). As described above, the wafer 1602 is immersed into the electrolyte solution. Further, as described above, the seal member 1884 prevents the spring member 1882 and the conductive member 1880 from contacting the electrolyte solution.

웨이퍼(1602)가 전해질 용액내에 침지될 때, 전하가 웨이퍼(1602)(도 54, 블록(5414))에 인가된다. 특히, 본 실시예에서, 전하는 전송 라인(504), 도체(502), 전도성 부재(1880), 및 스프링 부재(1882)를 통해 웨이퍼(1602)에 인가된다. 상기 설명된 것처럼, 스프링 부재(1882)는 웨이퍼(1602)에 인가되는 전하를 보다 고르게 분포시키기 위해 웨이퍼(1602) 외부 주변부 부근에 다수의 접촉점을 형성한다. 또한, 상기 설명된 것처럼, 스프링 부재(1882)는 스프링 부재(1882)에 인가되는 전하를 보다 고르게 분포시키기 위해 전도성 부재(1880)와 다수의 접촉점을 형성한다. 전하는 웨이퍼 척(1602)이 전해질 용액 리셉테클(1608)(도 16)에 하강되기 전 또는 후에 인가될 수 있다. When the wafer 1602 is immersed in the electrolyte solution, charge is applied to the wafer 1602 (FIG. 54, block 5414). In particular, in this embodiment, electric charge is applied to the wafer 1602 through the transmission line 504, the conductor 502, the conductive member 1880, and the spring member 1882. As described above, the spring member 1882 forms a number of contact points near the outer periphery of the wafer 1602 to more evenly distribute the charge applied to the wafer 1602. Also, as described above, the spring member 1882 forms a number of contact points with the conductive member 1880 to more evenly distribute the charge applied to the spring member 1882. Charge may be applied before or after the wafer chuck 1602 is lowered into the electrolyte solution receptacle 1608 (FIG. 16).

앞서 설명된 것처럼, 웨이퍼 척(1604)은 웨이퍼(1602)(도 16) 상의 금속층의 보다 균일한 전기도금을 위해 회전할 수 있다. 도 16에 설명된 것처럼, 본 실시예에서, 웨이퍼 척(1604)은 z-축 부근을 회전할 수 있다. 또한, 웨이퍼 척(1604)은 x-y 평면에서 진동할 수 있다.As described above, the wafer chuck 1604 can rotate for more uniform electroplating of the metal layer on the wafer 1602 (FIG. 16). As illustrated in FIG. 16, in this embodiment, the wafer chuck 1604 can rotate around the z-axis. In addition, the wafer chuck 1604 can vibrate in the x-y plane.

다시 도 51을 참조로, 웨이퍼(1602)가 전기도금 및/또는 전기연마된 후에, 에이퍼 척(1604)는 전해질 용액 리셉테클(1608)(도 16)로부터 다시 상승될 수 있다. 본 발명의 또다른 실시예를 따라, 나머지 전해질 용액을 제거하기 위해 건조 가스(아르곤, 질소 등)가 제공된다. 특히, 도 52A를 참조로, 건조 가스는 시일 부재(1884)와 웨이퍼(1602) 사이의 결합부로부터 잔류 전해질을 제거하기 위해 노즐(5202)을 통해 인가된다. 주목할 것은 임의의 수의 노즐(5204)이 특정 용도에 따라 사용될 수 있다는 것이다. 또한, 웨이퍼 척(1604)은 건조 가스가 노즐(5204)을 통해 제공될 때 회전할 수 있다. 이처럼, 노즐(5204)은 고정되거나 움직일 수 있다.Referring again to FIG. 51, after wafer 1602 is electroplated and / or electropolished, the aper chuck 1604 can be raised again from the electrolyte solution receptacle 1608 (FIG. 16). According to another embodiment of the present invention, a dry gas (argon, nitrogen, etc.) is provided to remove the remaining electrolyte solution. In particular, with reference to FIG. 52A, dry gas is applied through the nozzle 5202 to remove residual electrolyte from the bond between the seal member 1884 and the wafer 1602. Note that any number of nozzles 5204 can be used depending on the particular application. In addition, the wafer chuck 1604 can rotate as dry gas is provided through the nozzle 5204. As such, the nozzle 5204 may be fixed or movable.

웨이퍼 척(1604)이 상승된 후에, 웨이퍼 척(1604)이 개방된다(도 54, 블록(5402). 다음 처리된 웨이퍼가 제거된다(도 54, 결정 블록(5404)에서 블록(5406)상의 NO로 분기). 건조 가스(아르곤, 질소등)가 잔류하는 전해질 용액을 제거하기 위해 사용된다. 특히, 도 52B를 참조로, 건조 가스는 노즐(5204)를 통해 인가되어 전도성 부재(1880), 스프링 부재(1882), 및 시일 부재(1884)로부터 잔류 전해질을 제거한다. 또한, 건조 가스가 노즐(5204)을 통해 인가되는 동안 웨이퍼 척(1604)은 회전할 수 있다. 이처럼, 노즐(5204)은 고정되거나 움직일 수 있다.After the wafer chuck 1604 is raised, the wafer chuck 1604 is opened (FIG. 54, block 5402.) The next processed wafer is removed (FIG. 54, NO on block 5406 at decision block 5404). Dry gas (argon, nitrogen, etc.) is used to remove the remaining electrolyte solution, in particular, with reference to Figure 52B, dry gas is applied through nozzle 5204 to form conductive member 1880, spring Removes residual electrolyte from the member 1882 and the seal member 1884. The wafer chuck 1604 can also rotate while dry gas is applied through the nozzle 5204. As such, the nozzle 5204 can be rotated. Can be fixed or movable.

새로운 웨이퍼가 제공된 후(도 54, 블록(5408)), 전체 공정은 반복된다. 그러나, 본 발명의 정신 및 범주를 벗어나지 않고 도 54에 설명된 단계는 다양한 변형을 이룰 수 있다.After a new wafer is provided (Figure 54, block 5408), the entire process is repeated. However, the steps described in FIG. 54 may make various modifications without departing from the spirit and scope of the present invention.

이하 상세한 설명 및 관련 도면에, 본 발명의 다양한 면에 따른 다양한 선택적 실시예를 설명하고 도시한다. 그러나, 이러한 선택적 실시예는 본 발명을 구성할 수 있는 다양한 변형을 모두 설명하는 것은 아니다. 오히려, 이러한 선택적 실시예는 본 발명의 정신 및/또는 범주를 이탈하지 않는 다양한 변형의 일부만을 설명한 것이다.In the following description and the accompanying drawings, various optional embodiments in accordance with various aspects of the invention are described and illustrated. However, these optional embodiments do not describe all of the various variations that can make up the invention. Rather, these optional embodiments illustrate only some of the various modifications that do not depart from the spirit and / or scope of the invention.

도 55를 참조로, 본 발명의 선택적 실시예에서, 본 발명의 다양한 면을 따른 웨이퍼 척(5500)은 정화 라인(5506), 노즐(5508) 및 노즐(5510)을 포함한다. 본 실시예에서, 정화 라인(5506) 및 노즐(5508, 5510)은 스프링 부재(5514) 및 시일 부재(5504) 상에 건조 가스(아르곤, 질소 등)을 주입한다. 이러한 방식으로, 웨이퍼(1602)가 처리된 후, 잔류 전해질이 스프링 부재(5514) 및 시일 부재(5504)로부터 정화될 수 있다. 상기 설명된 것처럼, 전해질 용액이 없는 스프링 부재(5514)의 유지는 보다 균일한 전기도금 공정을 용이하게 한다. 또한, 시일 부재(5504)로부터 정화되는 전해질 용액은 다음 웨이퍼가 처리되는 경우 밀폐를 보다 용이하게 한다. 도 55에 도시된 것처럼, 본 실시예에서, 정화 라인(5506) 및 노즐(5508, 5510)은 전도성 부재(5502)에 형성된다. 또한, 정화 라인(5506)은 압력 라인(1852)(도 18A)에 연결될 수 있다. 그러나, 웨이퍼 척(5500)은 본 발명의 정신 및/또는 범주를 이탈하지 않는 방식의 변형시에 정화 라인(5506) 및 노즐(5508, 5510)으로 적절하게 구성될 수 있다. 또한, 임의의 수의 정화 라인(5506), 노즐(5508, 5510)이 웨이퍼 척(5500)에 형성될 수 있다.Referring to FIG. 55, in an optional embodiment of the present invention, wafer chuck 5500 along various aspects of the present invention includes purge lines 5506, nozzles 5558, and nozzles 5510. In this embodiment, the purifying line 5506 and the nozzles 5518 and 5510 inject dry gas (argon, nitrogen, etc.) on the spring member 5514 and the seal member 5504. In this manner, after the wafer 1602 is processed, the residual electrolyte can be purified from the spring member 5514 and the seal member 5504. As described above, the maintenance of the spring member 5514 without the electrolyte solution facilitates a more uniform electroplating process. In addition, the electrolyte solution purified from the seal member 5504 makes it easier to seal when the next wafer is processed. As shown in FIG. 55, in this embodiment, the purifying lines 5506 and nozzles 5518 and 5510 are formed in the conductive member 5502. As shown in FIG. Purification line 5506 can also be connected to pressure line 1852 (FIG. 18A). However, wafer chuck 5500 may be suitably configured with purge lines 5506 and nozzles 5518 and 5510 in a modification in a manner that does not depart from the spirit and / or scope of the present invention. In addition, any number of purge lines 5506, nozzles 5558, 5510 may be formed in the wafer chuck 5500.

도 56을 참조로, 본 발명의 또다른 실시예에서, 본 발명의 다양한 면을 따르는 웨이퍼 척(5600)은 정화 라인(5602) 및 다수의 노즐(5604)를 포함한다. 본 실시예에서, 정화 라인(5602) 및 다수의 노즐(5604)은 시일 부재(5606) 상에 건조 가스(아르곤, 질소 등)를 주입한다. 이런 방식으로, 웨이퍼(1602)가 웨이퍼 척(5600)으로부터 처리되고 제거된 후, 잔류 전해질이 시일 부재(5606) 상부로부터 정화될 수 있다. 도 56에 도시된 것처럼, 본 실시예에서, 정화 라인(5602) 및 다수의 노즐(5604)은 상부 섹션(5608)에 형성된다. 그러나, 웨이퍼 척(5600)은 본 발명의 정신 및/또는 범주를 이탈하지 않고 정화 라인(5602) 및 다수의 노즐(5604)를 사용하는 변형 방식으로 적절히 구성될 수 있다. 또한, 임의의 수의 정화 라인(5602) 및 노즐(5604)은 웨이퍼 척(5600)에 형성될 수 있다.Referring to FIG. 56, in another embodiment of the present invention, wafer chuck 5600 along various aspects of the present invention includes a purging line 5602 and a plurality of nozzles 5604. In this embodiment, the purge line 5602 and the plurality of nozzles 5604 inject dry gas (argon, nitrogen, etc.) on the seal member 5606. In this manner, after the wafer 1602 has been processed and removed from the wafer chuck 5600, residual electrolyte can be purged from the top of the seal member 5606. As shown in FIG. 56, in this embodiment, a purge line 5602 and a plurality of nozzles 5604 are formed in the upper section 5608. However, wafer chuck 5600 may be suitably constructed in a modified manner using purge line 5602 and multiple nozzles 5604 without departing from the spirit and / or scope of the present invention. In addition, any number of purge lines 5602 and nozzles 5604 may be formed in the wafer chuck 5600.

도 57을 참조로, 본 발명의 또다른 실시예에서, 본 발명의 다양한 면을 따른 웨이퍼 척(5700)은 정화 라인(5702) 및 다수의 노즐(5704, 5710)을 포함한다. 본 실시예에서, 정화 라인(5702) 및 다수의 노즐(5704, 5710)은 각각 시일 부재(5706)와 스프링 부재(5712) 상에 건조 가스(아르곤, 질소 등)를 주입한다. 이런 방식으로, 웨이퍼(1602)가 처리되고 웨이퍼 척(5700)으로부터 제거된 후, 잔류 전해질은 시일 부재(5706)와 스프링 부재(5712)의 상부로부터 정화될 수 있다. 도 57에 도시된 것처럼, 본 실시예에서, 정화 라인(5702) 및 다수의 노즐(5704, 5710)은 상부 섹션(5708)에 형성된다. 그러나, 웨이퍼 척(5700)은 본 발명의 정신 및/또는 범주를 이탈하지 않고 정화 라인(5702) 및 다수의 노즐(5704, 5710)을 사용하는 다양한 방식으로 적절히 구성될 수 있다. 또한, 주목할 것은 임의의 수의 정화 라인(5702) 및 노즐(5704, 5710)이 웨이퍼 척(5700)에 형성될 수 있다는 것이다.Referring to FIG. 57, in another embodiment of the present invention, wafer chuck 5700 along various aspects of the present invention includes a purging line 5702 and a plurality of nozzles 5704 and 5710. In this embodiment, the purging line 5702 and the plurality of nozzles 5704 and 5710 inject dry gas (argon, nitrogen, etc.) onto the seal member 5706 and the spring member 5712, respectively. In this way, after the wafer 1602 is processed and removed from the wafer chuck 5700, the residual electrolyte can be purified from the top of the seal member 5706 and the spring member 5712. As shown in FIG. 57, in this embodiment, the purging line 5702 and the plurality of nozzles 5704 and 5710 are formed in the upper section 5808. However, wafer chuck 5700 may be suitably configured in a variety of ways using purge line 5702 and multiple nozzles 5704 and 5710 without departing from the spirit and / or scope of the present invention. It should also be noted that any number of purge lines 5702 and nozzles 5704, 5710 may be formed in the wafer chuck 5700.

도 58을 참조로, 본 발명의 또다른 실시예에서, 본 발명의 다양한 면을 따르는 웨이퍼 척(5800)은 정화 라인(5802) 및 다수의 시일 링(5804, 5806)을 포함한다. 본 실시예에서, 시일 링(5806)은 전도성 부재(5808)과 하부 섹션(5810) 사이에 시일을 형성한다. 유사하게 시일 링(5804)은 전도성 부재(5808)와 상부 섹션(5812) 사이에 시일을 형성한다. 결과적으로, 정화 라인(5802) 속으로 정압 가스를 공급하고 누설을 검사함으로써, 웨이퍼(1602)와 시일 부재(5814) 사이의 시일 특성이 검사될 수 있다. 선택적으로, 정화 라인(5802)이 웨이퍼(1602)와 시일 부재(5814) 사이의 시일 특성을 검사하기 위해 음압을 발생시키도록 펌프된다. 이러한 후 공정이 이용되는 경우, 전해질이 정화 라인(5802) 속에 흡수되는 것을 방지하기 위해, 정화 라인(5802)의 펌핑은 웨이퍼(1602)가 처리된 후 중지되고, 정압(positive presseure)이 웨이퍼(1602)가 제거되기 이전에 정화 라인(5802)를 통해 주입된다. 웨이퍼(1602)가 처리되고 웨이퍼 척(1200)으로부터 제거된 후, 정화 라인(5802)을 통해 건조 가스(아르곤, 질소 등)를 주입함으로써, 잔류 전해질은 스프링 부재(5816)와 시일 부재(5814)로부터 정화될 수 있다. Referring to FIG. 58, in another embodiment of the present invention, wafer chuck 5800 along various aspects of the present invention includes purging lines 5802 and a number of seal rings 5804, 5806. In the present embodiment, the seal ring 5806 forms a seal between the conductive member 5808 and the lower section 5810. Similarly, seal ring 5804 forms a seal between conductive member 5808 and upper section 5812. As a result, the seal characteristics between the wafer 1602 and the seal member 5814 can be inspected by supplying a constant pressure gas into the purge line 5802 and inspecting for leakage. Optionally, purge line 5802 is pumped to generate a negative pressure to inspect the seal properties between wafer 1602 and seal member 5814. When such a post process is used, in order to prevent the electrolyte from being absorbed into the purge line 5802, the pumping of the purge line 5802 is stopped after the wafer 1602 is processed, and the positive presseure stops at the wafer ( Injected through purge line 5802 before 1602 is removed. After the wafer 1602 is processed and removed from the wafer chuck 1200, the residual electrolyte is injected into the spring member 5816 and the seal member 5814 by injecting dry gas (argon, nitrogen, etc.) through the purge line 5802. Can be purified from.

도 59를 참조로, 본 발명의 또다른 실시예에서, 본 발명의 다양한 면을 따른 웨이퍼 척(5900)은 사다리꼴(trapezoidal) 형상을 갖는 시일 부재(5902)를 포함한다. 웨이퍼 척(5900)이 웨이퍼(1602)의 처리후 회전하는 경우, 사다리꼴 형상의 시일 부재(5902)는 시일 부재(5902)로부터 잔류 전해질의 제거를 용이하게 한다. 본 실시예에서, 시일 부재(5902)의 각도(5904)는 약 0도 내지 약 60도, 바람직하게 약 20도 사이의 범위에 있을 수 있다.Referring to FIG. 59, in another embodiment of the present invention, wafer chuck 5900 along various aspects of the present invention includes a seal member 5502 having a trapezoidal shape. When the wafer chuck 5900 rotates after the wafer 1602 is processed, the trapezoidal seal member 5502 facilitates removal of residual electrolyte from the seal member 5402. In the present embodiment, the angle 5904 of the seal member 5902 can be in a range between about 0 degrees and about 60 degrees, preferably between about 20 degrees.

도 60을 참조로, 본 발명의 또다른 실시예에서, 본 발명의 다양한 면을 따르는 웨이퍼 척(6000)은 정화 라인(6002)을 포함한다. 본 실시예에서, 정화 라인(6002)은 하부 섹션(6006) 및 시일 부재(6004)를 통해 형성된다. 정화 라인(6002)을 통한 정압 가스의 공급에 의해, 웨이퍼(1602)와 시일 부재(6004) 사이의 시일 특성이 검사될 수 있다. 선택적으로, 정화 라인(6004)은 웨이퍼(1602)와 시일 부재(6004) 사이에 시일 특성을 검사하기 위해 부압을 발생시키도록 펌프될 수 있다. 상기 설명된 것처럼, 이러한 후 공정이 사용되는 경우, 정화 라인(6002) 속에 전해질이 흡수되는 것을 방지하기 위해, 정화 라인(6002)의 펌핑은 웨이퍼(1602)의 처리 후에 중지되며 웨이퍼(1602)를 제거하기 이전에 정화 라인(6002)을 통해 정압이 주입된다.Referring to FIG. 60, in another embodiment of the present invention, wafer chuck 6000 in accordance with various aspects of the present invention includes a purge line 6002. In this embodiment, purge line 6002 is formed through lower section 6006 and seal member 6004. By the supply of the positive pressure gas through the purification line 6002, the seal characteristics between the wafer 1602 and the seal member 6004 can be inspected. Optionally, purge line 6004 may be pumped to generate underpressure to inspect seal properties between wafer 1602 and seal member 6004. As described above, when such a post process is used, the pumping of the purge line 6002 is stopped after processing of the wafer 1602 and the wafer 1602 is stopped to prevent the electrolyte from being absorbed into the purge line 6002. Positive pressure is injected through purge line 6002 prior to removal.

도 61을 참조로, 본 발명의 또다른 실시예에서, 본 발명의 다양한 면을 따르는 웨이퍼 척(6100)은 정화 라인(6102), 정화 라인(6108), 및 다수의 시일 링(6116, 6104)을 포함한다. 본 실시예에서, 시일 링(6116)은 전도성 부재(6118)와 상부 섹션(6110) 사이에 시일을 형성한다. 유사하게 시일 링(6104)은 전도성 부재(6118)와 하부 섹션(6106) 사이에 시일을 형성한다. 결과적으로, 웨이퍼(1602)와 시일 부재(6112) 사이의 시일 특성은 정화 라인(6102) 및/또는 정화 라인(6108)을 사용하여 검사될 수 있다. Referring to FIG. 61, in another embodiment of the present invention, wafer chuck 6100 according to various aspects of the present invention includes purge line 6102, purge line 6108, and multiple seal rings 6216, 6104. It includes. In the present embodiment, the seal ring 6216 forms a seal between the conductive member 6118 and the upper section 6110. Similarly, seal ring 6104 forms a seal between conductive member 6118 and lower section 6106. As a result, the seal characteristic between wafer 1602 and seal member 6112 can be inspected using purge line 6102 and / or purge line 6108.

특히, 일례 구성에서, 시일 특성은 정화 라인(6102) 및 정화 라인(6108) 속으로 압력 가스를 공급하고 누설을 검사함으로써 검사될 수 있다. 또다른 구성에서, 정화 라인(6102) 및 정화 라인(6108)은 웨이퍼(1602)와 시일 부재(6112) 사이의 시일 특성을 검사하기 위해 음압을 발생시키도록 펌프될 수 있다. 또다른 구성에서, 정화 라인(6102) 또는 정화 라인(6108)중 하나는 압력이 공급되면서 다른 하나는 음압을 발생시키도록 펌프된다. 누설을 검사하는데 음압이 사용되는 경우, 전해질이 정화 라인(6102) 및/또는 정화 라인(6108) 속으로 흡수되는 것을 방지하기 위해, 웨이퍼(1602)를 처리한 후 펌핑이 중단되며, 정압이 웨이퍼(1602)를 제거하기 이전에 정화 라인(6102) 및/또는 정화 라인(6108)을 통해 주입된다. 웨이퍼(1602)가 처리되고 웨이퍼 척(6100)으로부터 제거된 후, 정화 라인(6102) 및/또는 정화 라인(6108)을 통해 건조 가스(아르곤, 질소 등)를 주입함으로써, 잔류 전해질은 시일 부재(6112) 및 스프링 부재(6114)로부터 정화될 수 있다.In particular, in one example configuration, the seal characteristics can be inspected by supplying pressure gas into purge line 6102 and purge line 6108 and checking for leaks. In another configuration, purge line 6102 and purge line 6108 may be pumped to generate negative pressure to inspect the seal properties between wafer 1602 and seal member 6112. In another configuration, either purge line 6102 or purge line 6108 is pumped to generate pressure while the other is supplied with pressure. If negative pressure is used to check for leaks, pumping is stopped after processing the wafer 1602 to prevent electrolyte from being absorbed into the purge line 6102 and / or purge line 6108, and the positive pressure is applied to the wafer. It is injected via purge line 6102 and / or purge line 6108 prior to removing 1602. After the wafers 1602 have been processed and removed from the wafer chuck 6100, the dry electrolyte (argon, nitrogen, etc.) is injected through the purge line 6102 and / or purge line 6108 so that the residual electrolyte is sealed with a seal member ( 6112 and spring member 6114.

도 62를 참조로, 본 발명의 또다른 실시예에서, 본 발명의 다양한 면을 따르는 웨이퍼 척(6200)은 스프링 부재(6208), 전도성 부재(6210) 및 시일 부재(6206)를 포함한다. 본 실시예에서, 스프링 부재(6208) 및 전도성 부재(6210)는 시일 부재(6206) 내에 배치된다. 이러한 구성은 스프링 부재(6208), 전도성 부재(6210), 및 시일 부재(6206)가 예비-조립될 수 있다는 장점을 갖는다.Referring to FIG. 62, in another embodiment of the present invention, the wafer chuck 6200 along various aspects of the present invention includes a spring member 6280, a conductive member 6210, and a seal member 6206. In this embodiment, the spring member 6206 and the conductive member 6210 are disposed in the seal member 6206. This configuration has the advantage that the spring member 6280, conductive member 6210, and seal member 6206 can be pre-assembled.

웨이퍼 척(6200)은 시일 부재(6214) 및 전도성 부재(6210)를 통해 형성된 정화 라인(6214) 및 다수의 노즐(6212)을 더 포함한다. 정화 라인(6214)을 통해 정압 가스를 공급함으로써, 웨이퍼(1602)와 시일 부재(6206) 사이의 시일 특성이 검사될 수 있다. 선택적으로, 정화 라인(6214)은 웨이퍼(1602)와 시일 부재(6206) 사이의 시일 특성을 검사하기 위해 음압을 발생시키도록 펌프될 수 있다. 상기 설명된 것처럼, 이러한 후 공정이 사용되는 경우, 전해질이 정화 라인(6214)속에 흡수되는 것을 방지하기 위해, 정화 라인(6214)의 펌핑은 웨이퍼(1602)의 처리 후에 중지되며, 웨이퍼(1602)를 제거하기 이전에 정화 라인(6214)을 통해 정압이 주입된다.The wafer chuck 6200 further includes a purge line 6214 and a plurality of nozzles 6212 formed through the seal member 6214 and the conductive member 6210. By supplying a constant pressure gas through the purge line 6214, the seal characteristics between the wafer 1602 and the seal member 6206 can be inspected. Optionally, purge line 6214 may be pumped to generate a negative pressure to inspect the seal properties between wafer 1602 and seal member 6206. As described above, when such a post process is used, the pumping of purge line 6214 is stopped after processing of wafer 1602 and wafer 1602 to prevent electrolyte from being absorbed into purge line 6214. Positive pressure is injected through purge line 6214 before removing it.

도 63을 참조로, 본 발명의 또다른 실시예에서, 웨이퍼 척(6300)은 정롸 라인(6302)과 다수의 노즐(6304)을 포함한다. 본 실시예에서, 정화 라인(6302) 및 다수의 노즐(6304)은 시일 부재(6310), 전도성 부재(6308), 및 스프링 부재(6306)상에 건조 가스(아르곤, 질소 등)를 주입한다. 이런 방식으로, 웨이퍼(1602)가 처리되고 웨이퍼 척(6300)으로부터 제거된 후, 잔류 전해질은 시일 부재(6310), 전도성 부재(6308), 및 스프링 부재(6306)의 상부로부터 정화될 수 있다. 도 63에 도시된 것처럼, 본 실시예에서, 정화 라인(6302) 및 다수의 노즐(6304)은 상부 섹션(6412)에 형성된다. 그러나, 웨이퍼 척(6300)은 정화 라인(6302) 및 다수의 노즐(6304)을 본 발명의 정신 및/또는 범주를 벗어나지 않고 다양한 방식으로 적절히 구성될 수 있다. 또한, 임의의 수의 정화 라인(6302) 및 노즐(6304)이 웨이퍼 척(6300)에 형성될 수 있다.Referring to FIG. 63, in another embodiment of the present invention, wafer chuck 6300 includes alignment lines 6302 and a plurality of nozzles 6204. In this embodiment, the purge line 6302 and the plurality of nozzles 6204 inject dry gas (argon, nitrogen, etc.) onto the seal member 6310, the conductive member 6308, and the spring member 6306. In this way, after the wafer 1602 is processed and removed from the wafer chuck 6300, the residual electrolyte can be purified from the top of the seal member 6310, the conductive member 6308, and the spring member 6306. As shown in FIG. 63, in this embodiment, the purifying line 6302 and the plurality of nozzles 6204 are formed in the upper section 6412. However, wafer chuck 6300 can be suitably configured with purifying lines 6302 and multiple nozzles 6204 in a variety of ways without departing from the spirit and / or scope of the present invention. In addition, any number of purge lines 6302 and nozzles 6204 may be formed in the wafer chuck 6300.

도 64를 참조로, 본 발명의 또다른 실시예에서, 웨이퍼 척(6400)은 시일 부재(6402)를 포함한다. 본 실시예에서, 시일 부재(6402)는 스프링 부재(6404)를 수용하기 위해 삼각형의 내부 그루브로 형성된다. 이러한 구성은 스프링 부재(6404)를 보다 안전하게 수용하는 장점이 있다. 그러나, 시일 부재(6402)는 특정 용도에 따라 다양한 형상으로 형성가능하다. Referring to FIG. 64, in another embodiment of the present invention, wafer chuck 6400 includes seal member 6402. In the present embodiment, the seal member 6402 is formed with a triangular inner groove to accommodate the spring member 6404. This configuration has the advantage of more securely accommodating the spring member 6404. However, the seal member 6402 can be formed in various shapes depending on the specific use.

도 65를 참조로, 본 발명의 또다른 실시예에서, 본 발명의 다양한 면을 따른 웨이퍼 척(6500)은 정화 라인(6502), 정화 라인(6508), 및 시일 링(6506)을 포함한다. 본 실시예에서, 시일 링(6506)은 하부 섹션(6504)과 상부 섹션(6510) 사이에 시일을 형성한다. 결과적으로, 웨이퍼(1602)와 시일 부재(6512) 사이의 시일 특성은 정화 라인(6502) 및/또는 정화 라인(6508)을 사용하여 검사될 수 있다.Referring to FIG. 65, in another embodiment of the present invention, wafer chuck 6500 along various aspects of the present invention includes purging line 6502, purging line 6508, and seal ring 6506. In this embodiment, the seal ring 6506 forms a seal between the lower section 6504 and the upper section 6510. As a result, the seal characteristics between wafer 1602 and seal member 6512 can be inspected using purge line 6502 and / or purge line 6508.

특히, 일례의 구성에서, 시일 특성은 정화 라인(6502) 및 정화 라인(6508) 속으로 압력 가스를 제공하고 누설을 검사함으로써 검사될 수 있다. 또다른 구성에서, 정화 라인(6502) 및 정화 라인(6508)은 웨이퍼(1602)와 시일 부재(6512) 사이의 시일 특성을 검사하기 위해 음압을 발생시키도록 펌프될 수 있다. 또다른 구성에서, 정화 라인(6502) 또는 정화 라인(6508)은 압력이 공급될 수 있는 반면 다른 것은 음압을 발생시키기 위해 펌프된다. 음압이 누설을 검사하는데 사용되는 경우, 전해질이 정화 라인(6502) 및/또는 정화 라인(6508) 속에 흡수되는 것을 방지하기 위해, 웨이퍼(1602) 처리후 펌핑은 중단되고, 웨이퍼(1602)를 제거하기 이전에 정화 라인(6502) 및/또는 정화 라인(6508)을 통해 음압을 주입한다. 웨이퍼(1602)가 처리되고 웨이퍼 척(6500)으로부터 제거된 후, 정화 라인(6502) 및/또는 정화 라인(6508)을 통해 건조 가스(아르곤, 질소 등)를 주입함으로써, 잔류 전해질을 시일 부재(6512) 및 스프링 부재(6514)로부터 정화시킬 수 있다.In particular, in an exemplary configuration, the seal properties can be inspected by providing pressure gas into purge line 6502 and purge line 6508 and inspecting for leaks. In another configuration, purge line 6502 and purge line 6508 may be pumped to generate negative pressure to inspect the seal properties between wafer 1602 and seal member 6512. In another configuration, purge line 6502 or purge line 6508 may be supplied with pressure while others are pumped to generate negative pressure. When negative pressure is used to check for leaks, pumping is stopped after wafer 1602 processing and removal of wafer 1602 is done to prevent electrolyte from being absorbed into purge line 6502 and / or purge line 6506. A negative pressure is injected through purge line 6502 and / or purge line 6508 before the following. After the wafers 1602 have been processed and removed from the wafer chuck 6500, the residual electrolyte is injected into the sealing member (argon, nitrogen, etc.) through the purge line 6502 and / or purge line 6506. 6512 and spring member 6614 can be purified.

도 66을 참조로, 본 발명의 또다른 실시예에서, 본 발명의 다양한 면을 따른 웨이퍼 척(6600)은 사다리꼴 형상을 갖는 시일 부재(6602)를 포함한다. 웨이퍼 척(6600)이 웨이퍼(1602)를 처리한 후 회전하는 경우, 사다리꼴 형상의 시일 부재(6602)는 시일 부재(6602)로부터 잔류 전해질의 제거를 용이하게 한다. 본 실시예에서, 시일 부재(6602)의 각도(6604)는 약 0 도 내지 약 60도, 바람직하게 약 20도 사이의 범위에 있을 수 있다.Referring to FIG. 66, in another embodiment of the present invention, wafer chuck 6600 along various aspects of the present invention includes a seal member 6602 having a trapezoidal shape. When wafer chuck 6600 rotates after processing wafer 1602, trapezoidal seal member 6602 facilitates removal of residual electrolyte from seal member 6602. In this embodiment, the angle 6604 of seal member 6602 can be in the range of about 0 degrees to about 60 degrees, preferably about 20 degrees.

앞서 설명된 것처럼, 본 발명은 첨부된 도면에 설명된 다수의 선택적 실시예와 조합하여 설명되었지만, 본 발명의 정신 및 범주를 벗어나지 않고 다양한 변형이 이루어질 수 있다. 따라서, 본 발명은 도면 및 상세한 설명에 도시된 설명에 제한됨에 따라 구성되는 것은 아니다.As described above, the invention has been described in combination with a number of optional embodiments described in the accompanying drawings, but various modifications may be made without departing from the spirit and scope of the invention. Accordingly, the invention is not to be construed as limited to the description shown in the drawings and detailed description.

본 발명의 주제는 명세서의 결론부에서 특히 드러나며 청구된다. 그러나 본 발명의 동작 구성 및 방법은 청구항 및 첨부 도면과 조합하여 이하 설명에서 참조로할 수 있다.The subject matter of the present invention is particularly apparent at the conclusion of the specification and claims. However, the operational configuration and method of the present invention may be referred to in the following description in combination with the claims and the accompanying drawings.

도 1은 웨이퍼 처리 장치의 예시적 실시예 상부도;1 is a top view of an exemplary embodiment of a wafer processing apparatus;

도 2는 도 1의 라인 2-2를 취한 웨이퍼 처리 장치의 단면도;2 is a cross-sectional view of the wafer processing apparatus along line 2-2 of FIG. 1;

도 3은 도 1의 라인 3-3을 취한 웨이퍼 처리 장치의 또다른 단면도;3 is another cross-sectional view of the wafer processing apparatus along line 3-3 of FIG.

도 4는 도 1에 도시된 웨이퍼 처리 장치를 사용하는 웨이퍼를 처리하는 흐름도;4 is a flowchart of processing a wafer using the wafer processing apparatus shown in FIG. 1;

도 5는 도 1에 도시된 웨이퍼 처리 장치의 또다른 구성의 상부도;5 is a top view of another configuration of the wafer processing apparatus shown in FIG. 1;

도 6은 도 5의 라인 6-6을 취한 웨이퍼 처리 장치의 단면도;6 is a cross-sectional view of the wafer processing apparatus along line 6-6 of FIG. 5;

도 7은 도 5의 라인 7-7을 취한 웨이퍼 처리 장치의 또다른 단면도;FIG. 7 is another cross-sectional view of the wafer processing apparatus along line 7-7 of FIG. 5;

도 8은 도 1에 도시된 웨이퍼 처리 장치의 또다른 구성의 상부도;8 is a top view of another configuration of the wafer processing apparatus shown in FIG. 1;

도 9는 도 1에 도시된 웨이퍼 처리 장치의 또다른 구성의 상부도;9 is a top view of another configuration of the wafer processing apparatus shown in FIG. 1;

도 10은 도 1에 도시된 웨이퍼 처리 장치의 또다른 구성의 상부도;10 is a top view of another configuration of the wafer processing apparatus shown in FIG. 1;

도 11은 도 10에 도시된 라인 11-11을 취한 웨이퍼 처리 장치의 또다른 단면도;11 is another cross-sectional view of the wafer processing apparatus along line 11-11 shown in FIG. 10;

도 12는 도 10에 도시된 라인 12-12를 취한 웨이퍼 처리 장치의 또다른 단면도;12 is another cross-sectional view of the wafer processing apparatus along line 12-12 shown in FIG. 10;

도 13은 도 1에 도시된 웨이퍼 처리 장치의 또다른 구성도;FIG. 13 is yet another configuration diagram of the wafer processing apparatus shown in FIG. 1; FIG.

도 14는 도 13에 도시된 라인 14-14를 취한 웨이퍼 처리 장치의 단면도;14 is a cross-sectional view of the wafer processing apparatus along lines 14-14 shown in FIG. 13;

도 15는 도 13에 도시된 라인 15-15를 취한 웨이퍼 처리 장치의 또다른 단면도;15 is another cross-sectional view of the wafer processing apparatus along line 15-15 shown in FIG. 13;

도 16은 전기도금 및/또는 전기연마 셀의 예시적 실시예의 단면도;16 is a cross-sectional view of an exemplary embodiment of an electroplating and / or electropolishing cell.

도 17은 도 16에 도시된 전기도금 및/또는 전기연마 셀 일부의 상부도;17 is a top view of a portion of the electroplating and / or electropolishing cells shown in FIG. 16;

도 18A 내지 도 18C는 웨이퍼 척 어셈블리의 예시적 실시예의 단면도;18A-18C are cross-sectional views of an exemplary embodiment of a wafer chuck assembly.

도 19는 도 18A 내지 도 18C에 도시된 웨이퍼 척 어셈블리의 또다른 구성의 단면도;19 is a cross-sectional view of another configuration of the wafer chuck assembly shown in FIGS. 18A-18C;

도 20은 도 18A 내지 도 18C에 도시된 웨이퍼 척 어셈블리의 또다른 구성의 단면도;20 is a cross-sectional view of another configuration of the wafer chuck assembly shown in FIGS. 18A-18C;

도 21은 도 18A 내지 도 18C에 도시된 웨이퍼 척 어셈블리의 또다른 구성의 단면도;21 is a cross-sectional view of another configuration of the wafer chuck assembly shown in FIGS. 18A-18C;

도 22A 및 도 22B는 도 18A 내지 도 18C에 도시된 웨이퍼 척 어셈블리의 또다른 구성의 단면도;22A and 22B are cross-sectional views of another configuration of the wafer chuck assembly shown in FIGS. 18A-18C;

도 23은 웨이퍼 척의 예시적 실시예의 단면도;23 is a cross sectional view of an exemplary embodiment of a wafer chuck;

도 24는 도 23에 도시된 웨이퍼 척의 또다른 구성의 단면도;24 is a sectional view of another configuration of the wafer chuck shown in FIG. 23;

도 25는 도 23에 도시된 웨이퍼 척의 또다른 구성의 단면도;25 is a sectional view of another configuration of the wafer chuck shown in FIG. 23;

도 26은 도 23에 도시된 웨이퍼 척의 또다른 구성의 단면도;FIG. 26 is a cross sectional view of another configuration of the wafer chuck shown in FIG. 23; FIG.

도 27은 도 23에 도시된 웨이퍼 척의 또다른 구성의 단면도;27 is a sectional view of another configuration of the wafer chuck shown in FIG. 23;

도 28은 도 23에 도시된 웨이퍼 척의 또다른 구성의 단면도;FIG. 28 is a sectional view of another configuration of the wafer chuck shown in FIG. 23; FIG.

도 29는 도 23에 도시된 웨이퍼 척의 또다른 구성의 단면도;FIG. 29 is a sectional view of another configuration of the wafer chuck shown in FIG. 23; FIG.

도 30은 도 29에 도시된 웨이퍼 척의 또다른 구성의 단면도;30 is a sectional view of another configuration of the wafer chuck shown in FIG. 29;

도 31A 및 31B는 도 16에 도시된 전기도금 및/또는 전기연마 스테이션의 또다른 구성의 측면도;31A and 31B are side views of another configuration of the electroplating and / or electropolishing station shown in FIG. 16;

도 32A 및 도 32B는 도 31A 및 도 31B에 도시된 전기도금 및/또는 전기연마 스테이션의 상부도;32A and 32B are top views of the electroplating and / or electropolishing stations shown in FIGS. 31A and 31B;

도 33A 및 도 33B는 도 31A 및 도 31B에 도시된 전기도금 및/또는 전기연마 스테이션의 정면도;33A and 33B are front views of the electroplating and / or electropolishing station shown in FIGS. 31A and 31B;

도 34는 도 31 내지 도 33에 도시된 전기도금 및/또는 전기연마 셀의 예시적 실시예의 상부도;34 is a top view of an exemplary embodiment of the electroplating and / or electropolishing cell shown in FIGS. 31-33;

도 35는 도 34에 도시된 전기도금 및/또는 전기연마 셀의 예시적 실시예의 측면도;35 is a side view of an exemplary embodiment of the electroplating and / or electropolishing cell shown in FIG. 34;

도 36은 도 34에 도시된 전기도금 및/또는 전기연마 셀의 상부도;36 is a top view of the electroplating and / or electropolishing cell shown in FIG. 34;

도 37은 도 36에 도시된 부분의 측면도;FIG. 37 is a side view of the portion shown in FIG. 36; FIG.

도 38은 도 34에 도시된 전기도금 및/또는 전기연마 셀의 또다른 부분의 상부도;FIG. 38 is a top view of another portion of the electroplating and / or electropolishing cell shown in FIG. 34;

도 39는 도 38에 도시된 부분의 측면도;FIG. 39 is a side view of the portion shown in FIG. 38; FIG.

도 40A 및 40B는 도 38에 도시된 부분의 라인 40을 취한 단면도;40A and 40B are cross sectional views taken along line 40 of the portion shown in FIG. 38;

도 41은 도 38에 도시된 부분의 라인 41을 취한 단면도;FIG. 41 is a cross sectional view along line 41 of the portion shown in FIG. 38; FIG.

도 42는 도 38에 도시된 부분의 라인 42를 취한 단면도;FIG. 42 is a cross sectional view along line 42 of the portion shown in FIG. 38; FIG.

도 43은 도 34에 도시된 전기도금 및/또는 전기연마 부분의 측면도;43 is a side view of the electroplating and / or electropolishing portion shown in FIG. 34;

도 44는 도 34에 도시된 전기도금 및/또는 전기연마의 또다른 부분의 개략도;44 is a schematic view of another portion of the electroplating and / or electropolishing shown in FIG. 34;

도 45는 도 34에 도시된 전기도금 및/또는 전기연마 셀의 또다른 부분의 개략도;FIG. 45 is a schematic representation of another portion of the electroplating and / or electropolishing cell shown in FIG. 34;

도 46은 도 34에 도시된 전기도금 및/또는 전기연마 셀의 또다른 부분의 바닥도;46 is a bottom view of another portion of the electroplating and / or electropolishing cell shown in FIG. 34;

도 47은 도 46에 도시된 부분의 측면도;FIG. 47 is a side view of the portion shown in FIG. 46; FIG.

도 48은 도 47에 도시된 측면도 일부의 확대도;48 is an enlarged view of a portion of the side view shown in FIG. 47;

도 49는 웨이퍼 척의 실시예의 확대 개략도;49 is an enlarged schematic view of an embodiment of a wafer chuck;

도 50은 도 49에 도시된 웨이퍼 척의 또다른 구성의 확대 개략도;50 is an enlarged schematic view of another configuration of the wafer chuck shown in FIG. 49;

도 51은 도 49에 도시된 웨이퍼 척의 단면도;51 is a cross sectional view of the wafer chuck shown in FIG. 49;

도 52A 및 도 52B는 도 49에 도시된 웨이퍼 척의 단면도;52A and 52B are cross sectional views of the wafer chuck shown in FIG. 49;

도 53A 내지 도 53G는 도 51에 도시된 웨이퍼 척 부분의 다양한 구성의 단면도;53A-53G are cross-sectional views of various configurations of the wafer chuck portion shown in FIG. 51;

도 54는 도 51에 도시된 웨이퍼 척을 사용하는 웨이퍼를 처리하는 흐름도;54 is a flowchart of processing a wafer using the wafer chuck shown in FIG. 51;

도 55는 선택 실시예의 웨이퍼 척의 단면도;55 is a cross-sectional view of a wafer chuck of an alternative embodiment;

도 56은 웨이퍼 척의 제 2 선택 실시예의 단면도;56 is a sectional view of a second optional embodiment of a wafer chuck;

도 57은 웨이퍼 척의 제 3 선택 실시예의 단면도;57 is a cross sectional view of a third alternative embodiment of a wafer chuck;

도 58은 웨이퍼 척의 제 4 선택 실시예의 단면도;58 is a cross sectional view of a fourth alternative embodiment of a wafer chuck;

도 59는 웨이퍼 척의 제 5 선택 실시예의 단면도;59 is a sectional view of a fifth alternative embodiment of the wafer chuck;

도 60은 웨이퍼 척의 제 6 선택 실시예의 단면도;60 is a sectional view of a sixth alternative embodiment of the wafer chuck;

도 61은 웨이퍼 척의 제 7 선택 실시예의 단면도;61 is a cross sectional view of a seventh alternative embodiment of a wafer chuck;

도 62는 웨이퍼 척의 제 8 선택 실시예의 단면도;62 is a cross sectional view of an eighth alternative embodiment of a wafer chuck;

도 63은 웨이퍼 척의 제 9 선택 실시예의 단면도;63 is a cross sectional view of a ninth alternative embodiment of a wafer chuck;

도 64는 웨이퍼 척의 제 10 선택 실시예의 단면도;64 is a cross sectional view of a tenth alternative embodiment of a wafer chuck;

도 65는 웨이퍼 척의 제 11 선택 실시예의 단면도;65 is a sectional view of an eleventh alternative embodiment of the wafer chuck;

도 66은 웨이퍼 척의 제 12 선택 실시예의 단면도;66 is a sectional view of a twelfth alternative embodiment of the wafer chuck;

도 67은 웨이퍼의 상부도.67 is a top view of the wafer.

Claims (107)

웨이퍼를 보유하는 웨이퍼 척 어셈블리로서,A wafer chuck assembly for holding a wafer, 하부 섹션을 구비하며, 상기 하부 섹션과 웨이퍼 사이에 배치되고 웨이퍼에 전하를 인가하도록 구성된 스프링 부재를 포함하는 웨이퍼 척; 및A wafer chuck having a bottom section, the wafer chuck disposed between the bottom section and the wafer and including a spring member configured to apply charge to the wafer; And 상기 웨이퍼 척을 이동시키도록 구성된 액츄에이터 어셈블리를 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리A wafer chuck assembly comprising an actuator assembly configured to move the wafer chuck 제 1 항에 있어서, 상기 스프링 부재는 인가된 전하가 웨이퍼의 외부 주변부 부근에 분포되도록 웨이퍼의 외부 주변부와 접촉되는 것을 특징으로 하는 웨이퍼 척 어셈블리.The wafer chuck assembly of claim 1, wherein the spring member is in contact with the outer periphery of the wafer such that applied charge is distributed near the outer periphery of the wafer. 제 1 항에 있어서, 상기 스프링 부재는 탄력성있는 전기적 전도성 물질로 형성되는 것을 특징으로 하는 웨이퍼 척 어셈블리.The wafer chuck assembly of claim 1, wherein the spring member is formed of a resilient electrically conductive material. 제 3 항에 있어서, 상기 스프링 부재는 링으로 형성된 코일 스프링인 것을 특징으로 하는 웨이퍼 척 어셈블리.The wafer chuck assembly of claim 3, wherein the spring member is a coil spring formed into a ring. 제 1 항에 있어서, 상기 스프링 부재는 링으로 형성된 다수의 스프링을 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.The wafer chuck assembly of claim 1, wherein the spring member comprises a plurality of springs formed into rings. 제 1 항에 있어서, 상기 하부 섹션 위에 배치되는 상부 섹션을 더 포함하며, 상기 상부 섹션 및 상기 하부 섹션은 웨이퍼를 수용하기 위해 개방되도록 구성되는 것을 특징으로 하는 웨이퍼 척 어셈블리.The wafer chuck assembly of claim 1, further comprising an upper section disposed over the lower section, wherein the upper section and the lower section are configured to open to receive a wafer. 제 6 항에 있어서, 상기 상부 섹션 및 상기 하부 섹션 사이에 배치된 전도성 부재를 더 포함하며, 상기 전도성 부재는 상기 스프링 부재에 전하를 인가하도록 구성되는 것을 특징으로 하는 웨이퍼 척 어셈블리.7. The wafer chuck assembly of claim 6, further comprising a conductive member disposed between the upper section and the lower section, the conductive member configured to apply charge to the spring member. 제 7 항에 있어서, 상기 전도성 부재는 립 부분을 포함하며, 상기 립 부분은 상기 스프링 부재의 하부와 접촉되는 것을 특징으로 하는 웨이퍼 척 어셈블리.8. The wafer chuck assembly of claim 7, wherein the conductive member comprises a lip portion, wherein the lip portion is in contact with the bottom of the spring member. 제 7 항에 있어서, 상기 상부 섹션 상에 배치된 탄력성있는 전극을 더 포함하며, 상기 탄력성있는 전극은 상기 전도성 부재에 전하를 인가하도록 구성된 것을 특징으로 하는 웨이퍼 척 어셈블리.8. The wafer chuck assembly of claim 7, further comprising a resilient electrode disposed on the upper section, wherein the resilient electrode is configured to apply charge to the conductive member. 제 7 항에 있어서, 상기 전도성 부재에 형성된 정화 라인 및 다수의 노즐을 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.8. The wafer chuck assembly of claim 7, further comprising a purge line formed on the conductive member and a plurality of nozzles. 제 10 항에 있어서,The method of claim 10, 상기 상부 섹션과 상기 전도성 부재 사이에 배치된 제 1 시일 링; 및A first seal ring disposed between the upper section and the conductive member; And 상기 하부 섹션과 상기 전도성 부재 사이에 배치된 제 2 시일 링을 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a second seal ring disposed between the lower section and the conductive member. 제 6 항에 있어서, 상기 상부 섹션에 형성된 정화 라인 및 다수의 노즐을 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.7. The wafer chuck assembly of claim 6, further comprising a purge line and a plurality of nozzles formed in the upper section. 제 6 항에 있어서, 상기 액츄에이터 어셈블리는 제 1 및 제 2 위치 사이의 상기 웨이퍼 척을 움직이도록 구성된 것을 특징으로 하는 웨이퍼 척 어셈블리.7. The wafer chuck assembly of claim 6, wherein the actuator assembly is configured to move the wafer chuck between first and second positions. 제 13 항에 있어서, 상기 웨이퍼 척을 개방 및 폐쇄시키기 위해 상기 상부 섹션 및 상기 하부 섹션에 연결된 스프링 어셈블리를 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.14. The wafer chuck assembly of claim 13, further comprising a spring assembly coupled to the upper section and the lower section to open and close the wafer chuck. 제 14 항에 있어서, 상기 스프링 어셈블리는 상기 웨이퍼 척이 상기 제 1 위치 및 상기 제 2 위치로 각각 움직일 때 상기 상부 섹션 및 상기 하부 섹션을 개방 및 폐쇄시키는 것을 특징으로 하는 웨이퍼 척 어셈블리.15. The wafer chuck assembly of claim 14, wherein the spring assembly opens and closes the upper section and the lower section as the wafer chuck moves to the first and second positions, respectively. 제 14 항에 있어서, 상기 스프링 어셈블리는,The method of claim 14, wherein the spring assembly, 제 1 및 제 2 단부를 갖는 로드를 포함하는데, 상기 제 1 단부는 상기 하부 섹션에 고정되며;A rod having a first and a second end, the first end being fixed to the lower section; 상기 로드의 상기 제 2 단부와 상기 상부 섹션 사이에 배치된 스프링을 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a spring disposed between the second end of the rod and the upper section. 제 16 항에 있어서, 상기 스프링은 상기 웨이퍼 척이 상기 제 2 위치로 이동될 때 상기 하부 섹션과 상기 상부 섹션이 결합되도록 연장되는 것을 특징으로 하는 웨이퍼 척 어셈블리.17. The wafer chuck assembly of claim 16, wherein the spring extends to engage the lower section and the upper section when the wafer chuck is moved to the second position. 제 16 항에 있어서, 상기 로드는 상기 하부 섹션과 상기 상부 섹션을 분리시키고 상기 스프링은 상기 웨이퍼 척이 상기 제 1 위치로 움직일 때 상기 로드의 상기 제 2 단부와 상기 상부 섹션 사이에서 압축되는 것을 특징으로 하는 웨이퍼 척 어셈블리.17. The method of claim 16, wherein the rod separates the lower section from the upper section and the spring is compressed between the second end of the rod and the upper section when the wafer chuck moves to the first position. Wafer chuck assembly. 제 16 항에 있어서,The method of claim 16, 상기 상부 섹션에 고정되는 제 1 단부 및 제 2 단부를 갖는 샤프트;A shaft having a first end and a second end fixed to the upper section; 상기 샤프트의 상기 제 2 단부에 연결되는 브래킷을 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a bracket coupled to the second end of the shaft. 제 19 항에 있어서, 상기 액츄에이터 시스템은,The method of claim 19, wherein the actuator system, 가이드 레일;Guide rails; 상기 브래킷에 연결된 리드 나사; 및Lead screws connected to the bracket; And 상기 리드 나사에 연결된 모터를 포함하며, 상기 모터는 상기 제 1 위치 및 상기 제 2 위치 사이에서 상기 웨이퍼 척을 움직이게 하기 위해 상기 가이드 레일을 따라 상기 브래킷이 움직이도록 상기 리드 나사를 회전시키는 것을 특징으로 하는 웨이퍼 척 어셈블리.A motor coupled to the lead screw, wherein the motor rotates the lead screw to move the bracket along the guide rail to move the wafer chuck between the first and second positions. Wafer chuck assembly. 제 20 항에 있어서, 상기 상부 섹션 및 상기 브래킷 사이에 배치된 리드를 더 포함하며, 상기 리드는 상기 웨이퍼 척이 상기 제 1 위치 및 상기 제 2 위치 사이에서 움직일 때 상기 리드를 기준으로 상기 샤프트가 슬라이드 되도록 샤프트 홀을 갖는 것을 특징으로 하는 웨이퍼 척 어셈블리.21. The apparatus of claim 20, further comprising a lid disposed between the upper section and the bracket, wherein the lid is positioned relative to the lid when the wafer chuck moves between the first and second positions. And a shaft hole to slide. 제 21 항에 있어서, 상기 상부 섹션과 상기 로드의 상기 제 2 단부 사이의 상기 스프링을 압축함으로써 상기 하부 섹션을 중심으로 상기 상부 섹션이 연속적으로 움직이게 하면서, 상기 웨이퍼 척이 상기 하부 섹션의 움직임을 중지시키기 위해 상기 제 1 위치로 이동될 때 상기 로드의 상기 제 2 단부는 상기 리드와 접촉되는 것을 특징으로 하는 웨이퍼 척 어셈블리.22. The wafer chuck of claim 21, wherein the wafer chuck stops movement of the lower section while compressing the spring between the upper section and the second end of the rod to continuously move the upper section about the lower section. And the second end of the rod is in contact with the lid when moved to the first position to make it. 제 1 항에 있어서, 상기 하부 섹션과 웨이퍼 사이에 배치된 시일 부재를 더 포함하며, 상기 시일 부재는 상기 하부 섹션과 웨이퍼 사이에 시일을 형성하는 것을 특징으로 하는 웨이퍼 척 어셈블리.The wafer chuck assembly of claim 1, further comprising a seal member disposed between the lower section and the wafer, wherein the seal member forms a seal between the lower section and the wafer. 제 23 항에 있어서, 상기 시일 부재는 L-형상 프로파일인 것을 특징으로 하는 웨이퍼 척 어셈블리.24. The wafer chuck assembly of claim 23, wherein the seal member is an L-shaped profile. 제 23 항에 있어서, 상기 시일 부재는 사다리꼴 프로파일인 것을 특징으로 하는 웨이퍼 척 어셈블리.24. The wafer chuck assembly of claim 23, wherein the seal member is a trapezoidal profile. 제 23 항에 있어서, 상기 하부 섹션에 형성되고 상기 시일 부재를 통하는 정화 라인을 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.24. The wafer chuck assembly of claim 23, further comprising a purge line formed in the lower section and through the seal member. 제 23 항에 있어서, 상기 시일 부재는 합성 고무로 형성되는 것을 특징으로 하는 웨이퍼 척 어셈블리.24. The wafer chuck assembly of claim 23, wherein the seal member is formed from synthetic rubber. 제 23 항에 있어서, 상기 시일 부재에 형성된 그루브내에 배치된 전도성 부재를 더 포함하며, 상기 스프링 부재는 상기 전도성 부재의 상부에 배치되는 것을 특징으로 하는 웨이퍼 척 어셈블리.24. The wafer chuck assembly of claim 23, further comprising a conductive member disposed in a groove formed in the seal member, wherein the spring member is disposed above the conductive member. 제 28 항에 있어서, 상기 하부 섹션 및 상기 시일 부재 및 상기 전도성 부재를 통하게 형성된 정화 라인을 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.29. The wafer chuck assembly of claim 28, further comprising a purge line formed through the lower section and the seal member and the conductive member. 제 28 항에 있어서, 상기 시일 부재에 형성된 상기 그루브는 상기 스프링 부재를 수용하는 사각형 프로파일을 갖는 것을 특징으로 하는 웨이퍼 척 어셈블리.29. The wafer chuck assembly of claim 28, wherein the groove formed in the seal member has a rectangular profile for receiving the spring member. 웨이퍼를 보유하는 웨이퍼 척 어셈블리로서,A wafer chuck assembly for holding a wafer, 개방 및 폐쇄되도록 구성된 웨이퍼 척; 및A wafer chuck configured to open and close; And 제 1 및 제 2 위치 사이에서 상기 웨이퍼 척을 이동시키도록 구성된 액츄에이터 어셈블리를 포함하며, 상기 웨이퍼 척은 상기 제 1 위치에 있을 때 개방되고 상기 제 2 위치에 있을 때 폐쇄되는 것을 특징으로 하는 웨이퍼 척 어셈블리.An actuator assembly configured to move the wafer chuck between first and second positions, wherein the wafer chuck is open when in the first position and closed when in the second position. assembly. 제 31 항에 있어서, 상기 웨이퍼 척은,The method of claim 31, wherein the wafer chuck, 상부 섹션; 및Upper section; And 상기 상부 섹션과 상기 하부 섹션 사이에 보유되는 경우 웨이퍼 표면을 노출시키기 위한 개구부를 갖는 하부 섹션을 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a lower section having an opening for exposing a wafer surface when retained between the upper section and the lower section. 제 32 항에 있어서, 상기 웨이퍼 척은 상기 하부 섹션과 웨이퍼 사이에 배치된 스프링 부재를 더 포함하며, 상기 스프링 부재는 웨이퍼에 전하를 인가하도록 구성되는 것을 특징으로 하는 웨이퍼 척 어셈블리.33. The wafer chuck assembly of claim 32, wherein the wafer chuck further comprises a spring member disposed between the lower section and the wafer, wherein the spring member is configured to apply charge to the wafer. 제 33 항에 있어서, 상기 스프링 부재는 인가된 전하가 웨이퍼의 외부 주변부 부근에 배치되도록 웨이퍼의 외부 주변부와 접촉되는 것을 특징으로 하는 웨이퍼 척 어셈블리.34. The wafer chuck assembly of claim 33, wherein the spring member is in contact with the outer periphery of the wafer such that applied charge is disposed near the outer periphery of the wafer. 제 33 항에 있어서, 상기 스프링 부재는 코일 스프링인 것을 특징으로 하는 웨이퍼 척 어셈블리.34. The wafer chuck assembly of claim 33, wherein the spring member is a coil spring. 제 35 항에 있어서, 상기 코일 스프링 내에 배치된 스프링 홀더를 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.36. The wafer chuck assembly of claim 35, further comprising a spring holder disposed within the coil spring. 제 33 항에 있어서, 상기 웨이퍼 척은 상기 상부 섹션 및 상기 하부 섹션 사이에 배치된 전도성 부재를 더 포함하며, 상기 전도성 부재는 상기 스프링 부재에 전하를 인가하도록 구성되는 것을 특징으로 하는 웨이퍼 척 어셈블리.34. The wafer chuck assembly of claim 33, wherein the wafer chuck further comprises a conductive member disposed between the upper section and the lower section, the conductive member configured to apply charge to the spring member. 제 33 항에 있어서, 상기 웨이퍼 척은 상기 하부 섹션 및 웨이퍼 사이에 배치된 시일 부재를 더 포함하며, 상기 시일 부재는 상기 하부 섹션 및 웨이퍼 사이에 시일을 형성하는 것을 특징으로 하는 웨이퍼 척 어셈블리.34. The wafer chuck assembly of claim 33, wherein the wafer chuck further comprises a seal member disposed between the lower section and the wafer, wherein the seal member forms a seal between the lower section and the wafer. 제 32 항에 있어서, 상기 웨이퍼 척을 개방 및 폐쇄시키기 위한 스프링 어셈블리를 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.33. The wafer chuck assembly of claim 32, further comprising a spring assembly for opening and closing the wafer chuck. 제 39 항에 있어서, 상기 스프링 어셈블리는,The method of claim 39, wherein the spring assembly, 상기 하부 섹션에 고정되는 제 1 및 제 2 단부를 갖는 로드;A rod having first and second ends secured to the lower section; 상기 로드의 상기 제 2 단부와 상기 상부 섹션 사이에 배치된 스프링을 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a spring disposed between the second end of the rod and the upper section. 제 40 항에 있어서, 상기 로드는 상기 웨이퍼 척을 개방시키기 위해 상기 하부 섹션으로부터 상기 상부 섹션을 분리시키고 상기 스프링은 상기 웨이퍼 척이 상기 제 1 위치로 이동될 때 상기 로드의 상기 제 2 단부와 상기 상부 섹션 사이에서 압축되는 것을 특징으로 하는 웨이퍼 척 어셈블리.41. The apparatus of claim 40, wherein the rod separates the upper section from the lower section to open the wafer chuck and the spring is coupled to the second end of the rod when the wafer chuck is moved to the first position. Wafer chuck assembly, characterized in that the compression between the upper section. 제 40 항에 있어서, 상기 스프링은 상기 웨이퍼 척이 상기 제 2 위치로 이동될 때 상기 하부 섹션과 상기 상부 섹션이 결합되도록 연장되는 것을 특징으로 하는 웨이퍼 척 어셈블리.41. The wafer chuck assembly of claim 40, wherein the spring extends to engage the lower section and the upper section when the wafer chuck is moved to the second position. 제 32 항에있어서, 상기 웨이퍼 척은 상기 웨이퍼 척이 폐쇄될 때 상기 상부 섹션과 상기 하부 섹션 사이에 형성된 진공 챔버를 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.33. The wafer chuck assembly of claim 32, wherein the wafer chuck further comprises a vacuum chamber formed between the upper section and the lower section when the wafer chuck is closed. 제 43 항에 있어서, 상기 상부 섹션은 상기 웨이퍼 척이 상기 제 2 위치에 있을 때 상기 진공 챔버로 진공 및/또는 감압 가스를 제공하기 위한 진공 라인으로 구성되는 것을 특징으로 하는 웨이퍼 척 어셈블리.44. The wafer chuck assembly of claim 43, wherein the upper section consists of a vacuum line for providing vacuum and / or reduced pressure gas to the vacuum chamber when the wafer chuck is in the second position. 제 32 항에 있어서, 상기 상부 섹션은 압력 가스 라인으로 구성되는 것을 특징으로 하는 웨이퍼 척 어셈블리.33. The wafer chuck assembly of claim 32, wherein the upper section consists of a pressure gas line. 제 32 항에 있어서, 상기 상부 섹션 및 상기 하부 섹션은 외부 플라스틱 쉘과 금속 내부 코어로 형성되는 것을 특징으로 하는 웨이퍼 척 어셈블리.33. The wafer chuck assembly of claim 32, wherein the upper section and the lower section are formed from an outer plastic shell and a metal inner core. 제 31 항에 있어서,The method of claim 31, wherein 상기 상부 섹션과 결합되는 제 1 및 제 2 단부를 갖는 샤프트;A shaft having first and second ends coupled with the upper section; 상기 샤프트의 상기 제 2 단부와 연결된 브래킷을 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a bracket coupled to the second end of the shaft. 제 47 항에 있어서, 상기 액츄에이터 어셈블리는,48. The apparatus of claim 47, wherein the actuator assembly is 가이드 레일;Guide rails; 상기 브래킷에 연결된 리드 나사; 및Lead screws connected to the bracket; And 상기 리드 나사에 연결된 모터를 포함하며, 상기 모터는 상기 가이드 레일을 따라 상기 브래킷을 이동시키기 위해 상기 리드 나사를 회전시키는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a motor coupled to the lead screw, the motor rotating the lead screw to move the bracket along the guide rail. 제 48 항에 있어서, 상기 액츄에이터 어셈블리는,49. The apparatus of claim 48, wherein the actuator assembly is 상기 제 1 브래킷과 상기 리드 나사 사이에 연결된 제 2 브래킷; 및A second bracket connected between the first bracket and the lead screw; And 상기 제 1 브래킷과 상기 제 2 브래킷 사이에 배치된 다수의 조인트를 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a plurality of joints disposed between the first bracket and the second bracket. 제 49 항에 있어서, 상기 다수의 조인트는 유니버셜 조인트(universal joint)인 것을 특징으로 하는 웨이퍼 척 어셈블리.50. The wafer chuck assembly of claim 49, wherein the plurality of joints are universal joints. 제 47 항에 있어서, 상기 웨이퍼 척을 회전시키도록 구성된 회전 어셈블리를 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.48. The wafer chuck assembly of claim 47, further comprising a rotation assembly configured to rotate the wafer chuck. 제 51 항에 있어서, 상기 회전 어셈블리는 분당 약 5 회전 주기 내지 분당 약 5000 회전 주기로 상기 웨이퍼 척을 회전시키는 것을 특징으로 하는 웨이퍼 척 어셈블리.52. The wafer chuck assembly of claim 51, wherein the rotating assembly rotates the wafer chuck in a cycle of about 5 revolutions per minute to about 5000 revolutions per minute. 제 51 항에 있어서, 상기 회전 어셈블리는,The rotating assembly of claim 51, 상기 샤프트에 연결된 드라이브 벨트; 및A drive belt connected to the shaft; And 상기 드라이브 벨트에 연결된 모터를 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a motor coupled to the drive belt. 제 51 항에 있어서, 상기 샤프트와 상기 브래킷 사이에 배치된 베어링을 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.53. The wafer chuck assembly of claim 51, further comprising a bearing disposed between the shaft and the bracket. 제 51 항에 있어서, 상기 브래킷에 연결된 슬립-링 어셈블리를 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.53. The wafer chuck assembly of claim 51, further comprising a slip-ring assembly coupled to the bracket. 제 55 항에 있어서, 상기 슬립-링 어셈블리는 상기 샤프트에 전하가 인가되도록 구성되는 것을 특징으로 하는 웨이퍼 척 어셈블리.56. The wafer chuck assembly of claim 55, wherein the slip-ring assembly is configured to apply charge to the shaft. 제 56 항에 있어서, 상기 슬립-링 어셈블리는 상기 샤프트가 회전하는 동안 상기 샤프트에 전하가 인가되도록 구성된 브러시 어셈블리를 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.59. The wafer chuck assembly of claim 56, wherein the slip-ring assembly comprises a brush assembly configured to apply charge to the shaft while the shaft is rotating. 제 55 항에 있어서, 상기 슬립-링 어셈블리는 상기 샤프트에 형성된 적어도 하나의 인입구 속으로 진공 및/또는 감압 가스 및/또는 여압(pressurized) 가스가 제공되도록 구성된 것을 특징으로 하는 웨이퍼 척 어셈블리.56. The wafer chuck assembly of claim 55, wherein the slip-ring assembly is configured to provide vacuum and / or reduced pressure gas and / or pressurized gas into at least one inlet formed in the shaft. 제 58 항에 있어서, 상기 슬립-링 어셈블리는,The method of claim 58, wherein the slip-ring assembly, 상기 슬립-링 어셈블리에 형성된 적어도 하나의 인입구; 및At least one inlet formed in the slip-ring assembly; And 상기 슬립-링 어셈블리와 상갸 샤프트 사이에 배치되어 상기 슬립-링에 형성된 상기 인입구와 상기 샤프트에 형성된 상기 인입구 사이에 적어도 하나의 밀폐된 캐비티를 형성하는 다수의 시일을 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a plurality of seals disposed between the slip-ring assembly and the top ring shaft to form at least one sealed cavity between the inlet formed in the slip-ring and the inlet formed in the shaft. assembly. 웨이퍼를 보유하는 웨이퍼 척 어셈블리로서,A wafer chuck assembly for holding a wafer, 상부 섹션과 하부 섹션을 갖는 웨이퍼 척; 및A wafer chuck having an upper section and a lower section; And 로딩 위치와 공정 위치 사이에서 상기 웨이퍼 척을 이동시키도록 구성된 액츄에이터 어셈블리를 포함하며, 상기 상부 섹션 및 하부 섹션은 상기 로딩 위치에 있는 경우 상기 웨이퍼 척이 개방되도록 분리되고, 상기 공정 위치에 있는 경우 상기 웨이퍼 척이 폐쇄되도록 결합되는 것을 특징으로 하는 웨이퍼 척 어셈블리.An actuator assembly configured to move the wafer chuck between a loading position and a process position, wherein the upper section and the lower section are separated to open the wafer chuck when in the loading position, and when in the process position A wafer chuck assembly, wherein the wafer chuck is coupled to close. 제 60 항에 있어서, 상기 상부 섹션 및 하부 섹션을 분리하고 결합시키도록 구성된 스프링 어셈블리를 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.61. The wafer chuck assembly of claim 60, further comprising a spring assembly configured to separate and engage the upper and lower sections. 제 61 항에 있어서, 상기 스프링 어셈블리 각각은,The method of claim 61, wherein each of the spring assembly, 상기 하부 섹션과 결합되는 제 1 및 제 2 단부를 갖는 로드;A rod having first and second ends coupled with the lower section; 상기 로드의 상기 제 2 단부와 상기 상부 섹션 사이에 배치된 스프링을 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a spring disposed between the second end of the rod and the upper section. 제 62 항에 있어서, The method of claim 62, 상기 스프링은 상기 웨이퍼 척이 상기 공정 위치로 이동될 때 상기 하부 섹션과 상기 상부 섹션이 결합되도록 연장되고, 상기 로드는 상기 웨이퍼 척이 상기 로딩 위치로 이동될 때 상기 로드의 상기 제 2 단부와 상기 상부 섹션 사이에서 상기 스프링을 압축시키기 위해 상기 하부 섹션으로부터 상기 상부 섹션을 분리시키는 것을 특징으로 하는 웨이퍼 척 어셈블리.The spring extends such that the lower section and the upper section engage when the wafer chuck is moved to the process position, and the rod extends with the second end of the rod when the wafer chuck is moved to the loading position. Wafer chuck assembly, wherein the upper section is separated from the lower section to compress the spring between the upper sections. 제 63 항에 있어서, 상기 웨이퍼 척은 상기 상부 섹션과 하부 섹션 사이에 형성된 진공 챔버를 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.64. The wafer chuck assembly of claim 63, wherein the wafer chuck further comprises a vacuum chamber formed between the upper section and the lower section. 제 64 항에 있어서, 상기 상부 섹션은 상기 웨이퍼 척이 상기 공정 위치에 있는 경우 상기 진공 챔버로 진공 및/또는 감압 가스를 제공하기 위한 진공 라인으로 구성되는 것을 특징으로 하는 웨이퍼 척 어셈블리.65. The wafer chuck assembly of claim 64, wherein the upper section consists of a vacuum line for providing vacuum and / or reduced pressure gas to the vacuum chamber when the wafer chuck is in the process position. 제 60 항에 있어서,The method of claim 60, 상기 상부 섹션에 고정되며 제 1 및 제 2 단부를 갖는 샤프트;A shaft fixed to the upper section and having a first and a second end; 상기 샤프트의 상기 제 2 단부에 연결된 브래킷을 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a bracket coupled to the second end of the shaft. 제 66 항에 있어서, 상기 액츄에이터 어셈블리는,The method of claim 66, wherein the actuator assembly is 가이드 레일;Guide rails; 상기 브래킷에 연결된 리드 나사;Lead screws connected to the bracket; 상기 리드 나사에 연결된 모터를 포함하며, 상기 모터는 상기 가이드 레일을 따라 상기 브래킷을 이동시키기 위해 상기 리드 나사를 회전시키는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a motor coupled to the lead screw, the motor rotating the lead screw to move the bracket along the guide rail. 제 67 항에 있어서, 상기 액츄에이터 어셈블리는,The method of claim 67, wherein the actuator assembly, 상기 제 1 브래킷과 상기 리드 나사 사이에 연결된 제 2 브래킷; 및A second bracket connected between the first bracket and the lead screw; And 상기 제 1 브래킷과 상기 제 2 브래킷 사이에 배치된 다수의 조인트를 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a plurality of joints disposed between the first bracket and the second bracket. 제 68 항에 있어서, 상기 다수의 조인트는 유니버셜 조인트인 것을 특징으로 하는 웨이퍼 척 어셈블리.69. The wafer chuck assembly of claim 68, wherein the plurality of joints are universal joints. 제 66 항에 있어서, 상기 웨이퍼 척을 회전시키도록 구성된 회전 어셈블리를 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.67. The wafer chuck assembly of claim 66, further comprising a rotation assembly configured to rotate the wafer chuck. 제 70 항에 있어서, 상기 회전 어셈블리는 분당 약 5 회전 주기 내지 분당 약 5000 회전 주기로 상기 웨이퍼 척을 회전시키는 것을 특징으로 하는 웨이퍼 척 어셈블리.71. The wafer chuck assembly of claim 70, wherein the rotating assembly rotates the wafer chuck at about 5 rotations per minute to about 5000 rotations per minute. 제 70 항에 있어서, 상기 회전 어셈블리는,The rotating assembly of claim 70, wherein 상기 샤프트에 연결된 드라이브 벨트; 및A drive belt connected to the shaft; And 상기 드라이브 벨트에 연결된 모터를 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a motor coupled to the drive belt. 제 70 항에 잇어서, 상기 샤프트와 상기 브래킷 사이에 배치된 베어링을 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.73. The wafer chuck assembly of claim 70, further comprising a bearing disposed between the shaft and the bracket. 제 70 항에 있어서, 슬립-링 어셈블리를 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.73. The wafer chuck assembly of claim 70, further comprising a slip-ring assembly. 제 74 항에 있어서, 상기 슬립-링 어셈블리는 상기 브래킷에 연결되는 것을 특징으로 하는 웨이퍼 척 어셈블리.75. The wafer chuck assembly of claim 74, wherein the slip-ring assembly is connected to the bracket. 제 74 항에 있어서, 상기 슬립-링 어셈블리는 상기 샤프트에 전하를 인가하도록 구성된 것을 특징으로 하는 웨이퍼 척 어셈블리.75. The wafer chuck assembly of claim 74, wherein the slip-ring assembly is configured to apply charge to the shaft. 제 76 항에 있어서, 상기 슬립-링 어셈블리는 상기 샤프트가 회전하는 동안 상기 샤프트에 전하를 인가하도록 구성된 브러시 어셈블리를 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.77. The wafer chuck assembly of claim 76, wherein the slip-ring assembly comprises a brush assembly configured to apply charge to the shaft while the shaft is rotating. 제 74 항에 있어서, 상기 슬립-링 어셈블리는 상기 샤프트에 형성된 적어도 하나의 인입구로 진공 및/또는 감압 가스 및/또는 여압 가스를 제공하도록 구성된 것을 특징으로 하는 웨이퍼 척 어셈블리.75. The wafer chuck assembly of claim 74, wherein the slip-ring assembly is configured to provide vacuum and / or reduced pressure gas and / or pressurized gas to at least one inlet formed in the shaft. 제 78 항에 있어서, 상기 슬립-링 어셈블리는,The method of claim 78, wherein the slip-ring assembly, 상기 슬립-링 어셈블리에 형성된 적어도 하나의 인입구;At least one inlet formed in the slip-ring assembly; 상기 슬립-링 어셈블리와 상기 샤프트 사이에 배치되어 상기 슬립-링에 형성된 상기 인입구와 상기 샤프트에 형성된 상기 인입구 사이에 적어도 하나의 밀폐된 캐비티를 형성하는 다수의 시일를 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a plurality of seals disposed between the slip-ring assembly and the shaft to form at least one sealed cavity between the inlet formed in the slip-ring and the inlet formed in the shaft. . 웨이퍼를 보유하는 웨이퍼 척 어셈블리로서,A wafer chuck assembly for holding a wafer, 웨이퍼 척;Wafer chuck; 상기 웨이퍼 척을 개방 및 폐쇄시키도록 구성된 스프링 어셈블리; 및A spring assembly configured to open and close the wafer chuck; And 제 1 및 제 2 위치 사이에서 상기 웨이퍼 척을 이동시키도록 구성되 액츄에이터 어셈블리를 포함하는데, 상기 스프링 어셈블리는 상기 웨이퍼 척이 상기 제 1 위치로 이동될 때 상기 웨이퍼 척을 개방시키고 상기 웨이퍼 척이 상기 제 2 위치로 이동될 때 상기 웨이퍼 척을 폐쇄시키는 것을 특징으로 하는 웨이퍼 척 어셈블리.And an actuator assembly configured to move the wafer chuck between first and second positions, wherein the spring assembly opens the wafer chuck when the wafer chuck is moved to the first position and the wafer chuck is Wafer chuck assembly, characterized in that for closing the wafer chuck when moved to a second position. 제 80 항에 있어서, 상기 웨이퍼 척은,The method of claim 80, wherein the wafer chuck, 상부 섹션; 및Upper section; And 상기 상부 섹션 및 상기 하부 섹션 사이에 보유될 때 웨이퍼 표면을 노출시키기 위한 개구부를 갖는 하부 섹션을 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a lower section having an opening for exposing a wafer surface when retained between said upper section and said lower section. 제 81 항에 있어서, 상기 스프링 어셈블리는,82. The method of claim 81, wherein the spring assembly is 상기 하부 섹션에 고정되는 제 1 및 제 2 단부를 갖는 로드;A rod having first and second ends secured to the lower section; 상기 로드의 상기 제 2 단부는 상기 상부 섹션 사이에 배치된 스프링을 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And said second end of said rod comprises a spring disposed between said upper section. 제 82 항에 있어서,83. The method of claim 82, 상기 상부 섹션에 연결되는 제 1 및 제 2 단부를 갖는 샤프트;A shaft having first and second ends connected to the upper section; 상기 샤프트의 상기 제 2 단부에 연결되는 브래킷을 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a bracket coupled to the second end of the shaft. 제 83 항에 있어서, 상기 액츄에이터 어셈블리는,84. The apparatus of claim 83, wherein the actuator assembly is 가이드 레일;Guide rails; 상기 브래킷에 연결된 리드 나사;Lead screws connected to the bracket; 상기 리드 나사에 연결된 모터를 포함하며, 상기 모터는 상기 가이드 레일을 따라 상기 브래킷을 이동시키기 위해 상기 리드 나사를 회전시키는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a motor coupled to the lead screw, the motor rotating the lead screw to move the bracket along the guide rail. 제 83 항에 있어서, 상기 상부 섹션과 상기 브래킷 사이에 배치된 리드를 더 포함하며, 상기 리드는 상기 제 1 위치 및 상기 제 2 위치 사이에서 상기 웨이퍼 척이 움직이는 경우 상기 리드를 기준으로 상기 샤프트가 슬라이드되게 하는 샤프트 홀을 갖는 것을 특징으로 하는 웨이퍼 척 어셈블리.84. The apparatus of claim 83, further comprising a lid disposed between the upper section and the bracket, wherein the lid is positioned relative to the lid when the wafer chuck is moved between the first and second positions. A wafer chuck assembly having a shaft hole for sliding. 제 85 항에 있어서, 상기 로드의 상기 제 2 단부는 상기 상부 섹션 및 상기 로드의 상기 제 2 단부 사이에서 상기 스프링을 압축함으로써 상기 하부 섹션을 기준으로 상기 상부 섹션을 연속적으로 이동시키면서 상기 하부 섹션의 움직임을 중지시키기 위해 상기 제 1 위치로 상기 웨이퍼 척이 이동될 때 상기 리드와 접촉되는 것을 특징으로 하는 웨이퍼 척 어셈블리.86. The apparatus of claim 85, wherein the second end of the rod extends the lower section while continuously moving the upper section relative to the lower section by compressing the spring between the upper section and the second end of the rod. A wafer chuck assembly in contact with the lid when the wafer chuck is moved to the first position to stop movement. 제 84 항에 있어서, 상기 액츄에이터 어셈블리는,85. The actuator assembly of claim 84, wherein the actuator assembly comprises: 상기 제 1 브래킷과 상기 리드 나사 사이에 연결된 제 2 브래킷; 및A second bracket connected between the first bracket and the lead screw; And 상기 제 1 브래킷과 상기 제 2 브래킷 사이에 배치된 다수의 조인트를 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a plurality of joints disposed between the first bracket and the second bracket. 제 87 항에 있어서, 상기 다수의 조인트는 유니버셜 조인트인 것을 특징으로 하는 웨이퍼 척 어셈블리.88. The wafer chuck assembly of claim 87, wherein said plurality of joints are universal joints. 제 83 항에 있어서, 상기 웨이퍼 척을 회전시키도록 구성된 회전 어셈블리를 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.84. The wafer chuck assembly of claim 83, further comprising a rotation assembly configured to rotate the wafer chuck. 제 89 항에 있어서, 상기 회전 어셈블리는 분당 약 5 회전 주기 내지 분당 약 5000 회전 주기로 상기 웨이퍼 척을 회전시키는 것을 특징으로 하는 웨이퍼 척 어셈블리.90. The wafer chuck assembly of claim 89, wherein the rotating assembly rotates the wafer chuck at about 5 rotations per minute to about 5000 rotations per minute. 제 89 항에 있어서, 상기 회전 어셈블리는,90. The rotating assembly of claim 89, wherein 상기 샤프트에 연결된 드라이브 벨트; 및A drive belt connected to the shaft; And 상기 드라이브 벨트에 연결된 모터를 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.And a motor coupled to the drive belt. 제 89 항에 있어서, 상기 샤프트 및 상기 브래킷 사이에 배치된 베어링을 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.90. The wafer chuck assembly of claim 89, further comprising a bearing disposed between the shaft and the bracket. 제 89 항에 있어서, 슬립-링 어셈블리를 더 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.90. The wafer chuck assembly of claim 89, further comprising a slip-ring assembly. 제 93 항에 있어서, 상기 슬립-링 어셈블리는 상기 브래킷에 연결되는 것을 특징으로 하는 웨이퍼 척 어셈블리.94. The wafer chuck assembly of claim 93, wherein the slip-ring assembly is connected to the bracket. 제 93 항에 있어서, 상기 슬립-링 어셈블리는 상기 샤프트에 전하를 인가하도록 구성된 것을 특징으로 하는 웨이퍼 척 어셈블리.94. The wafer chuck assembly of claim 93, wherein the slip-ring assembly is configured to apply charge to the shaft. 제 95 항에 있어서, 상기 슬립-링 어셈블리는 상기 샤프트를 회전시키면서 상기 샤프트에 전하를 인가하도록 구성된 브러시 어셈블리를 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.97. The wafer chuck assembly of claim 95, wherein the slip-ring assembly comprises a brush assembly configured to apply charge to the shaft while rotating the shaft. 제 93 항에 있어서, 상기 슬립-링 어셈블리는 상기 샤프트에 형성된 적어도 하나의 인입구 속으로 진공, 감압 가스, 및/또는 여압 가스를 제공하도록 구성된 것을 특징으로 하는 웨이퍼 척 어셈블리.94. The wafer chuck assembly of claim 93, wherein the slip-ring assembly is configured to provide vacuum, reduced pressure gas, and / or pressurized gas into at least one inlet formed in the shaft. 제 97 항에 있어서, 상기 슬립-링 어셈블리는,98. The slip ring assembly of claim 97, wherein 상기 슬립-링 어셈블리에 형성된 적어도 하나의 인입구;At least one inlet formed in the slip-ring assembly; 상기 슬립-링에 형성된 상기 인입구와 상기 샤프트에 형성된 상기 인입구 사이에 적어도 하나의 밀폐된 캐비티를 형성하도록 상기 슬립-링 어셈블리와 상기 샤프트 사이에 배치된 다수의 시일을 포함하는 것을 특징으로 하는 웨이퍼 척 어셈블리.A plurality of seals disposed between the slip-ring assembly and the shaft to form at least one hermetic cavity between the inlet formed in the slip-ring and the inlet formed in the shaft. assembly. 제 97 항에 있어서, 상기 샤프트는 상기 샤프트의 상기 적어도 하나의 인입구로부터 상기 상부 섹션에 진공, 감압 가스, 또는 여압 가스를 전송하는 적어도 하나의 채널로 형성되는 것을 특징으로 하는 웨이퍼 척 어셈블리.98. The wafer chuck assembly of claim 97, wherein the shaft is formed with at least one channel for transferring vacuum, reduced pressure, or pressurized gas from the at least one inlet of the shaft to the upper section. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR10-2004-7011238A 1998-11-28 1999-11-24 Apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces KR100503553B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11013698P 1998-11-28 1998-11-28
US60/110,136 1998-11-28
PCT/US1999/028106 WO2000033356A2 (en) 1998-11-28 1999-11-24 Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-7006569A Division KR100516776B1 (en) 1998-11-28 1999-11-24 Method and apparaus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020057000594A Division KR100562011B1 (en) 1998-11-28 1999-11-24 Electroplating and/or electropolishing station

Publications (2)

Publication Number Publication Date
KR20040070317A KR20040070317A (en) 2004-08-06
KR100503553B1 true KR100503553B1 (en) 2005-07-26

Family

ID=22331393

Family Applications (3)

Application Number Title Priority Date Filing Date
KR10-2001-7006569A KR100516776B1 (en) 1998-11-28 1999-11-24 Method and apparaus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
KR10-2004-7011238A KR100503553B1 (en) 1998-11-28 1999-11-24 Apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
KR1020057000594A KR100562011B1 (en) 1998-11-28 1999-11-24 Electroplating and/or electropolishing station

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR10-2001-7006569A KR100516776B1 (en) 1998-11-28 1999-11-24 Method and apparaus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020057000594A KR100562011B1 (en) 1998-11-28 1999-11-24 Electroplating and/or electropolishing station

Country Status (9)

Country Link
EP (1) EP1133786A2 (en)
JP (2) JP2002531702A (en)
KR (3) KR100516776B1 (en)
CN (2) CN1191605C (en)
AU (1) AU3105400A (en)
CA (1) CA2352160A1 (en)
IL (1) IL143316A (en)
TW (1) TW430919B (en)
WO (1) WO2000033356A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170007167A (en) * 2015-07-09 2017-01-18 램 리써치 코포레이션 Integrated elastomeric lipseal and cup bottom for reducing wafer sticking

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6299741B1 (en) 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6537144B1 (en) 2000-02-17 2003-03-25 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US7066800B2 (en) 2000-02-17 2006-06-27 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US6848970B2 (en) 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
JP4644926B2 (en) * 2000-10-13 2011-03-09 ソニー株式会社 Semiconductor manufacturing apparatus and semiconductor device manufacturing method
WO2002083995A1 (en) * 2001-04-12 2002-10-24 Arthur, Keigler Method of and apparatus for controlling fluid flow
JP2003027280A (en) * 2001-07-18 2003-01-29 Ebara Corp Plating apparatus
WO2004020704A1 (en) * 2001-08-31 2004-03-11 Semitool, Inc. Apparatus and method for deposition of an electrophoretic emulsion
TWI275436B (en) 2002-01-31 2007-03-11 Ebara Corp Electrochemical machining device, and substrate processing apparatus and method
TWI274393B (en) * 2002-04-08 2007-02-21 Acm Res Inc Electropolishing and/or electroplating apparatus and methods
KR101151456B1 (en) * 2002-07-22 2012-06-04 에이씨엠 리서치, 인코포레이티드 Adaptive electropolishing using thickness measurements and removal of barrier and sacrificial layers
JP3860111B2 (en) * 2002-12-19 2006-12-20 大日本スクリーン製造株式会社 Plating apparatus and plating method
US7842169B2 (en) 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
US8804535B2 (en) * 2009-03-25 2014-08-12 Avaya Inc. System and method for sending packets using another device's network address
TWI410531B (en) * 2010-05-07 2013-10-01 Taiwan Semiconductor Mfg Vertical plating equipment and plating method thereof
KR101211826B1 (en) * 2010-06-14 2012-12-18 연세대학교 산학협력단 Apparatus and method for polishing workpiece using magnetorheological fluid)
JP5782398B2 (en) 2012-03-27 2015-09-24 株式会社荏原製作所 Plating method and plating apparatus
US9399827B2 (en) 2013-04-29 2016-07-26 Applied Materials, Inc. Microelectronic substrate electro processing system
JP6186499B2 (en) 2013-05-09 2017-08-23 エーシーエム リサーチ (シャンハイ) インコーポレーテッド Apparatus and method for plating and / or polishing of wafers
KR101353378B1 (en) * 2013-08-19 2014-01-22 주식회사 케이엠 Mixing joint for syringe
CN104465481A (en) * 2013-09-22 2015-03-25 盛美半导体设备(上海)有限公司 Wafer chuck
CN105297127B (en) * 2014-05-30 2019-04-05 盛美半导体设备(上海)有限公司 Ejecting device with electrode
JP6449091B2 (en) * 2015-04-20 2019-01-09 東京エレクトロン株式会社 Slip ring, support mechanism and plasma processing apparatus
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
KR102156430B1 (en) * 2020-04-28 2020-09-15 주식회사 스마트코리아피씨비 Rotary Plating Equipment for Circuit Board
CN114262920A (en) * 2020-09-16 2022-04-01 长鑫存储技术有限公司 Wafer electroplating equipment, air leakage detection device and method and wafer electroplating method
EP3998374A4 (en) 2020-09-16 2022-08-03 Changxin Memory Technologies, Inc. Device and method for air leakage detection, and wafer electroplating method
CN112144096B (en) * 2020-09-25 2022-05-10 深圳市生利科技有限公司 Zinc-nickel alloy electroplating equipment
CN113013078A (en) * 2021-03-04 2021-06-22 苏州竣合信半导体科技有限公司 Compatible chip positioning groove for positioning chip and using method thereof

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5419649A (en) * 1977-07-15 1979-02-14 Hitachi Ltd Wafer holding jig for electrtolytic plating
JPS58181898A (en) * 1982-04-14 1983-10-24 Fujitsu Ltd Current supply apparatus used in plating
JPS6396292A (en) * 1986-10-13 1988-04-27 Mitsubishi Electric Corp Device for electrolytic plating
JPH0375394A (en) * 1989-08-18 1991-03-29 Fujitsu Ltd Plating equipment
JPH03232994A (en) * 1990-02-06 1991-10-16 Fujitsu Ltd Plating device
JP2567716B2 (en) * 1990-03-20 1996-12-25 富士通株式会社 Electroplating equipment
JP2608485B2 (en) * 1990-05-30 1997-05-07 富士通株式会社 Plating equipment
DE4024576A1 (en) * 1990-08-02 1992-02-06 Bosch Gmbh Robert DEVICE FOR SINGLE-SIDED ASSEMBLY OF A SEMICONDUCTOR DISC
JPH04186630A (en) * 1990-11-19 1992-07-03 Oki Electric Ind Co Ltd Bump electrode plating apparatus for semiconductor wafer
JP2704796B2 (en) * 1991-04-22 1998-01-26 株式会社東芝 Jig for plating semiconductor wafers
JPH05243236A (en) * 1992-03-03 1993-09-21 Fujitsu Ltd Electroplating apparatus
US5405518A (en) * 1994-04-26 1995-04-11 Industrial Technology Research Institute Workpiece holder apparatus
US5670034A (en) * 1995-07-11 1997-09-23 American Plating Systems Reciprocating anode electrolytic plating apparatus and method
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5980706A (en) * 1996-07-15 1999-11-09 Semitool, Inc. Electrode semiconductor workpiece holder
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
JP3627884B2 (en) * 1996-10-17 2005-03-09 株式会社デンソー Plating equipment
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170007167A (en) * 2015-07-09 2017-01-18 램 리써치 코포레이션 Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
KR102556923B1 (en) 2015-07-09 2023-07-17 램 리써치 코포레이션 Integrated elastomeric lipseal and cup bottom for reducing wafer sticking

Also Published As

Publication number Publication date
CN1632914A (en) 2005-06-29
CN1191605C (en) 2005-03-02
JP2007119923A (en) 2007-05-17
KR100516776B1 (en) 2005-09-26
JP2002531702A (en) 2002-09-24
WO2000033356A2 (en) 2000-06-08
AU3105400A (en) 2000-06-19
CN1346510A (en) 2002-04-24
IL143316A (en) 2005-03-20
CA2352160A1 (en) 2000-06-08
WO2000033356A9 (en) 2001-08-02
TW430919B (en) 2001-04-21
WO2000033356A3 (en) 2001-07-12
IL143316A0 (en) 2002-04-21
KR20010086051A (en) 2001-09-07
CN100382235C (en) 2008-04-16
KR100562011B1 (en) 2006-03-22
EP1133786A2 (en) 2001-09-19
KR20040070317A (en) 2004-08-06
KR20050013179A (en) 2005-02-02

Similar Documents

Publication Publication Date Title
KR100503553B1 (en) Apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6726823B1 (en) Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6495007B2 (en) Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workplaces
US6613214B2 (en) Electric contact element for electrochemical deposition system and method
US6902659B2 (en) Method and apparatus for electro-chemical mechanical deposition
US6402925B2 (en) Method and apparatus for electrochemical mechanical deposition
US7153400B2 (en) Apparatus and method for depositing and planarizing thin films of semiconductor wafers
JP3274457B2 (en) Processing chamber and method for depositing and / or removing material from a substrate
US7201828B2 (en) Planar plating apparatus
US20040104120A1 (en) Method and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US11643744B2 (en) Apparatus for electrochemically processing semiconductor substrates
US7316602B2 (en) Constant low force wafer carrier for electrochemical mechanical processing and chemical mechanical polishing

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee