KR100441297B1 - Remote plasma-Assisted CCP type PECVD apparatus - Google Patents

Remote plasma-Assisted CCP type PECVD apparatus Download PDF

Info

Publication number
KR100441297B1
KR100441297B1 KR10-2001-0056735A KR20010056735A KR100441297B1 KR 100441297 B1 KR100441297 B1 KR 100441297B1 KR 20010056735 A KR20010056735 A KR 20010056735A KR 100441297 B1 KR100441297 B1 KR 100441297B1
Authority
KR
South Korea
Prior art keywords
gas
space
reaction
shower head
reaction space
Prior art date
Application number
KR10-2001-0056735A
Other languages
Korean (ko)
Other versions
KR20030023964A (en
Inventor
장근하
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR10-2001-0056735A priority Critical patent/KR100441297B1/en
Publication of KR20030023964A publication Critical patent/KR20030023964A/en
Application granted granted Critical
Publication of KR100441297B1 publication Critical patent/KR100441297B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Abstract

CCP형 전극을 반응공간 중간에 두어 플라즈마 영역을 두 군데로 분리함으로써 종래의 평판 샤워헤드 CCP형 PECVD 장치의 문제점과 리모트 플라즈마 사용시의 문제점을 해결할 수 있는 PECVD 장치를 제공한다. 반응가스 라디칼이 2차 플라즈마 영역에서 형성된다면 종래와 마찬가지로 기판이 반응가스 라디칼에 의하여 손상을 받게되지만, 본 발명의 경우에는 반응가스 라디칼을 1차 플라즈마 영역에서 형성시킨 후 2차 플라즈마 영역에 도달하게 시키기 때문에 기판에 손상을 주는 반응가스 라디칼의 양이 적어지게 된다. 그리고, 본질적으로는 평판 샤워헤드 CCP형 PECVD 장치이기 때문에 대면적에 균일한 증착을 할 수 있으며, 플라즈마가 있는 2차 플라즈마 영역에서 박막증착이 이루어지기 때문에 성막속도도 빨라 생산성이 향상된다.By providing a CCP electrode in the middle of the reaction space to separate the plasma region into two places, there is provided a PECVD apparatus that can solve the problems of the conventional flat plate showerhead CCP type PECVD apparatus and the problem of using a remote plasma. If the reactive gas radicals are formed in the secondary plasma region, the substrate is damaged by the reactive gas radicals as in the prior art, but in the present invention, the reactive gas radicals are formed in the primary plasma region and then reach the secondary plasma region. This reduces the amount of reactive gas radicals that damage the substrate. In addition, since it is essentially a flat showerhead CCP type PECVD apparatus, it is possible to deposit uniformly over a large area. Since the thin film deposition is performed in the secondary plasma region in which the plasma is formed, the film formation speed is increased and productivity is improved.

Description

리모트 플라즈마를 이용하는 CCP형 PECVD장치{Remote plasma-Assisted CCP type PECVD apparatus}Remote plasma-Assisted CCP type PECVD apparatus using remote plasma

본 발명은 PECVD(Plasma Enhanced Chemical Vapor Deposition) 장치에 관한 것으로서, 특히 리모트 플라즈마를 이용하는 CCP(Capacitively Coupled Plasma)형 PECVD 장치에 관한 것이다.The present invention relates to a Plasma Enhanced Chemical Vapor Deposition (PECVD) apparatus, and more particularly to a Capacitively Coupled Plasma (PECP) type PECVD apparatus using a remote plasma.

반도체소자나 LCD 판넬 제작 시 실리콘(a-Si:H 계열)이나 SiNx, SiO2 등의 실리콘 화합물을 성막함에 있어서 낮은 온도에서 균일하고도 빠른 성막속도를 얻기 위하여 PECVD 공정을 많이 이용한다.In the fabrication of semiconductor devices or LCD panels, PECVD processes are frequently used to obtain uniform and fast deposition rates at low temperatures in forming silicon compounds such as silicon (a-Si: H series), SiNx, and SiO2.

PECVD 장치 중에서 대표적인 것이 평판 샤워헤드(showerhead)를 이용하는 CCP형 PECVD 장치이다. 평판 샤워헤드 CCP형 PECVD 장치는 대면적에 균일하면서도 빠른 속도로 박막을 형성시킬 수 있다는 장점을 가지고 있다.A typical PECVD apparatus is a CCP type PECVD apparatus using a flat plate showerhead. The flat showerhead CCP type PECVD has the advantage of being able to form a thin film at a high speed evenly over a large area.

그러나, 플라즈마 내에서 반응물들의 분해와 기판에의 증착이 함께 일어나고, 고밀도 플라즈마를 얻기 어렵고, 라디칼(radical) 생성이 너무 급격하게 이루어지고, 기상(gas phase)에서 과다한 반응(excessive reaction)이 일어나기 때문에, 박막증착시에 조성제어가 어렵다는 단점이 있다. 또한, 활성화된 이온이나 라디칼이 기판에 부딪히기 때문에 이온 충돌(ion bombardment)에 의하여 기판이 많이 손상된다는 단점도 있다. 그리고, 실리콘 기반(silicon base)의 박막을 증착하기 위해서 주로 SiH4 계열의 기체를 사용하는데, 이때 필름 내에 다량의 수소가 함유되어 필름특성이 저하되는 단점도 있다.However, due to the decomposition of the reactants and the deposition on the substrate in the plasma, it is difficult to obtain a high density plasma, the radical generation is too rapid, and the excessive reaction occurs in the gas phase. The disadvantage is that composition control is difficult during thin film deposition. In addition, since activated ions or radicals strike the substrate, the substrate may be damaged by ion bombardment. In addition, SiH4-based gas is mainly used for depositing a silicon-based thin film, and at this time, a large amount of hydrogen is contained in the film, thereby degrading film properties.

한편, PECVD 장치 중에서는 리모트 플라즈마를 이용하는 것이 있는데, 이 경우에는 기판이 플라즈마 영역과 떨어져 있어서 기판이 손상을 입거나 기체 상태에서 과다한 반응이 일어나는 것을 방지할 수는 있다. 그러나, 대면적에 균일한 박막을 형성하는데는 적합하지 않다.On the other hand, some PECVD apparatuses use a remote plasma. In this case, the substrate is separated from the plasma region, thereby preventing damage to the substrate or excessive reaction in the gas state. However, it is not suitable for forming a uniform thin film in a large area.

따라서, 본 발명이 이루고자 하는 기술적 과제는, CCP형 전극을 반응공간 중간에 두어 플라즈마 영역을 두 군데로 분리함으로써 종래의 평판 샤워헤드 CCP형 PECVD 장치의 문제점과 리모트 플라즈마 사용시의 문제점을 해결할 수 있는 PECVD 장치를 제공하는 데 있다.Therefore, the technical problem to be achieved by the present invention, PECVD which can solve the problems of the conventional flat plate showerhead CCP type PECVD device and the problem of using remote plasma by placing the CCP type electrode in the middle of the reaction space and separating the plasma region into two places. To provide a device.

도 1은 본 발명의 실시예에 따른 PECVD 장치를 설명하기 위한 개략도이다.1 is a schematic diagram illustrating a PECVD apparatus according to an embodiment of the present invention.

< 도면의 주요 부분에 대한 참조번호의 설명 ><Description of Reference Numbers for Main Parts of Drawings>

10: 반응챔버 12: 챔버몸체10: reaction chamber 12: chamber body

14: 챔버덮개 20: 샤워헤드14: chamber cover 20: shower head

32: 제1 관통구 32: 제2 관통구32: first through hole 32: second through hole

37: 외부 고주파 전원 42: 절연체37: external high frequency power supply 42: insulator

50: 백 플레이트 62: 제1 가스주입부50: back plate 62: first gas injection portion

64: 제2 가스주입부 70: 확산기64: second gas injection portion 70: diffuser

80: 배기관 90: 기판 지지대80: exhaust pipe 90: substrate support

92: 기판92: substrate

상기 기술적 과제를 달성하기 위한 본 발명에 따른 PECVD 장치는, 외부와 차단되는 반응공간을 제공하는 반응챔버; 상기 반응공간을 상하로 양분하도록 수평하게 설치되며, 자신의 내부에는 공동이 마련되어 있으며, 상기 상부반응공간과 상기 하부반응공간을 서로 연결하되 상기 공동과는 서로 연결되지 않는 제1관통구 및 상기 공동과 상기 하부반응공간을 연결하도록 자신의 저면에 형성되는 제2 관통구를 가지며, 외부로 부터 고주파 전력을 인가받되, 상기 반응챔버와는 전기적으로 연결되지 않는 금속재질의 샤워헤드; 상기 상부반응공간에 위치하여 상기 샤워헤드를 덮되, 자신과 상기 샤워헤드 사이에는 밀폐공간을 형성시키는 백 플레이트; 상기 백 플레이트와 상기 샤워헤드 사이의 공간을 상하로 분할하도록 수평하게 설치되며, 전기적으로 접지되는 확산기; 상기 백 플레이트와 상기 확산기 사이의 공간에 공정가스를 공급하기 위한 제1 가스주입부; 상기 샤워헤드의 내부공동에 공정가스를 공급하기 위한 제2 가스주입부; 및 기판을 수평안착시키기 위하여 상기 하부 플라즈마 영역에 설치되는 기판 지지대를 구비하는 것을 특징으로 한다.PECVD apparatus according to the present invention for achieving the above technical problem, the reaction chamber for providing a reaction space that is blocked from the outside; The horizontal space is installed horizontally so as to divide the reaction space up and down, and a cavity is provided therein, and the first through hole and the cavity connecting the upper reaction space and the lower reaction space to each other but not connected to the cavity are connected to each other. A shower head made of a metal material having a second through hole formed at a bottom thereof to connect the lower reaction space to the lower reaction space, and receiving high frequency power from the outside, and not electrically connected to the reaction chamber; A back plate positioned in the upper reaction space to cover the shower head, and forming a sealed space between itself and the shower head; A diffuser installed horizontally and electrically grounded to divide the space between the back plate and the shower head up and down; A first gas injection unit for supplying a process gas to a space between the back plate and the diffuser; A second gas injection unit for supplying a process gas to the internal cavity of the shower head; And a substrate support installed in the lower plasma region to horizontally mount the substrate.

상기 제1 가스주입부를 통해서 공급되는 가스는 반응가스이고, 상기 제2 가스주입부를 통해서 공급되는 가스는 원료가스인 것이 바람직하다.The gas supplied through the first gas injection unit is a reaction gas, and the gas supplied through the second gas injection unit is a source gas.

이하에서, 본 발명의 바람직한 실시예를 첨부한 도면을 참조하여 상세히 설명한다.Hereinafter, with reference to the accompanying drawings, preferred embodiments of the present invention will be described in detail.

도 1은 본 발명의 실시예에 따른 PECVD 장치를 설명하기 위한 개략도이다.1 is a schematic diagram illustrating a PECVD apparatus according to an embodiment of the present invention.

도 1을 참조하면, 반응챔버(10)는 외부와 차단되는 반응공간을 제공하며 챔버몸체(12)와 챔버덮개(14)로 이루어진다.Referring to FIG. 1, the reaction chamber 10 provides a reaction space that is blocked from the outside and includes a chamber body 12 and a chamber cover 14.

샤워헤드(20)는 챔버덮개(14)의 돌출부에 걸쳐져서 상기 반응공간을 상하로 양분하도록 수평하게 설치된다. 샤워헤드(20)의 제1 관통구(32)와 제2 관통구(34)를 갖으며, 내부에는 공동(A)이 마련된다. 제1 관통구(32)는 상기 상부반응공간과 상기 하부반응공간을 서로 연결하도록 샤워헤드(20)를 수직관통하도록 형성되는데, 공동(A)과는 연결되지 않는다. 제2 관통구(34)는 공동(A)과 상기 하부반응공간을 연결하도록 샤워헤드(20)의 저면에 형성된다.The shower head 20 is installed horizontally so as to bisect the reaction space up and down over the protrusion of the chamber cover 14. The shower head 20 has a first through hole 32 and a second through hole 34, and a cavity A is provided inside. The first through hole 32 is formed to vertically penetrate the shower head 20 to connect the upper reaction space and the lower reaction space to each other, but is not connected to the cavity A. The second through hole 34 is formed in the bottom surface of the shower head 20 to connect the cavity A and the lower reaction space.

기판(92)을 수평안착시키기 위한 기판 지지대(90)는 상기 하부반응공간에 설치되며 접지된다. 경우에 따라서는 고주파 전력이 인가될 수도 있다. 상기 반응공간 내의 가스는 반응챔버(10)의 저면에 설치되는 배기관(80)을 통하여 배기된다.The substrate support 90 for horizontally mounting the substrate 92 is installed in the lower reaction space and grounded. In some cases, high frequency power may be applied. Gas in the reaction space is exhausted through the exhaust pipe (80) installed on the bottom of the reaction chamber (10).

백 플레이트(backing plate, 50)는 샤워헤드(20)를 덮도록 설치되는데, 샤워헤드(20)와 백 플레이트(50) 사이에 밀폐공간이 형성되도록 설치된다. 백 플레이트(50)는 접지되며, 경우에 따라서는 고주파 전력을 인가받을 수도 있다.The backing plate 50 is installed to cover the shower head 20, and is installed to form a sealed space between the showerhead 20 and the back plate 50. The back plate 50 may be grounded, and in some cases, high frequency power may be applied.

샤워헤드(20)는 금속재질로 이루어지며, 외부 고주파 전원(37)으로부터 고주파 전력을 인가받는다. 샤워헤드(20)가 챔버덮개(14) 및 백 플레이트(50)와 전기적으로 연결되지 않도록 샤워헤드(20)와 챔버덮개(14)가 접촉하는 부분, 샤워헤드(20)와 백 플레이트(50)가 접촉하는 부분에는 절연체(42)가 개재된다.The shower head 20 is made of a metal material and receives high frequency power from an external high frequency power source 37. The portion where the showerhead 20 and the chamber cover 14 contact each other, such that the showerhead 20 is not electrically connected to the chamber cover 14 and the back plate 50, the showerhead 20 and the back plate 50. The insulator 42 is interposed between the parts in contact with each other.

제1 가스주입부(62)는 백 플레이트(50)와 샤워헤드(20) 사이의 밀폐공간에 반응가스를 공급할 수 있도록 챔버덮개(14)와 백 플레이트(50)를 관통하여 설치된다. 제2 가스주입부(64)는 샤워헤드(20)의 내부공동(A)에 원료가스를 공급할 수 있도록 설치된다.The first gas injection part 62 is installed through the chamber cover 14 and the back plate 50 so as to supply a reaction gas to the sealed space between the back plate 50 and the shower head 20. The second gas injection unit 64 is installed to supply the raw material gas to the internal cavity A of the shower head 20.

여기서, 원료가스라 함은 형성하고자 하는 박막의 주성분을 포함하는 가스를 말하며, 반응가스는 플라즈마를 형성을 위한 기체나 부수적 반응을 위한 가스를 말한다. 예컨대, 실리콘 산화막을 형성할 경우에는 O2 가 반응가스가 되고 SiH4가 원료가스가 된다. 그리고, 실리콘 질화막 형성시에는 NH3, N2가 반응가스가 되고 SiH4가 원료 Gas가 되며, 비정질 실리콘막 형성시에는 H2가 반응가스가 되고 SiH4가 원료가스가 된다.Here, the source gas refers to a gas containing the main component of the thin film to be formed, the reaction gas refers to a gas for forming a plasma or a gas for an incidental reaction. For example, when forming a silicon oxide film, O2 becomes a reaction gas and SiH4 becomes a source gas. In the formation of the silicon nitride film, NH3 and N2 become the reaction gas, and SiH4 becomes the raw material gas. In the formation of the amorphous silicon film, H2 becomes the reaction gas and SiH4 becomes the raw material gas.

확산기(70)는 백 플레이트(50)와 샤워헤드(20) 사이의 밀폐공간을 상하로 분할하도록 수평하게 설치되며 접지된다. 이하에서는 확산기(70)와 샤워헤드(20) 사이의 밀폐공간을 '1차 플라즈마 영역'이라고 하고, 상기 하부반응공간을 '2차 플라즈마 영역'이라고 한다.The diffuser 70 is horizontally installed and grounded to divide the sealed space between the back plate 50 and the shower head 20 up and down. Hereinafter, the sealed space between the diffuser 70 and the shower head 20 is referred to as a 'primary plasma region' and the lower reaction space is referred to as a 'secondary plasma region'.

확산기(70)에는 미세 관통홀 복수개가 균일하게 분포하도록 형성되어 있어서, 제1 가스 주입부(62)를 통해서 공급된 반응가스는 1차 플라즈마 영역에 균일하게 분사되어 여기서 1차 플라즈마가 형성된다. 1차 플라즈마는 제1 관통구(32)를 통하여 2차 플라즈마 영역으로 인도된다.The plurality of fine through holes are uniformly distributed in the diffuser 70, so that the reaction gas supplied through the first gas injector 62 is uniformly injected into the primary plasma region to form the primary plasma. The primary plasma is guided to the secondary plasma region through the first through hole 32.

제2 가스주입부(64)를 통해서 샤워헤드(20)의 내부공동(A)으로 공급되는 원료가스는 제2 관통구(34)를 통하여 2차 플라즈마 영역으로 분사되며, 분사된 원료가스는 제1 관통구(32)를 통하여 2차 플라즈마 영역으로 인도되는 1차 플라즈마의 도움을 받아 2차 플라즈마를 형성하게 된다.The source gas supplied to the internal cavity A of the showerhead 20 through the second gas injection unit 64 is injected into the secondary plasma region through the second through hole 34, and the injected source gas is The secondary plasma is formed with the help of the primary plasma guided to the secondary plasma region through the first through hole 32.

확산기(70)와 샤워헤드(20) 사이의 거리를 적절히 조절함으로써 1차 플라즈마 영역에서의 원활한 플라즈마 발생(ignition)을 유도할 수 있다. 그리고, 반응가스와 함께 He이나 Ar 등의 기체를 주입하여, 플라즈마 발생을 돕고 반응가스 라디칼의 라이프 타임(life time)을 증가시킴으로써, 2차 영역까지 반응가스 라디칼이 원활히 도달하게 할 수 있다.By properly adjusting the distance between the diffuser 70 and the showerhead 20, smooth plasma ignition can be induced in the primary plasma region. In addition, by injecting a gas such as He or Ar together with the reaction gas, the reaction gas radicals can smoothly reach the secondary region by helping to generate plasma and increasing the life time of the reaction gas radicals.

반응가스 라디칼이 2차 플라즈마 영역에서 형성된다면 종래와 마찬가지로 기판(92)이 반응가스 라디칼에 의하여 손상을 받게되지만, 본 발명의 경우에는 반응가스 라디칼을 1차 플라즈마 영역에서 형성시킨 후 2차 플라즈마 영역에 도달하게 시키기 때문에 기판에 손상을 주는 반응가스 라디칼의 양이 적어지게 된다.If the reactive gas radicals are formed in the secondary plasma region, the substrate 92 is damaged by the reactive gas radicals as in the prior art. However, in the present invention, after forming the reactive gas radicals in the primary plasma region, the secondary plasma region is formed. The amount of reactive gas radicals damaging the substrate is reduced because it causes to reach.

또한, 일반적으로 반응가스가 원료가스보다 플라즈마화되기 어려운데, 본 발명의 경우에는 반응가스가 1차 플라즈마 영역 및 2차 플라즈마 영역에서 해리되기 때문에 우수한 박막특성을 얻을 수 있다.In addition, the reaction gas is generally less plasma than the source gas, but in the present invention, since the reaction gas dissociates in the primary plasma region and the secondary plasma region, excellent thin film characteristics can be obtained.

그리고, 본질적으로는 평판 샤워헤드 CCP형 PECVD 장치이기 때문에 대면적에 균일한 증착을 할 수 있으며, 플라즈마가 있는 2차 플라즈마 영역에서 박막증착이 이루어지기 때문에 성막속도도 빨라 생산성이 향상된다.In addition, since it is essentially a flat showerhead CCP type PECVD apparatus, it is possible to deposit uniformly on a large area, and because the thin film deposition is performed in the secondary plasma region in which the plasma is formed, the film formation speed is also improved and productivity is improved.

한편, 기상상태에서의 과다한 반응을 방지할 수 있고, 원료가스로 SiH4를 사용할 경우에는 성막에 기여하는 전구체(precursor)인 SiH3 라디칼의 양을 증가시켜 주로 기판과의 표면반응을 유도하기 때문에, 치밀하고 수소함유량이 적은 양질의 실리콘기반(silicon base)의 박막을 형성시킬 수 있다.On the other hand, it is possible to prevent excessive reaction in the gaseous state, and when using SiH4 as the raw material gas, the amount of SiH3 radical which is a precursor that contributes to the film formation is increased to induce surface reaction mainly with the substrate. And it is possible to form a high quality silicon base (silicon base) thin film with a low hydrogen content.

본 발명은 상기 실시예에만 한정되지 않으며, 본 발명의 기술적 사상 내에서 당 분야에서 통상의 지식을 가진 자에 의해 많은 변형이 가능함은 명백하다.The present invention is not limited to the above embodiments, and it is apparent that many modifications are possible by those skilled in the art within the technical spirit of the present invention.

Claims (3)

외부와 차단되는 반응공간을 제공하는 반응챔버;A reaction chamber providing a reaction space that is blocked from outside; 상기 반응공간을 상하로 양분하도록 수평하게 설치되며, 자신의 내부에는 공동이 마련되어 있으며, 상기 상부반응공간과 상기 하부반응공간을 서로 연결하되 상기 공동과는 서로 연결되지 않는 제1관통구 및 상기 공동과 상기 하부반응공간을 연결하도록 자신의 저면에 형성되는 제2 관통구를 가지며, 외부로 부터 고주파 전력을 인가받되, 상기 반응챔버와는 전기적으로 연결되지 않는 금속재질의 샤워헤드;The horizontal space is installed horizontally so as to divide the reaction space up and down, and a cavity is provided therein, and the first through hole and the cavity connecting the upper reaction space and the lower reaction space to each other but not connected to the cavity are connected to each other. A shower head made of a metal material having a second through hole formed at a bottom thereof to connect the lower reaction space to the lower reaction space, and receiving high frequency power from the outside, and not electrically connected to the reaction chamber; 상기 상부반응공간에 위치하여 상기 샤워헤드를 덮되, 자신과 상기 샤워헤드 사이에는 밀폐공간을 형성시키는 백 플레이트;A back plate positioned in the upper reaction space to cover the shower head, and forming a sealed space between itself and the shower head; 상기 백 플레이트와 상기 샤워헤드 사이의 공간을 상하로 분할하도록 수평하게 설치되며, 전기적으로 접지되는 확산기;A diffuser installed horizontally and electrically grounded to divide the space between the back plate and the shower head up and down; 상기 백 플레이트와 상기 확산기 사이의 공간에 공정가스를 공급하기 위한 제1 가스주입부;A first gas injection unit for supplying a process gas to a space between the back plate and the diffuser; 상기 샤워헤드의 내부공동에 공정가스를 공급하기 위한 제2 가스주입부; 및A second gas injection unit for supplying a process gas to the internal cavity of the shower head; And 기판을 수평안착시키기 위하여 상기 하부반응공간에 설치되는 기판 지지대를 구비하는 것을 특징으로 하는 PECVD 장치.PECVD apparatus comprising a substrate support installed in the lower reaction space to horizontally seat the substrate. 삭제delete 제1항에 있어서, 상기 제1 가스주입부를 통해서 공급되는 가스는 반응가스이고, 상기 제2 가스주입부를 통해서 공급되는 가스는 원료가스인 것을 특징으로 하는 PECVD 장치.The PECVD apparatus according to claim 1, wherein the gas supplied through the first gas injection unit is a reaction gas, and the gas supplied through the second gas injection unit is a source gas.
KR10-2001-0056735A 2001-09-14 2001-09-14 Remote plasma-Assisted CCP type PECVD apparatus KR100441297B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2001-0056735A KR100441297B1 (en) 2001-09-14 2001-09-14 Remote plasma-Assisted CCP type PECVD apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0056735A KR100441297B1 (en) 2001-09-14 2001-09-14 Remote plasma-Assisted CCP type PECVD apparatus

Publications (2)

Publication Number Publication Date
KR20030023964A KR20030023964A (en) 2003-03-26
KR100441297B1 true KR100441297B1 (en) 2004-07-23

Family

ID=27724015

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0056735A KR100441297B1 (en) 2001-09-14 2001-09-14 Remote plasma-Assisted CCP type PECVD apparatus

Country Status (1)

Country Link
KR (1) KR100441297B1 (en)

Cited By (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101227571B1 (en) * 2011-07-07 2013-01-29 참엔지니어링(주) Gas injection Assembly and apparatus for processing substrate
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
WO2023068692A1 (en) * 2021-10-20 2023-04-27 (주)아이씨디 Plasma substrate treatment apparatus
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1586674A1 (en) 2004-04-14 2005-10-19 Nederlandse Organisatie voor Toegepast-Natuuurwetenschappelijk Onderzoek TNO Coatings, and methods and devices for the manufacture thereof
KR100589703B1 (en) * 2004-09-21 2006-06-19 (주)아이씨디 Plasma treatment apparatus
KR100872994B1 (en) * 2007-04-30 2008-12-09 주식회사 케이씨텍 Apparatus for generating plasma
KR101426011B1 (en) * 2008-01-15 2014-08-05 주성엔지니어링(주) System for treatmenting substrate
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
KR101445278B1 (en) * 2014-01-16 2014-10-01 주성엔지니어링(주) Apparatus for semiconductor
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
CN109943829A (en) * 2018-11-16 2019-06-28 黄剑鸣 A kind of multicell depositing system of RPECVD

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0521393A (en) * 1991-07-11 1993-01-29 Sony Corp Plasma processor
JPH06260434A (en) * 1993-03-04 1994-09-16 Nissin Electric Co Ltd Plasma cvd device
JPH08167596A (en) * 1994-12-09 1996-06-25 Sony Corp Plasma treatment device, plasma treatment method, and manufacture of semiconductor device
JP2000345349A (en) * 1999-06-04 2000-12-12 Anelva Corp Cvd device
US20010003014A1 (en) * 1999-12-07 2001-06-07 Nec Corporation Plasma CVD apparatus and plasma CVD method
KR20010051570A (en) * 1999-11-10 2001-06-25 니시가키 코지 Plasam cvd apparatus for large area cvd film

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0521393A (en) * 1991-07-11 1993-01-29 Sony Corp Plasma processor
JPH06260434A (en) * 1993-03-04 1994-09-16 Nissin Electric Co Ltd Plasma cvd device
JPH08167596A (en) * 1994-12-09 1996-06-25 Sony Corp Plasma treatment device, plasma treatment method, and manufacture of semiconductor device
JP2000345349A (en) * 1999-06-04 2000-12-12 Anelva Corp Cvd device
KR20010051570A (en) * 1999-11-10 2001-06-25 니시가키 코지 Plasam cvd apparatus for large area cvd film
US20010003014A1 (en) * 1999-12-07 2001-06-07 Nec Corporation Plasma CVD apparatus and plasma CVD method
JP2001164371A (en) * 1999-12-07 2001-06-19 Nec Corp Plasma cvd system and plasma cvd film deposition method

Cited By (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
KR101227571B1 (en) * 2011-07-07 2013-01-29 참엔지니어링(주) Gas injection Assembly and apparatus for processing substrate
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2023068692A1 (en) * 2021-10-20 2023-04-27 (주)아이씨디 Plasma substrate treatment apparatus

Also Published As

Publication number Publication date
KR20030023964A (en) 2003-03-26

Similar Documents

Publication Publication Date Title
KR100441297B1 (en) Remote plasma-Assisted CCP type PECVD apparatus
US7709063B2 (en) Remote plasma apparatus for processing substrate with two types of gases
US6663715B1 (en) Plasma CVD apparatus for large area CVD film
US6383954B1 (en) Process gas distribution for forming stable fluorine-doped silicate glass and other films
KR100215376B1 (en) Method for depositing silicon oxide films of reduced surface sensitivity
US7067436B2 (en) Method of forming silicon oxide film and forming apparatus thereof
KR20080105617A (en) Chemical vapor deposition apparatus and plasma enhanced chemical vapor deposition apparatus
KR19980087249A (en) Silicon oxide film, method for forming the same, and forming apparatus
KR20130095119A (en) Atomospheric pressure plasma generating apparatus
US6664202B2 (en) Mixed frequency high temperature nitride CVD process
KR100685823B1 (en) Method for depositing
KR20010086652A (en) Apparatus for fabricating a semiconductor device and method of cleaning the same
KR100457455B1 (en) Chemical Vapor Deposition Apparatus which deposition-speed control is possible
KR102661733B1 (en) Apparatus for processing substrate using multiple plasma
KR100529298B1 (en) Remote plasma atomic layer deposition apparatus using DC bias
KR20170093342A (en) Method for forming thin film
KR20180134809A (en) Substrate processing apparatus and substrate processing method
KR102513404B1 (en) Method of forming SiCN layer
KR920002169B1 (en) Plasma discharge deposition process and a suitable apparatus therefor
KR20030027505A (en) Semiconductor processing apparatus having improved exhausting structure
KR100668970B1 (en) Plasma treatment apparatus and method for fabricating nitride film using the same
KR20200013985A (en) Apparatus for processing substrate using multiple plasma
KR20010063770A (en) Apparatus using plasma for fabricating a semiconductor device and thin film forming method using the same
KR100448718B1 (en) Plasma enhanced chemical vapor deposition apparatus
KR20010110903A (en) Chemical vapor deposition equipment having a diffuser

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130710

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140603

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150603

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20160711

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20170703

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20180702

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20190701

Year of fee payment: 16