KR100187485B1 - Filtering technique for cvd chamber process gases - Google Patents

Filtering technique for cvd chamber process gases Download PDF

Info

Publication number
KR100187485B1
KR100187485B1 KR1019980035468A KR19980035468A KR100187485B1 KR 100187485 B1 KR100187485 B1 KR 100187485B1 KR 1019980035468 A KR1019980035468 A KR 1019980035468A KR 19980035468 A KR19980035468 A KR 19980035468A KR 100187485 B1 KR100187485 B1 KR 100187485B1
Authority
KR
South Korea
Prior art keywords
gas
valve
chamber
line
silicon
Prior art date
Application number
KR1019980035468A
Other languages
Korean (ko)
Inventor
제이. 한슨 케니스
Original Assignee
엘 에스 아이 로직 코오포레이숀
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1019910000144A external-priority patent/KR100236500B1/en
Application filed by 엘 에스 아이 로직 코오포레이숀 filed Critical 엘 에스 아이 로직 코오포레이숀
Application granted granted Critical
Publication of KR100187485B1 publication Critical patent/KR100187485B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases

Abstract

본 발명에 따르면, 웨이퍼 상의 노출된 N형 실리콘 영역과 P형 실리콘 영역에 고융점 금속을 도포하는 CVD 챔버에 공급되는 공정 가스를 제어하여 가스의 순도와 안정성을 보장하기 위하여 공정 가스를 정화하는 장치 및 방법이 제공된다.According to the present invention, there is provided an apparatus for purifying a process gas to control a process gas supplied to a CVD chamber that applies a refractory metal to an exposed N-type silicon region and a P-type silicon region on a wafer to ensure purity and stability of the gas And methods are provided.

Description

챔버에 공급되는 공정 가스를 제어하는 장치 및 방법Apparatus and method for controlling process gas supplied to a chamber

본 발명은 실리콘으로 구현된 집적회로에 관한 것으로서, 보다 구체적으로는 고융점 금속(또는 내화 금속; refractory metal)을 도포하기 위한 화학 기상 증착 챔버에 공급되는 공정 가스를 제어하는 장치 및 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to an integrated circuit implemented in silicon, and more particularly to an apparatus and method for controlling a process gas supplied to a chemical vapor deposition chamber for applying a high refractory metal (or refractory metal) .

발명의 배경BACKGROUND OF THE INVENTION

마이크로 전자 분야에서 실리콘에 전기적 접속을 제공하는 경우에는, 알루미늄(Al)과 같은 금속화 층과 이 알루미늄과 전기적으로 연결될 실리콘의 부분 사이에 전도성의 인터페이스를 만들어서 알루미늄과 실리콘이 직접 접촉하지 못하도록 하는 것이 필요한 때가 많다. 만약 알루미늄을 실리콘 위에 바로 도포(塗布)하면, 여러 가지 문제가 생길 수 있다.In the field of microelectronics, when providing electrical connection to silicon, it is necessary to make a conductive interface between the metallization layer, such as aluminum (Al) and the part of silicon to be electrically connected to this aluminum, There are many times when it is necessary. If aluminum is directly applied onto silicon, various problems may arise.

알루미늄을 실리콘에 직접 도포하여 생기는 심각한 문제 중 하나는 알루미늄이 마치 P형 도핑제(dopant)처럼 작용하고 알루미늄 원자가 실리콘 영역으로 이동하여 실리콘 영역이 P형 불순물로 도핑된다는 것이다. 이것은 알루미늄이 N형 실리콘 영역과 접하고 있을 때 특히 심각한데, 이 경우 실리콘 영역으로 들어간 P형 알루미늄 원자에 의해 바람직하지 않은 정류성 접촉이 생기게 된다.One of the serious problems caused by the direct application of aluminum to silicon is that aluminum acts like a P-type dopant and aluminum atoms migrate to the silicon region and the silicon region is doped with P-type impurities. This is particularly serious when aluminum is in contact with the N-type silicon region, which results in undesirable rectifying contact due to the P-type aluminum atoms entering the silicon region.

다른 문제로는 얕은 실리콘 영역이 알루미늄에 의해 스파이킹(spiking)되기 쉽고, 따라서 알루미늄이 하부에 있는 또는 인접해 있는 다른 실리콘 영역과 접촉하게 된다는 것이다.Another problem is that the shallow silicon region is likely to be spiked by aluminum, so that the aluminum is in contact with another silicon region underlying or adjacent.

또 다른 문제는, 산화층을 식각하여 실리콘 영역을 노출시키는 경우, 노출된 실리콘과 산화층의 최상부면 사이에 단차가 많이 생겨서 상기 노출된 실리콘 표면과 산화층의 벽 위에 도포되는 알루미늄이 균일하게 도포되지 않기 때문에 생기는 음영 효과(shadowing effect)와 관련이 있다. 노출된 실리콘과 산화층 벽 위에 도포되는 알루미늄이 충분하지 못하면, 심지어 회로가 끊어지는 개방 회로가 생길 수도 있다.Another problem is that when the oxide layer is etched to expose the silicon region, there is a large step between the exposed silicon and the top surface of the oxide layer, so that the exposed silicon surface and the aluminum applied on the oxide layer walls are not uniformly applied It is related to the shadowing effect that occurs. If the exposed silicon and the aluminum applied over the oxide layer walls are not sufficient, there may be an open circuit that even breaks the circuit.

면적을 줄이면 상기 문제들은 보다 심각해진다. 또한 반응 온도가 높아지면 위의 문제들이 더 심해지는데, 왜냐하면 알루미늄 원자가 실리콘으로 이동하는 것은 온도의 증가에 따라 가속되기 때문이다. 또한, 시간이 지남에 따라 더 많은 알루미늄 원자가 실리콘으로 이동하여 잠재적인 결함을 유발하고 신뢰성이 낮은 접촉이 생기게 된다.Reducing the area makes the problems more serious. Also, the higher the reaction temperature, the worse the above problems, because the movement of aluminum atoms to silicon accelerates with increasing temperature. Also, over time, more aluminum atoms migrate to the silicon resulting in potential defects and unreliable contacts.

알루미늄이 실리콘과 직접 접촉하기 때문에 생기는 이러한 문제점을 해결하기 위하여 여러 가지 방법들이 종래에 사용되어 왔다. 그 중 하나는 도포를 하는 동안 알루미늄을 실리콘(보통 알루미늄에 대한 실리콘의 중량%를 0.2~1로 함)으로 포화시켜서 알루미늄 원자의 이동을 막는 것이다. 그런데, 이 방법의 단점은 온도가 높아지면 알루미늄의 포화 정도가 더 높아져서 포화된 알루미늄을 냉각할 때 알루미늄 아래에 있는 실리콘 표면에서 실리콘의 침전물이 생기고 결국 바람직하지 않은 P형 에피텍셜 층이 생긴다는 것이다. 또한, 음영 효과의 문제는 이 방법을 사용해도 여전히 나타난다.Various methods have been conventionally used to solve this problem caused by the direct contact of aluminum with silicon. One of them is to prevent the migration of aluminum atoms by saturating aluminum with silicon (usually 0.2 to 1 wt% of silicon to aluminum) during application. The disadvantage of this method, however, is that the higher the temperature, the higher the degree of saturation of aluminum, which results in a precipitate of silicon at the silicon surface beneath the aluminum when cooling the saturated aluminum, resulting in an undesirable P-type epitaxial layer . Also, the problem of shading effect is still visible using this method.

알루미늄과 실리콘이 직접 접촉하여 생기는 상기 문제점을 해결하기 위한 종래 기술의 다른 방법은 알루미늄 금속화 층을 도포하기 전에 실리콘 위에 하나 이상의 인터페이스 층을 형성하는 것이다. 이러한 유형의 공정에 대한 전반적인 것은 Schinella 등의 미국 특허 제3,777,364호에 설명되어 있으며, 이외의 다른 많은 특허에도 유사한 공정이 개시되어 있다. 이 종래 방법에서는, 텅스텐, 몰리브덴, 팔라듐, 백금 또는 탄탈륨과 같은 고융점 금속을 도포하여 노출된 실리콘(또는 폴리실리콘 층)과 반응하도록 하여 실리사이드 층(silicide layer)을 형성한다. 실리콘과 반응하지 않은 고융점 금속의 최상부 영역은 실리사이드의 형성 후 제거된다. 그 다음에, 알루미늄 금속화 층을 도포한다. 알루미늄과 실리콘 사이에 있는 최종 실리사이드 층은 알루미늄 원자에 대한 장벽층의 역할을 하여 알루미늄이 실리콘으로 이동하지 못하도록 하고, 알루미늄과 실리콘 사이에 전기 저항이 낮은 접촉부가 형성되도록 한다. 또한, 이러한 유형의 공정은 알루미늄 금속층을 도포하는 동안에 단차의 높이를 약간 감소시킨다(예컨대, 약 1200 Å).Another method of the prior art to overcome the above problem of direct contact between aluminum and silicon is to form one or more interface layers on silicon prior to application of the aluminum metallization layer. The overall process for this type of process is described in U.S. Patent No. 3,777,364 to Schinella et al., And many other patents disclose similar processes. In this conventional method, a refractory metal such as tungsten, molybdenum, palladium, platinum or tantalum is applied to react with the exposed silicon (or polysilicon layer) to form a silicide layer. The uppermost region of the refractory metal that has not reacted with silicon is removed after formation of the silicide. The aluminum metallization layer is then applied. The final silicide layer between aluminum and silicon acts as a barrier layer for the aluminum atoms, preventing the aluminum from migrating to the silicon and forming a contact with low electrical resistance between aluminum and silicon. Also, this type of process slightly reduces the height of the step (e.g., about 1200 A) during application of the aluminum metal layer.

실리사이드 층을 형성하는 기술의 단점은, 예컨대 화학적 기상 증착법(CVD)과 텅스텐 헥사플루오라이드(WF6) 반응 가스를 사용하여 알루미늄과 실리콘 사이의 인터페이스 층으로서 텅스텐 실리사이드를 형성하는 경우, CVD 공정의 높은 온도에 의해 뜨거워진 CVD 챔버의 벽이 상기 WF6가스와 반응을 일으킨다는 것이다. 이렇게 되면, 웨이퍼 표면에 도포되는 텅스텐의 도포 속도가 떨어진다.A disadvantage of the technique of forming a silicide layer is that when tungsten suicide is formed as an interface layer between aluminum and silicon using, for example, chemical vapor deposition (CVD) and tungsten hexafluoride (WF 6 ) The walls of the CVD chamber heated by the temperature react with the WF 6 gas. In this case, the application speed of the tungsten applied to the wafer surface drops.

스퍼터링(sputtering)에 의해 웨이퍼 표면에 텅스텐을 입히면, 위와 같이 뜨거워진 챔버의 벽이 상기 불화 금속 가스와 반응하는 문제가 생기지 않지만, 고융점 금속막을 스퍼터링하려면, 다음과 같은 제한 조건이 있다. (1) 고융점 금속막은 응력을 받기 매우 쉽고 균열이 생기기 쉽다. (2) 상기 금속막은 스텝 피복비(또는 스텝 커버리지; step coverage)가 비교적 나쁘다. (3) 상기 금속막을 만드는 데에는 비용이 많이 든다. (4) 일정한 시간 동안에는 적은 수량의 웨이퍼만 처리할 수 있다. (5) 스퍼터링 장비를 구입하고 운영하는 데에는 비용이 많이 든다.When the surface of the wafer is coated with tungsten by sputtering, there is no problem that the wall of the chamber heated as above reacts with the metal fluoride gas. However, in order to sputter a refractory metal film, there are the following restrictions. (1) The refractory metal film is very susceptible to stress and prone to cracking. (2) The metal film has a relatively poor step coverage (or step coverage). (3) The metal film is expensive. (4) Only a small number of wafers can be processed for a certain period of time. (5) Purchasing and operating sputtering equipment is expensive.

따라서, 고온-벽 CVD 공정을 사용하여 실리콘에 고융점 금속을 도포하면 도포 속도가 제한되고, 단차의 높이와 관련된 문제는 원하는 수준까지 해결되지 않는다.Thus, application of a refractory metal to silicon using a high temperature-to-wall CVD process limits the application rate and the problems associated with the height of the steps are not resolved to the desired level.

Miller의 미국 특허 제4,794,019호에는 고온-벽 CVD 챔버를 사용한 텅스텐 도포 공정이 개시되어 있고, 이 특허에는 텅스텐의 도포 속도가 시간이 지남에 따라 감소하여 약 3000 Å의 두께에서 25 Å/분의 안정된 속도에 도달한다는 내용이 기재되어 있다(칼럼 3의 31~33 줄). 이 미국 특허에는 텅스텐을 실리콘 웨이퍼 표면에 도포하기 위해 300 ℃ 정도의 CVD 공정 온도를 사용한다. 이 온도에서 텅스텐은 실리콘과는 핵형성(nucleation)이 잘 되지만 SiO2와는 핵형성이 잘 되지 않기 때문에, 이러한 공정을 사용하면 SiO2에는 텅스텐이 도포되지 않고 실리콘에만 텅스텐이 도포되는 선택적 도포가 가능하다. 그러나, 이러한 방법을 사용하면, 고융점 금속을 약 3000 Å 이상의 두께로 하는 데에 시간과 비용이 많이 소요된다.U.S. Patent No. 4,794,019 to Miller discloses a tungsten application process using a high temperature-to-wall CVD chamber in which the application rate of tungsten decreases over time to about 25 A / min at a thickness of about 3000 A It is stated that the speed is reached (lines 3 to 31 of column 3). This US patent uses a CVD process temperature of about 300 DEG C to apply tungsten to the surface of a silicon wafer. At this temperature, tungsten can nucleate well with silicon but does not nucleate well with SiO 2 , so using this process it is possible to selectively apply tungsten to silicon and not tungsten to SiO 2 Do. However, using this method, it takes a lot of time and cost to make the high melting point metal to a thickness of about 3000 Å or more.

뜨거워진 챔버의 벽이 불화 금속 가스와 반응하기 때문에 생기는 낮은 도포 속도의 문제를 해결하기 위해 사용되는 한가지 방법은 수은 할로겐 램프나 다른 방사원에 의한 웨이퍼 직접 방사를 이용하여 웨이퍼를 부분적으로 가열하는 것이다. 그러나, 이러한 웨이퍼 가열 방법에 의하면, N형 실리콘 영역과 P형 실리콘 영역을 하나의 방사원으로 가열했을 때 상기 두 영역의 방사율이 틀리기 때문에 고융점 금속의 도포 속도가 N형 영역과 P형 영역에서 달라지게 된다. 이렇게 도포가 일정하지 않으면, N형 실리콘 영역과 P형 실리콘 영역 모두에 전기적 성질이 우수한 접촉을 제공할 수 있는 금속 연결층을 효과적으로 도포하기가 점점 더 어려워진다.One method used to address the problem of low application rates due to the reactivity of the walls of the heated chamber with the fluoride metal gas is to partially heat the wafer using direct irradiation of the wafer with a mercury halogen lamp or other radiation source. However, according to such a wafer heating method, when the N-type silicon region and the P-type silicon region are heated by a single radiation source, the emissivities of the two regions are different, so that the application rate of the refractory metal differs between the N-type region and the P- . If the application is not constant, it becomes increasingly difficult to effectively apply the metal connection layer, which can provide good electrical contact to both the N-type silicon region and the P-type silicon region.

따라서, 알루미늄과 실리콘 사이에 장벽층으로서 고융점 금속을 비교적 빠른 도포 속도로 도포하여 원하는 정도로 단차의 높이를 줄일 수 있으며, 노출된 N형 실리콘 영역과 노출된 P형 실리콘 영역에 모두 일정하게 고융점 금속이 도포되게 하는 기술이 있다면, 해당 산업 분야에 큰 기여를 할 것이다. 또한, 고융점 금속을 도포할 때 가스의 순도와 안정성을 보장하는 것이 필요하다.Thus, a high melting point metal as a barrier layer between aluminum and silicon can be applied at a relatively fast application rate to reduce the height of the stepped portion to a desired degree, and the exposed N-type silicon region and exposed P- If there is a technology that allows metal to be applied, it will make a big contribution to the industry. It is also necessary to ensure the purity and stability of the gas when applying the refractory metal.

도 1a~도 1e는 본 발명의 일실시예에 따라 고융점 금속 도포 공정이 진행되는 실리콘 웨이퍼의 단면도.Figures 1a-1e are cross-sectional views of a silicon wafer undergoing a refractory metal application process in accordance with one embodiment of the present invention.

도 2a는 본 발명의 일실시예에서 사용되는 가스 분배 상자 내의 부품을 도시한 도면.Figure 2a shows parts in a gas distribution box used in an embodiment of the invention;

도 2b는 가스 분배 상자 내의 부품을 베이킹(baking)하는 데에 사용되는 가열 수단과 도 2a의 가스 분배 상자를 함께 도시한 도면.Figure 2b shows the heating means used for baking the components in the gas distribution box together with the gas distribution box of Figure 2a.

도 3는 본 발명의 일실시예에 사용되는 CVD 챔버를 도시한 도면.3 illustrates a CVD chamber used in one embodiment of the present invention.

도 4는 N형 실리콘 영역, P형 실리콘 영역의 온도 대 방사율을 보여주는 그래프.4 is a graph showing the temperature versus emissivity of an N-type silicon region, a P-type silicon region.

도 5는 도포된 층의 Si/W 비 대 SiH4/WF6공정 가스비를 보여주는 그래프.Figure 5 is a graph showing the Si / W ratio for SiH 4 / WF 6 gas ratio of the process, the coated layer.

도 6은 도포 속도 대 SiH4/WF6공정 가스비를 보여주는 그래프.6 is a graph showing the application rate vs. SiH 4 / WF 6 process gas ratio.

도 7a~도 7e는 본 발명의 일실시예에 따른 블랭킷 도포 공정이 진행되는 실리콘 웨이퍼의 단면도.Figures 7a-7e are cross-sectional views of a silicon wafer undergoing a blanket application process in accordance with one embodiment of the present invention.

도 8은 본 발명의 일실시예에 따라 폴리실리콘 층과 금속층 사이에 비아를 형성하는 공정이 끝난 다음의 실리콘 웨이퍼의 단면도.8 is a cross-sectional view of a silicon wafer after a process for forming a via between a polysilicon layer and a metal layer is completed, in accordance with one embodiment of the present invention.

도 9a~도 9d는 본 발명의 일실시예에 따라 2개의 금속층 사이에 비아를 형성하는 공정이 끝난 다음의 실리콘 웨이퍼의 단면도.9A-9D are cross-sectional views of a silicon wafer after a process for forming vias between two metal layers is completed, in accordance with one embodiment of the present invention.

도 10은 비아 형성 공정을 수행하는 본 발명의 일실시예에 따른 구조물을 도시한 도면.10 illustrates a structure according to an embodiment of the present invention for performing a via formation process;

도 11은 스퍼터링 식각 가스를 위한 가스 분배망을 보여주는 도면.11 shows a gas distribution network for a sputter etching gas.

도 12와 도 13은 본 발명의 일실시예에 사용되는 가스 확산 수단의 사시도.Figures 12 and 13 are perspective views of gas diffusion means used in an embodiment of the present invention.

* 도면의 주요 부분에 대한 부호의 설명 *Description of the Related Art [0002]

1 : 실리콘 웨이퍼1: Silicon wafer

2 : N형 영역2: N-type region

3 : P형 영역3: P type region

4 : 산화층4: oxide layer

120 : 고융점 금속120: High melting point metal

122 ; 방사선122; radiation

124 ; 기판124; Board

본 발명은 고융점 금속을 실리콘 표면에 도포하는 공정 챔버에 공급되는 공정 가스를 제어하고 정화하는 장치에 관한 것이다. 본 발명의 일실시예에 따른 장치에는 다음과 같은 구성 요소가 포함된다. (1) 하나 이상의 공정 가스원에 연결된 하나 이상의 입력 포트. (2) 상기 하나 이상의 입력 포트 아래쪽에(가스 흐름을 기준으로 뒤쪽에) 연결되며, 상기 하나 이상의 입력 포트를 가스 라인의 해당 첫 번째 단에 연결시키는 제1 밸브와. (3) 상기 제1 밸브의 아래쪽에 연결되어 있으며 상기 가스 라인의 해당 첫 번째 단을 상기 가스 라인의 두 번째 단에 연결시키는 제2 밸브. (4) 상기 제2 밸브와 CVD 챔버 사이에 연결된 제1 여과기. (5) 각각의 제1 밸브의 아래쪽에 연결되어 있으며, 상기 가스 라인을 진공원에 연결시켜 가스가 상기 CVD 챔버로는 들어가지 않으면서 원하는 유속으로 상기 가스 라인을 통과해 흐르도록 만드는 제3 밸브. (6) 상기 가스 라인을 정화 가스원에 연결시켜 상기 가스 라인이 불활성 가스에 의해 정화되도록 하는 제4 밸브.The present invention relates to an apparatus for controlling and purifying process gases supplied to a process chamber for applying a refractory metal to a silicon surface. An apparatus according to an embodiment of the present invention includes the following components. (1) One or more input ports connected to one or more process gas sources. (2) a first valve connected below the one or more input ports (backward relative to the gas flow) and connecting the one or more input ports to a corresponding first end of the gas line; (3) a second valve connected below the first valve and connecting a corresponding first end of the gas line to a second end of the gas line. (4) A first strainer connected between the second valve and the CVD chamber. (5), connected to the bottom of each first valve, for connecting the gas line to a vacuum source to allow the gas to flow through the gas line at a desired flow rate without entering the CVD chamber . (6) A fourth valve connecting the gas line to a purge gas source such that the gas line is purified by an inert gas.

본 발명은 또한 상기 장치에 의해 수행되는 가스 제어 방법도 제공한다.The present invention also provides a gas control method performed by the apparatus.

본 발명은 마이크로 전자 회로의 제조에 사용되는 실리콘 표면에 고융점 금속을 도포하는 공정에 관한 것이다. 본 발명의 일실시예에서, 상기 도포 공정은 제어 가능한 선택적 도포 공정이다.The present invention relates to a process for applying a refractory metal to a silicon surface used in the fabrication of microelectronic circuits. In one embodiment of the present invention, the application process is a controllable selective application process.

앞에서 설명한 여러 문제들을 피하기 위하여, 고융점 금속을 도포하는 데에는 저온벽 방사 가열식 화학적 기상 증착(CVD) 시스템을 사용한다. 이 시스템에서 각각의 웨이퍼는 예컨대 광대역 광원에 의해 가열된다. 따라서, 웨이퍼에 고융점 금속을 도포하여 실리콘 기판과 알루미늄 층 사이에 장벽층을 형성하는 것은 반응 가스(예컨대, WF6)와 고온의 챔버 벽과의 반응에 의해 제한되지 않는다.In order to avoid the various problems described above, a low temperature wall radiation heated chemical vapor deposition (CVD) system is used to apply the high melting point metal. In this system, each wafer is heated, for example, by a broadband light source. Therefore, the formation of a barrier layer between the silicon substrate and the aluminum layer by applying a refractory metal to the wafer is not limited by the reaction of the reactive gas (e.g., WF 6 ) with the high temperature chamber walls.

본 발명의 일실시예에서는, 방사 가열을 사용했을 때 N형 실리콘 영역과 P형 실리콘 영역의 방사율의 차이로 인한 도포 속도의 차이를 피하기 위하여, N형 실리콘 영역과 P형 실리콘 영역의 온도가 약 100 ℃가 되기 전에, 고융점 금속으로 이루어진 광학적으로 불투명한 층(예컨대, 100~500 Å 이상의 층)을 N형 실리콘 영역과 P형 실리콘 영역에 먼저 형성한다. 100 ℃ 이상에서는 N형 영역과 P형 영역의 방사율이 크게 달라지기 때문에, 상기 불투명층은 100 ℃ 이하에서 형성되는 것이 바람직하다. 일단 불투명 고융점 금속층이 만들어지고 나면, 높은 공정 온도에서 N형 영역과 P형 영역의 방사율은 거의 고융점 금속의 방사율로 되고, 따라서 N형 영역과 P형 영역에 대해 거의 동일한 도포 속도를 실현할 수 있다.In one embodiment of the present invention, in order to avoid the difference in the application speed due to the difference in the emissivities of the N-type silicon region and the P-type silicon region when radiant heating is used, the temperature of the N-type silicon region and the P- An optically opaque layer of a high melting point metal (e.g., a layer of 100-500 Angstroms or more) is first formed in the N-type silicon region and the P-type silicon region before reaching 100 [deg.] C. Since the emissivities of the N-type region and the P-type region largely vary at 100 캜 or higher, it is preferable that the opacifying layer is formed at 100 캜 or lower. Once the opaque refractory metal layer is formed, the emissivity of the N-type region and the P-type region at the high process temperature is almost the emissivity of the refractory metal, and therefore, have.

또한, 상기 실시예에서, 도포 온도가 더 높아지면(예컨대, 200~300 ℃), 고융점 금속 가스(예컨대, WF6)를 환원시키기 위해 CVD 챔버로 유입되는 환원 가스(예컨대, SiH4)의 양을 시간에 따라 증가시킴으로써, 발열성 환원 반응에 의해 접촉 영역의 온도가 올라가고 도포된 고융점 금속의 두께가 증가하기 때문에, CVD 챔버에 더 많은 환원 가스를 사용할 수 있게 되어 고융점 금속의 도포 속도가 증가한다.Further, in the above embodiment, a reducing gas (for example, SiH 4 ) introduced into the CVD chamber for reducing a high melting point metal gas (for example, WF 6 ) when the coating temperature becomes higher (for example, By increasing the amount over time, the temperature of the contact region is increased by the exothermic reduction reaction and the thickness of the applied high melting point metal is increased, so that more reducing gas can be used in the CVD chamber, .

실리사이드 장벽층을 형성하기 위한 본 발명에 따른 공정에는 다음과 같은 여러 단계들이 사용된다. (1) 접촉부가 형성될 실리콘 영역의 유기성 오염물과 금속성 오염물을 제거하기 위해 실리콘 웨이퍼의 노출된 표면을 세척하는 단계. (2) 접촉부가 형성될 실리콘 영역에 산화물을 제거하기 위해 웨이퍼의 표면을 세척하는 단계. (3) 고융점 금속이 빠르고 예측 가능한 방식으로 핵형성되도록 하기 위해 실리콘 결정 격자 구조에 미치는 손상을 제거하는 단계. (4) 고순도의 공정 가스와 정확한 유속을 보장하도록 가스 라인과 공정 챔버를 정화하는 단계. (5) 거의 상온(예컨대, 20~30 ℃)에서 실리콘 표면을 고융점 금속 가스로 포화시킴으로써, 나중의 고융점 금속 도포에서 촉매의 역할을 하는 초기 고융점 금속층을 실리콘 표면에 형성하고 실리콘 표면과 나중에 형성되는 장벽층 사이에 강한 결합이 이루어지게 하는 포화 단계. (6) 실리콘의 노출된 영역의 온도가 약 100 ℃에 도달하기 전에, 상기 CVD 챔버에 공정 가스를 주입하면서, 상기 노출된 실리콘 영역에 고융점 금속 불투명층을 형성하기에 충분히 느린 속도로 상기 웨이퍼의 온도를 올리는 불투명층 형성 단계. (7) 환원 가스의 공급량을 늘리면서 비교적 일정한 도포 온도로 웨이퍼를 가열함으로써, 발열성 환원 반응에 의해 도포된 고융점 금속층의 온도가 계속 증가함에 따라 고융점 금속의 도포 속도를 증가시키는 가열 단계. (8) 약 650 ℃ 이상의 온도에서 웨이퍼를 어닐링(annealing)하여, 고융점 금속과 실리콘의 경계면이 실리사이드화되고 도포된 고융점 금속 내부에서 실리콘 원자가 반응하도록 하여 실리사이드를 형성함으로써 접촉 저항을 낮추는 어닐링 단계. (9) CVD 챔버에서 웨이퍼를 꺼내기 전에 웨이퍼를 냉각시키는 단계.The following steps are used in the process according to the present invention for forming the silicide barrier layer. (1) cleaning the exposed surface of the silicon wafer to remove organic contaminants and metallic contaminants in the silicon region where the contacts will be formed. (2) cleaning the surface of the wafer to remove oxide in the silicon region where the contact is to be formed. (3) removing damage to the silicon crystal lattice structure to allow the refractory metal to nucleate in a fast and predictable manner. (4) purifying the gas line and process chamber to ensure a high purity process gas and a precise flow rate. (5) By saturating the silicon surface with a high melting point metal gas at about room temperature (for example, 20 to 30 ° C), an initial high melting point metal layer serving as a catalyst in the later application of a high melting point metal is formed on the silicon surface, A saturating step which causes a strong bond between later formed barrier layers. (6) before the temperature of the exposed region of the silicon reaches about 100 DEG C, injecting the process gas into the CVD chamber, and at a rate sufficiently slow to form a refractory metal opaque layer in the exposed silicon region, The opaque layer forming step of raising the temperature of the opaque layer. (7) A heating step of heating the wafer at a relatively constant application temperature while increasing the supply amount of the reducing gas, thereby increasing the application speed of the refractory metal as the temperature of the refractory metal layer applied by the exothermic reduction reaction continues to increase. (8) annealing the wafer at a temperature of about 650 캜 or higher to lower the contact resistance by causing the silicon atoms to react within the applied high-melting-point metal by silicidizing the interface between the refractory metal and silicon, thereby forming a silicide . (9) cooling the wafer before removing the wafer from the CVD chamber.

위에서 요약한 본 발명에 따른 신규한 공정의 실시예에서는 실리콘계 환원 가스(예컨대, SiH4)를 위 단계 (7)에서 사용하여 고융점 금속 가스(예컨대, WF6)를 환원시킴으로써, 실리콘 원자를 상기 고융점 금속과 함께 도포한다. 따라서, 고융점 금속과 실리콘을 단계 (8)에서 어닐링할 때, 실리콘 기판은 많이 소모되지 않는다.In an embodiment of the novel process according to the present invention summarized above, a silicon based reducing gas (e.g., SiH 4 ) is used in step 7 above to reduce the high melting point metal gas (e.g., WF 6 ) It is applied together with the high melting point metal. Thus, when annealing the refractory metal and silicon in step (8), the silicon substrate is not much consumed.

고속의 도포 속도와 N형 영역과 P형 영역에서의 동일한 도포 등과 같은 특징에 이외에, 위에서 설명한 공정의 신규한 기술적 특징으로는, 콘덕턴스가 높은 진공 시스템을 사용하여 불순물이 챔버 내에 머무는 시간이 제한된다는 것과, 확산 공정 가스가 CVD 챔버로 들어갈 때 CVD 챔버 내에 새로운 확산 수단을 사용한다는 것과, 개선된 가스 분배 및 여과 시스템을 사용한다는 것이다.In addition to features such as high application rates and the same application in the N-type and P-type regions, the novel technical features of the process described above include the use of a vacuum system with high conductance to limit the amount of time the impurities remain in the chamber And that new diffusion means are used in the CVD chamber when the diffusion process gas enters the CVD chamber and that an improved gas distribution and filtration system is used.

추가되는 새로운 공정 단계로는, 공정 부산물이 챔버의 벽에 응축되는 것을 피하기 위하여 CVD 챔버를 인-시튜(in-situ) NF3세척하는 동안 약 65 ℃ 이상으로 CVD 챔버를 가열함으로써, 여러 번의 고융점 금속 도포 공정이 완료된 후 CVD 챔버를 세척하는 단계가 있다.The new process steps that are added include heating the CVD chamber to about 65 ° C or more during in-situ NF 3 cleaning of the CVD chamber to avoid process byproducts being condensed on the wall of the chamber, There is a step of cleaning the CVD chamber after the melting point metal application process is completed.

위에서 요약한 도포 공정을 조금 변형하면, 고융점 금속의 블랭킷(blanket) 도포에 위의 공정을 사용할 수 있고, 2개의 전도층 사이에 비아(via)로 사용되는 고융점 금속을 도포하는 데에 위의 공정을 사용할 수 있다. 본 발명은 비아를 형성하는 신규한 장치도 제공하며, 이것에 의해 스퍼터링 식각단으로부터 CVD 챔버쪽으로 저압 분위기에서 웨이퍼를 이송하는 것이 가능하게 된다.A slight modification of the application process outlined above allows the above process to be used for the application of blanket of high melting point metal and the application of the high melting point metal used as a via between the two conductive layers Can be used. The present invention also provides a novel apparatus for forming vias, which makes it possible to transfer wafers from a sputter etch stage to a CVD chamber in a low pressure atmosphere.

이하, 도면을 참조로 본 발명을 상세하게 설명한다.Hereinafter, the present invention will be described in detail with reference to the drawings.

A. 선택적 도포 공정A. Selective application process

위에서 설명한 장점들을 유지하면서, 금속화층과 실리콘 사이에 개선된 전기 접촉부를 만들기 위해 실리콘의 노출된 표면에 고융점 금속을 선택적으로 도포하기 위해서는 아래에 설명되는 공정을 사용하는 것이 바람직하다.It is desirable to use the process described below to selectively apply the refractory metal to the exposed surface of the silicon to create improved electrical contact between the metallization layer and the silicon while retaining the advantages described above.

A(1). 노출된 실리콘 표면에서 오염물 제거A (1). Remove contaminants from exposed silicon surfaces

노출된 실리콘 표면에 장벽층을 선택적으로 형성하는 공정의 단계 1은 웨이퍼를 약 5분 동안 120 ℃의 과산화 황산 반응조(sulfuric peroxide acid bath)에 넣어서 상기 노출된 기판 표면의 유기성 및 금속성 오염물을 제거하는 것이다. 이 단계에서는 오염물을 제거하기 위한 종래의 기법들을 사용할 수도 있다. 만약 노출된 표면의 오염이 대수롭지 않은 것으로 여겨질 때에는 이 단계를 생략할 수도 있다.Step 1 of the step of selectively forming a barrier layer on the exposed silicon surface includes placing the wafer in a sulfuric peroxide acid bath at 120 DEG C for about 5 minutes to remove organic and metallic contaminants on the exposed substrate surface will be. Conventional techniques for removing contaminants may be used at this stage. This step may be omitted if the contamination of the exposed surface is considered to be minor.

A(2). 노출된 실리콘 표면에서 산화물 제거A (2). Remove oxide from exposed silicon surface

단계 2에서는, 예컨대 5부(part)의 불화암모늄, 1부의 불화수소산 및 8부의 물을 포함하는 완충 산화 식각제로 웨이퍼를 상온에서 약 6초 동안 처리한다. 이 단계에 의해, 상기 노출된 기판 표면에서 자연 산화물과 기타 산화물이 제거된다. 노출된 표면에 산화물이 거의 없다고 생각되면 이 단계를 생략할 수도 있다. 이 단계를 수행하는 데에는 종래 공지된 여러 기법들을 사용할 수 있다. 이러한 산화물 제거 단계에서는 패턴화된 산화물의 표면 일부도 제거되지만, 상기 패턴 산화물은 상당히 두껍기 때문에 이러한 두께의 감소는 무시할 수 있다.In step 2, the wafer is treated at room temperature for about 6 seconds with a buffer oxidation etchant comprising, for example, 5 parts ammonium fluoride, 1 part hydrofluoric acid and 8 parts water. This step removes native oxides and other oxides from the exposed substrate surface. This step may be omitted if you think there is little oxide on the exposed surface. Various techniques known in the art can be used to perform this step. In this oxide removal step, a part of the surface of the patterned oxide is also removed, but the reduction in thickness is negligible because the pattern oxide is considerably thick.

A(3). 결정 구조에 미치는 손상의 제거A (3). Elimination of damage to crystal structure

단계 3에서는, 노출된 실리콘 표면이 이온 주입이나 식각 공정에 의해 손상된 것을 종래의 삼불화질소(NF3) 실리콘 식각에 의해 또는 기타 이 단계를 수행하기에 적합한 종래 공지된 여러 기법에 의해 제거한다. NF3식각제를 사용하면 결정 구조에 대한 손상이 발생한 실리콘의 최상부층이 사실상 제거된다. 고융점 금속이 실리콘 표면과 빠른 속도로 그리고 예측 가능하게 핵형성하도록 하려면, 이러한 실리콘 결정 격자 손상을 제거하는 것이 바람직하다. 결정 격자 구조의 손상이 대수롭지 않은 경우에는 이 단계를 생략할 수도 있다.In step 3, the exposed silicon surface is damaged by an ion implantation or etching process by conventional nitrogen trifluoride (NF 3 ) silicon etching or by other conventionally known techniques suitable for carrying out this step. The use of NF 3 etchants virtually eliminates the top layer of silicon where damage to the crystal structure occurs. It is desirable to eliminate such silicon crystal lattice damage in order for the high melting point metal to nucleate rapidly and predictably with the silicon surface. If the damage to the crystal lattice structure is not negligible, this step may be omitted.

손상을 제거하기 위하여 실리콘을 식각하는 대신에, 고속 어닐링을 하여 실리콘 원자를 재배열하고 결정 격자 구조를 수정하는 것도 가능하다. 이러한 어닐링 단계는 복구하고자 하는 손상의 종류나 양에 따라서 10~60초 동안 약 600~850 ℃ 사이에서 행하는 것이 좋다.Instead of etching the silicon to remove the damage, it is also possible to rearrange the silicon atoms and modify the crystal lattice structure by rapid annealing. The annealing step is preferably performed at a temperature of about 600 to 850 DEG C for 10 to 60 seconds depending on the type and amount of damage to be recovered.

단계 1~단계 3을 거친 웨이퍼를 도 1a에 예시적으로 나타낸다. 도 1a의 실리콘 웨이퍼(1)에는 노출된 N형 영역(2)과 노출된 P형 영역(3) 및 패턴화된 산화층(4)이 있다. 도 3에 도시되어 있고 미국 특허 제4,796,562호(본 명세서의 일부로 포함됨)에 설명된 바와 같은 CVD 챔버에 웨이퍼를 놓는다. 도 3의 CVD 챔버에 대해서는 이하에서 설명한다.A wafer having undergone steps 1 to 3 is shown in FIG. 1A as an example. The silicon wafer 1 of FIG. 1A has an exposed N-type region 2, an exposed P-type region 3, and a patterned oxide layer 4. The wafer is placed in a CVD chamber as shown in FIG. 3 and as described in U.S. Patent No. 4,796,562 (incorporated herein by reference). The CVD chamber of Fig. 3 is described below.

A(4). 고순도를 위해 가스 라인을 정화함.A (4). Purify gas lines for high purity.

단계 4에서는, 가스의 순도와 안정성을 보장하기 위하여, 웨이퍼(1)가 들어 있는 CVD 공정 챔버로 가스를 흘리기 전에, 우회 소비(bypass-to-waste) 진공 라인을 공정 가스가 7초 이상 통과하도록 하여 가스를 정화한다. 가스의 순도를 최대로 하기 위해서, 단계 4를 각각의 도포 공정이 끝날 때마다 실시한다. 그러나, 허용 가능한 가스의 순도가 어느 정도이고 원하는 유속의 정확도가 어느 정도인가에 따라서 이 단계를 생략할 수도 있다.In step 4, the process gas is passed through the bypass-to-waste vacuum line for at least 7 seconds before gas is supplied to the CVD process chamber containing the wafer 1 to ensure gas purity and stability. Thereby purifying the gas. In order to maximize the purity of the gas, Step 4 is carried out at the end of each application step. However, this step may be omitted depending on the degree of purity of the allowable gas and the accuracy of the desired flow rate.

도 2a는 본 발명에 따른 CVD 시스템에 사용되는 가스 흘림(routing), 여과, 정화용 배관망(5)을 개략적으로 보여준다. 이 실시예에서, 고순도(예컨대, 99.999% 이상의 순도)의 공정 가스 SiH4, H2, Ar 및 WF6이 배관망(5)의 입력 포트(6, 7, 8, 9)에 각각 공급된다. CVD 챔버 식각제 가스 SF6과 NF3은 각각 입력 포트(10, 11)에 공급된다. 고순도를 유지하기 위해, 모든 가스 라인을 전해 연마된 스테인레스 스틸로 사용한다.Figure 2a schematically shows a piping network 5 for gas routing, filtration, and purification for use in the CVD system according to the present invention. In this embodiment, process gases SiH 4 , H 2 , Ar and WF 6 of high purity (for example, purity of 99.999% or more) are supplied to the input ports 6, 7, 8 and 9 of the pipe network 5, respectively. CVD chamber etchant gases SF 6 and NF 3 is supplied to the input ports 10 and 11, respectively. To maintain high purity, all gas lines are used in electrolytically polished stainless steel.

웨이퍼 로트(lot)의 처리 사이의 대기 모드 동안, 즉 도포 시스템이 4 시간 이상 사용되지 않는 동안에는, 가스 밸브(12~15, 22, 24, 26, 27, 40, 41, 47, 48)를 닫아서 가스 라인(25, 30, 46, 51)을 차단한다. 식각제 가스 밸브(16, 17, 34, 38)와 N2밸브(32)는 닫아 두는데, 그 이유는 이 가스 라인들은 정화할 필요가 없기 때문이다. 또한, 질소 역충전 밸브(55, 56)는, 이러한 역충전은 유독 가스 및/또는 자연 발화성 가스를 희석시키는 데에만 사용되고 유지 보수를 하는 동안 진단 목적으로 사용되기 때문에, 닫아둔다. 모든 다른 밸브를 열어서 공정 가스 라인을 N2로 가압하여 오염물이 가스 라인에 들어가지 못하도록 한다. 좀 더 구체적으로 설명하면, 밸브(18~21, 23)를 열어서 SiH4가스 라인(25)을 N2로 가압하고, 밸브(28, 29)를 열어서 H2가스 라인(30)을 N2로 가압한다.The gas valves 12-15, 22, 24, 26, 27, 40, 41, 47, 48 are closed during the idle mode between the processing of the wafer lot, The gas lines 25, 30, 46, and 51 are shut off. Etchant gas valves 16, 17, 34, 38 and N 2 valve 32 are closed because these gas lines do not need to be cleaned. In addition, the nitrogen backfill valves 55, 56 are closed because such backfilling is used only to dilute toxic and / or pyrophoric gases and is used for diagnostic purposes during maintenance. All other valves are opened to pressurize the process gas line to N 2 to prevent contaminants from entering the gas line. More specifically, the valves 18 to 21 and 23 are opened to pressurize the SiH 4 gas line 25 to N 2 and open the valves 28 and 29 to open the H 2 gas line 30 to N 2 Pressure.

밸브(31, 42~45)를 열어서 N2에 의해 Ar 가스 라인(46)이 가압되도록 한다. 밸브(49, 50)를 열어서 WF6가스 라인(51)을 N2로 가압한다.The valves 31 and 42 to 45 are opened to allow the Ar gas line 46 to be pressurized by N 2 . Valves 49 and 50 are opened to pressurize WF 6 gas line 51 to N 2 .

가스 라인(52, 53, 54)은 CVD 챔버로 들어가는 가스 라인에 연결되어 있고, 위에서 설명한 것처럼 밸브를 적절하게 닫으면 배관망(5)과 분리된다.The gas lines 52, 53 and 54 are connected to a gas line which enters the CVD chamber and are separated from the pipe network 5 when the valves are properly closed as described above.

진공 라인(57, 58)에 연결된 진공 시스템은 상기 대기 모드 동안 약 1 ℓ/분의 속도로 여러 공정 가스 라인을 통해 N2를 빨아 당긴다. 여러 개의 질량 흐름 제어기(MFC; 76, 77, 80, 81)는 예컨대, 일본의 STEC Corporation에서 판매하는 STEC 모델명 3400SL-SP-UC인 베이커 가능한 MFC와 같은 고우회형(high bypass) 제어기이다. 도면의 점선 내부에 있는 밸브들은 단일 블록으로 설계된 고정밀 밸브로서, 예컨대 일본의 Motoyama Corporation에서 판매되는 Motoyama 모델명 MVILM00BB 밸브이다.The vacuum system connected to the vacuum lines 57, 58 draws N 2 through the various process gas lines at a rate of about 1 l / min during the standby mode. A plurality of mass flow controllers (MFCs) 76, 77, 80 and 81 are high bypass controllers such as, for example, a baker-capable MFC, STEC model name 3400SL-SP-UC sold by STEC Corporation of Japan. The valves inside the dotted lines in the figure are high-precision valves designed in a single block, for example the Motoyama model MVILM00BB valve sold by Motoyama Corporation of Japan.

웨이퍼를 CVD 챔버에 놓은 다음에 SiH4가스 라인(25)과 H2가스 라인(30)을 정화하기 위해 단계 4를 수행하려면, 밸브(19, 20, 28)를 닫아서 N2가 가스 라인(25, 30)에 공급되는 것을 차단해야 한다.To perform step 4 to clean the SiH 4 gas line 25 and the H 2 gas line 30 after placing the wafer in the CVD chamber, the valves 19, 20 and 28 are closed so that N 2 is removed from the gas line 25 , 30).

그 다음에, 밸브(12, 13, 22, 26)를 열어서 SiH4가스와 H2가스가 라인(25, 30) 및 진공 라인(57)을 통해 흐르도록 만든다. SiH4가스와 H2가스의 유속은 진공 라인(57)에 연결된 펌프(도시 아니함)에 의해 생기는 압력과 MFC(76, 77)의 열림 정도에 따라 결정된다. 이러한 정화 단계 동안에 모든 가스의 유속이 고융점 금속 도포 공정 동안 사용되는 유속과 동일하게 하여, 가스들이 가스 라인(52)으로 들어가게 될 때 공정 가스가 갑자기 밀려들지 않도록 하는 것이 바람직하다.Then, the valve (12, 13, 22, 26), the opening makes the SiH 4 gas and H 2 gas to flow through line (25, 30) and a vacuum line (57). The flow rates of the SiH 4 gas and the H 2 gas are determined by the pressure generated by the pump (not shown) connected to the vacuum line 57 and the degree of opening of the MFCs 76 and 77. During this purge step, it is desirable to ensure that the flow rate of all gases is the same as the flow rate used during the refractory metal application process, so that the process gas is not suddenly pushed as the gases enter the gas line 52.

Ar 가스 라인(46)과 WF6가스 라인(51)을 정화하기 위해, 밸브(42, 44, 49)를 닫아서 N2가 가스 라인(46, 51)에 공급되지 못하도록 한다.To clean the Ar gas line 46 and the WF 6 gas line 51, the valves 42, 44 and 49 are closed to prevent N 2 from being supplied to the gas lines 46 and 51.

그 다음에, 밸브(14, 15, 40, 47)를 열어서 Ar 가스와 WF6가스가 라인(46, 51) 및 진공 라인(58)을 통해 흐르도록 만든다. Ar 가스와 WF6가스의 유속은 진공 라인(58)에 연결된 펌프(도시 아니함)에 의해 생긴 압력과 MFC(80, 81)의 열림 정도에 의해 결정되며, 상기 유속은 고융점 금속 도포 공정 동안에 사용되는 유속과 동일하도록 설정된다.Next, the valves 14, 15, 40, 47 are opened to cause Ar gas and WF 6 gas to flow through the lines 46, 51 and the vacuum line 58. The flow rate of the Ar gas and WF 6 gas is determined by the pressure created by the pump (not shown) connected to the vacuum line 58 and the degree of opening of the MFCs 80 and 81 and the flow rate used during the high melting point metal application process Is set to be equal to the flow rate.

이러한 가스 라인의 정화는 최소한 7초 이상은 지속되어야 고순도와 유속의 안정성을 보장할 수 있다.The purification of these gas lines must be continued for at least 7 seconds to ensure the stability of high purity and flow rate.

진공 라인(57, 58), 공정 가스 라인(25, 30, 46, 51) 및 CVD 가스 라인(52, 54) 사이에 연결된 밸브(23, 24, 27, 29, 41, 43, 48, 50)를 조절함으로써 공정 가스가 가스 라인(52, 54)을 통해 CVD 공정 챔버로 들어가도록 한다.Valves 23, 24, 27, 29, 41, 43, 48, 50 connected between the vacuum lines 57, 58, the process gas lines 25, 30, 46, 51 and the CVD gas lines 52, To allow the process gases to enter the CVD process chamber through the gas lines 52, 54.

입력 포트(6)로 들어오는 SiH4환원 가스와, 입력 포트(7)로 들어오는 H2가스 및 입력 포트(8)로 들어오는 Ar 가스는 유기 금속화물을 함유한 적절한 Nanochem여과기(60, 61, 62)에 의해 각각 여과된 다음, 종래의 다공성 가스 여과기(70, 71, 72)에 의해 여과된다. 상기 Nanochem여과기는 미국 캘리포니아주 San Jose에 소재하는 Semi-Gas Inc.에서 판매되는 여과기이다. Ar 가스는 게터링(gattering) 물질 Zr-V-Fe를 함유하는 Saes-Purifier여과기(73) 모델명 St707에 의해 추가로 여과된다. 식각제 가스 SF6과 NF3은 종래의 다공성 여과기(74, 75)에 의해 각각 여과된다. 라인(25, 30, 35, 39, 46, 51)의 가스 흐름은 각각 질량 흐름 제어기(MFC; 76~81)에 의해 제어되고 측정된다.The SiH 4 reducing gas entering the input port 6 and the H 2 gas entering the input port 7 and the Ar gas entering the input port 8 are introduced into suitable Nanochem filters 60, Respectively, and then filtered by a conventional porous gas filter 70, 71, 72. The Nanochem filter is a filter available from Semi-Gas Inc., San Jose, CA, USA. The Ar gas is further filtered by a Saes-Purifier ( TM ) filter 73 model name St707 containing a gattering material Zr-V-Fe. Etchant gases SF 6 and NF 3 are respectively filtered by conventional porous filters 74 and 75. The gas flow in the lines 25, 30, 35, 39, 46, 51 is controlled and measured by a mass flow controller (MFC) 76-81, respectively.

이슬점 센서(도시 아니함)를 진공 라인(57, 58)과 N2라인(84, 85)에 설치하여, 이 라인에 있는 H2O 증기의 양이 소정의 레벨 이하인지 검출한다.A dew point sensor (not shown) is installed in the vacuum lines 57, 58 and the N 2 lines 84, 85 to detect whether the amount of H 2 O vapor in this line is below a predetermined level.

CVD 시스템의 일부로 사용하기 전에, 가스 흐름 및 여과 배관망(5)을 약 125 ℃에서 약 37 시간동안 베이크하여 가스 라인에 있는 수증기를 말려 없앤다. 이렇게 하기 위해 배관망(5) 내부에는 도 2b에 나타낸 것처럼 저항성 가열 소자(87)가 들어 있다. 배관망(5)을 구성하는 부품(88)은 알루미늄 기판(89) 위에 장착되고, 저항성 가열 소자(87)는 상기 기판(89)의 다른 면에 장착되어 기판을 가열하고 부품(88)을 베이크한다. 잔류 가스 분석기는 가스 라인에 들어있는 불순물을 검출하는 데에 사용된다.Before being used as part of the CVD system, the gas flow and filtration tubing 5 is baked at about 125 占 폚 for about 37 hours to curb the water vapor in the gas line. In order to do so, a resistive heating element 87 is contained in the pipe network 5 as shown in FIG. 2B. The component 88 constituting the pipe network 5 is mounted on the aluminum substrate 89 and the resistive heating element 87 is mounted on the other surface of the substrate 89 to heat the substrate and bake the component 88 . The residual gas analyzer is used to detect impurities in the gas line.

웨이퍼가 처리되지 않는 동안, 즉 도포 시스템이 잠깐 동안의 대기 모드에 있는 동안에는, 공정 가스 라인(25, 30, 46, 51)에 있는 모든 가스를 진공 라인(57, 58)을 통해 제거하여 열적 사이퍼닝(siphoning) 및/또는 부식을 방지한다. 이것은 밸브(22, 24, 26, 27, 40, 41, 47, 48)는 닫고, 밸브(23, 29, 43, 50)는 열어서 가스 라인(25, 30, 46, 51)과 진공 라인(57, 58)이 연결되도록 함으로써 달성된다.All gases in the process gas lines 25, 30, 46, 51 are removed through the vacuum lines 57, 58 while the wafer is not being processed, i.e. the application system is in the idle mode for a while, Preventing siphoning and / or corrosion. This opens the valves 22, 24, 26, 27, 40, 41, 47 and 48 and opens the valves 23, 29, 43 and 50 to open the gas lines 25, 30, 46, 51 and the vacuum line 57 , 58) are connected.

가스 SiH4, H2, Ar, WF6의 기능(상세한 기능은 이하에 설명됨)을 수행하기 위한 다른 공지된 공정 가스를 본 명세서에 설명된 공정에 사용되는 가스와 대체할 수도 있다.Other known process gases for performing the functions of the gas SiH 4 , H 2 , Ar, WF 6 (detailed functions are described below) may be substituted for the gases used in the processes described herein.

A(5). 노출된 실리콘 표면을 고융점 금속계 가스로 포화시킴.A (5). The exposed silicon surface is saturated with a refractory metal-based gas.

단계 5에서는 도 1b에 도시한 것처럼, 웨이퍼가 들어 있는 CVD 챔버에 고융점 금속계 가스가 주입되도록 하여, 환원 가스가 챔버로 들어가기 전에 상온(예컨대, 30 ℃ 이하)에서 노출된 실리콘 영역(2, 3)을 포화시킨다. 본 발명의 일실시예에서는, WF6을 사용하여 실리콘 기판 표면을 포화시킨다. 그러나, 실리콘에 의해 환원되어 고융점 금속층을 도포할 수 있는 기존의 공지된 고융점 금속계 가스를 사용하는 것도 가능하다. 이러한 포화 단계에 의하면, WF6이 노출된 실리콘에 의해 환원되어 상기 노출된 실리콘 영역(2, 3) 위에 약간의 텅스텐(90) 단층(monolayer)이 형성된다. WF6이 노출 실리콘에 의해 환원되는 것은 다음 반응식 1을 따른다.In step 5, as shown in FIG. 1B, a high-melting-point metal-based gas is injected into the CVD chamber containing the wafers so that the silicon regions 2 and 3 exposed at room temperature (for example, 30 DEG C or lower) ). In one embodiment of the present invention, WF 6 is used to saturate the silicon substrate surface. However, it is also possible to use a conventionally known high-melting-point metal-based gas capable of being reduced by silicon and coating the refractory metal layer. With this saturation step, WF 6 is reduced by the exposed silicon to form some tungsten (90) monolayer on the exposed silicon regions 2, 3. The reduction of WF 6 by the exposed silicon follows the equation 1.

2WF6+ 3Si → 2W + 3SiF4 2WF 6 + 3Si? 2W + 3SiF 4

위 반응식 1에서 보는 것처럼, WF6에 있던 불소 원자가 제거되어 휘발성 반응 부산물 SiF4로 나타나고, 텅스텐이 실리콘 표면에 도포된다. 상온 조건에서는, 노출된 실리콘 전 표면을 덮을 수 있는 고융점 금속층을 형성할 정도로 충분하게 Si 표면이 WF6과 반응하지 못할 수도 있다. 이러한 텅스텐 베이스 층은 상기 노출된 실리콘과의 결합력이 강하기 때문에, 그 다음에 형성되는 장벽층과 실리콘 기판의 결합력이 강화된다. 또한, 이 베이스 층은 실리콘에만 고융점 금속을 도포하고 SiO2절연층에는 고융점 금속을 도포하지 않는 선택적 도포가 촉진될 수 있도록 텅스텐을 추가로 도포할 때 촉매의 역할도 한다. SiO2는 상온에서 WF6을 거의 환원시키지 않기 때문에, 텅스텐 층은 SiO2위에는 형성되지 않는다.As shown in Reaction Scheme 1 above, the fluorine atoms in WF 6 are removed and appear as volatile reaction by-product SiF 4 , and tungsten is applied to the silicon surface. Under normal temperature conditions, the Si surface may not react sufficiently with WF 6 to such an extent that it forms a refractory metal layer that can cover the entire exposed silicon surface. Since the tungsten base layer has a strong bonding force with the exposed silicon, the bonding strength between the barrier layer and the silicon substrate formed next is enhanced. The base layer also serves as a catalyst when the tungsten is additionally applied so that selective coating of the refractory metal is applied only to the silicon and the SiO 2 insulating layer is not coated with the refractory metal. Since SiO 2 hardly reduces WF 6 at room temperature, the tungsten layer is not formed on SiO 2 .

본 발명의 실시예에서, 단계 5는 도 2의 공정 가스 밸브(27, 41, 48)를 조절하여 H2, Ar, WF6가스가 CVD 챔버로 들어가도록 함으로써 수행된다.In an embodiment of the present invention, step 5 is performed by adjusting the process gas valves 27, 41, 48 of FIG. 2 to allow H 2 , Ar, and WF 6 gases to enter the CVD chamber.

아래의 표 1은 고융점 금속층을 선택적으로 도포하는 본 발명의 일실시예에 따른 공정에 사용되는 여러 단계들에 대한 공정 변수를 보여준다. 단계 5는 표 1의 변수 설정 A에 대응된다.Table 1 below shows process parameters for various steps used in the process according to an embodiment of the present invention for selectively applying a refractory metal layer. Step 5 corresponds to the variable setting A in Table 1.

변수설정Variable setting 지속 시간(초)Duration (seconds) 온도(℃)Temperature (℃) 압력(mtorr)Pressure (mtorr) 뒤쪽 챔버 가스(torr)Rear chamber gas (torr) SiH4(sccm)SiH 4 (sccm) WF6(sccm)WF 6 (sccm) H2(sccm)H 2 (sccm) Ar(sccm)Ar (sccm) AA 77 대기온도(A)(≤30℃)Air temperature (A) (≤30 ℃) 8080 0.50.5 00 4.04.0 150150 55 BB 55 A(≤30℃)A (≤ 30 DEG C) 8080 0.50.5 2.62.6 4.04.0 150150 55 CC 4545 260(A→260)260 (A? 260) 8080 0.50.5 2.62.6 4.04.0 150150 55 DD 1010 260260 8080 0.50.5 2.62.6 4.04.0 150150 55 EE 1010 260260 8080 0.50.5 2.82.8 4.04.0 150150 55 FF 1010 260260 8080 0.50.5 3.03.0 4.04.0 150150 55 GG 1010 260260 8080 0.50.5 3.23.2 4.04.0 150150 55 HH 1010 260260 8080 0.50.5 3.43.4 4.04.0 150150 55 II 55 A (260→A)A (260? A) 베이스(≤18 mtorr)Base (≤18 mtorr) 00 00 00 00 00 JJ 4545 AA 800800 1.01.0 00 00 300300 5050 KK 55 AA 베이스Base 00 00 00 00 00 LL 2020 700 (A→700)700 (A → 700) 8080 0.50.5 00 00 150150 55

위의 표 1에서 보는 것처럼, 이 단계는 WF6의 유속이 4.0 sccm(표준 입방 ㎝/분; standard cubic centimeter per minute)이고 CVD 챔버의 압력이 80 mtorr일 때 약 7 초 동안 수행된다.As shown in Table 1 above, this step is performed for about 7 seconds when the flow rate of WF 6 is 4.0 sccm (standard cubic centimeter per minute) and the CVD chamber pressure is 80 mtorr.

CVD 챔버 내에서 적절한 유속과 압력을 유지하기 위해서, 밸브(27)를 열고 H2를 150 sccm의 속도로 챔버에 주입한다. 이때의 온도가 낮기 때문에, H2는 환원 가스로서의 역할은 하지 못하고, 따라서 불활성 가스로 작용한다. 밸브(41)를 열어서 소량의 Ar 가스를 5 sccm의 유속으로 챔버에 주입한다. Ar 가스는 가스 라인(54)에서 WF6의 흐름을 촉진하는 캐리어 가스의 역할을 한다.To maintain the proper flow rate and pressure in the CVD chamber, the valve 27 is opened and H 2 is injected into the chamber at a rate of 150 sccm. Since the temperature at this time is low, H 2 does not serve as a reducing gas and therefore acts as an inert gas. The valve 41 is opened to inject a small amount of Ar gas into the chamber at a flow rate of 5 sccm. The Ar gas acts as a carrier gas to promote the flow of WF 6 in the gas line 54.

도 3은 본 발명의 실시예에 따른 공정에서 사용되는 Varian 모델 5101 CVD 시스템의 챔버 부분의 단면도이다. Varian 모델 5101 CVD 시스템은 미국 특허 제4,796,562호(본 명세서의 일부로 포함됨)에 설명되어 있다.3 is a cross-sectional view of a chamber portion of a Varian Model 5101 CVD system used in a process according to an embodiment of the present invention. The Varian Model 5101 CVD system is described in U.S. Patent No. 4,796,562, which is incorporated herein by reference.

도 3에서, 웨이퍼(1)는 웨이퍼 고정 어셈블리(100)에 의해 제위치에 고정되는데, 상기 웨이퍼 고정 어셈블리는 웨이퍼(1)를 석영 척(102; quartz chuck)에 고정시키고 반응 챔버(104)쪽을 향한 앞면을 갖는다. 고융점 금속계 가스(예컨대, WF6)는 외부 가스 주입 링(108)을 통해 챔버(104)로 주입되는데, 여기서 링(108)은 이 링(108)의 벽 일부를 형성하는 확산 수단을 통해 가스가 빠져나가도록 한다. 본 발명의 실시예에서는 신규한 확산 수단을 사용하는데, 이것은 도 11과 도 12를 참조로 이하에서 상세하게 설명할 것이다. 가스는 입구 포트(도시 아니함)를 통해 링(108)으로 들어가는데, 이 입구 포트는 도 2의 가스 라인(54)과 연결되어 있다. 도 2에서 보는 것처럼, Ar 가스는 가스 라인(54)을 통해서도 흐른다.3, the wafer 1 is held in place by a wafer holding assembly 100 which secures the wafer 1 to a quartz chuck 102 and to the reaction chamber 104 side Respectively. A high melting point metal gas (e.g., WF 6 ) is injected into the chamber 104 through an external gas injection ring 108 wherein the ring 108 is connected to a gas . Embodiments of the present invention use a novel diffusion means, which will be described in detail below with reference to FIGS. 11 and 12. FIG. Gas enters the ring 108 through an inlet port (not shown), which is connected to the gas line 54 of FIG. As shown in FIG. 2, the Ar gas also flows through the gas line 54.

내부 가스 주입 링(110)은 상기 링(108)과 유사하며 도 2의 가스 라인(52)에 연결되어서 환원 가스(예컨대, SiH4)와 H2를 챔버에 주입한다. 가스 변류기(105; gas deflector)는 가스가 반응 챔버(104)로 들어가기 전에 가스 혼합 챔버(106)에 주입된 가스를 혼합하는 것을 도와주는 역할을 한다.The inner gas injection ring 110 is similar to the ring 108 and is connected to the gas line 52 of FIG. 2 to inject reducing gas (e.g., SiH 4 ) and H 2 into the chamber. A gas deflector 105 serves to assist in mixing the gas injected into the gas mixing chamber 106 before the gas enters the reaction chamber 104.

도 3의 CVD 챔버의 다른 특징들은 해당 공정 단계를 설명할 때 함께 설명한다. 도 3의 CVD 챔버에 대한 보다 상세한 내용은 미국 특허 제4,796,562호에서 볼 수 있다.Other features of the CVD chamber of Figure 3 are described together when describing the process steps. More details on the CVD chamber of FIG. 3 can be found in U.S. Patent No. 4,796,562.

위의 표 1의 변수 설정 A에 따른 실시예에서, 뒤쪽 챔버(114)는 입구 포트(도시 아니함)를 통해 Ar 가스를 이 뒤쪽 챔버(114)에 주입함으로써 0.5 torr의 압력을 가지게 된다. 뒤쪽 챔버(114)에 위치한 압력 변환기(도시 아니함)는 뒤쪽 챔버(114)의 압력을 측정하는 데에 사용된다. 뒤쪽 챔버의 비교적 높은 압력이 반응 챔버(104)에 있는 공정 가스가 뒤쪽 챔버(114)로 들어가지 못하게 하고 상기 공정 가스가 실리콘 웨이퍼(1)의 뒷면과 핵형성하지 않도록 하기에 충분하기만 하면, 상기 압력의 정확한 값은 중요하지 않다. 그러나, 웨이퍼 가장자리 부근에서 선택 도포가 이루어지지 않을 수도 있기 때문에, 뒤쪽 챔버의 압력은 약 1.0 torr을 넘어서지 않아야 한다.In the embodiment according to the variable setting A of Table 1 above, the rear chamber 114 has a pressure of 0.5 torr by injecting Ar gas into the rear chamber 114 through the inlet port (not shown). A pressure transducer (not shown) located in the rear chamber 114 is used to measure the pressure in the rear chamber 114. As long as the relatively high pressure of the rear chamber is sufficient to prevent the process gas in the reaction chamber 104 from entering the rear chamber 114 and the process gas from nucleating with the backside of the silicon wafer 1, The exact value of the pressure is not important. However, since the selective application may not be made near the edge of the wafer, the pressure in the rear chamber should not exceed about 1.0 torr.

표 1의 변수 설정 A에 따르면, 반응 챔버의 압력은 80 mtorr로 유지된다.According to the variable setting A in Table 1, the pressure in the reaction chamber is maintained at 80 mtorr.

반응 챔버(104)에 있는 가스는 웨이퍼 고정 어셈블리(100)에 있는 구멍과 콘덕턴스가 높은 진공 포트(116)를 통해 빠져 나와서 챔버(104)에 반응 부산물이 잔류하는 시간이 10 밀리초 이하를 유지하도록 한다. 챔버(104)에 있는 가스를 빼내는 속도는 공정 가스의 유속이 원하는 속도를 유지하도록 만들며 반응 챔버 압력이 원하는 값을 유지하도록 만든다.The gas in the reaction chamber 104 exits through the hole in the wafer holding assembly 100 and the high-conductance port 116 to maintain the reaction byproducts in the chamber 104 for less than 10 milliseconds . The rate at which the gas in the chamber 104 is withdrawn is such that the flow rate of the process gas is maintained at the desired rate and the reaction chamber pressure is maintained at the desired value.

A(6). N형 영역과 P형 영역에 광학적으로 불투명한 고융점 금속층을 저온에서 형성함.A (6). Forming an optically opaque refractory metal layer at the N-type region and the P-type region at a low temperature.

단계 6에서는, 고융점 금속계 가스(예컨대, WF6)를 주입하면서 적절한 방사원을 사용하여 웨이퍼의 온도를 상온 이상으로 서서히 증가시킴으로써, 실리콘에 도포되는 고융점 금속의 도포 속도를 매우 느리게 만든다.In step 6, the application speed of the refractory metal applied to silicon is made very slow by gradually increasing the temperature of the wafer to above room temperature by using a suitable radiation source while injecting a refractory metal-based gas (e.g., WF 6 ).

웨이퍼의 온도는 서서히 증가하지만, 그 온도는 약 100 ℃ 보다 낮기 때문에, 고융점 금속계 가스는 도 1의 N형 영역(2)과 P형 영역(3)의 표면에 있는 노출 실리콘에 의해 환원되고, 단계 5에서 도포된 고융점 금속의 베이스 층을 통해 확산된 실리콘에 의해 환원된다. 100 ℃ 이하에서는 N형 실리콘과 P형 실리콘의 방사율이 거의 같기 때문에, 고융점 금속(120)은 N형 실리콘 영역(2)과 P형 실리콘 영역(3)의 텅스텐(90) 위에 거의 동일한 속도로 도포된다.Since the temperature of the wafer gradually increases but its temperature is lower than about 100 ° C, the refractory metal-based gas is reduced by the exposed silicon on the surface of the N-type region 2 and the P-type region 3 of FIG. 1, Is reduced by silicon diffused through the base layer of refractory metal applied in step 5. The refractory metal 120 is deposited on the tungsten 90 of the N-type silicon region 2 and the P-type silicon region 3 at almost the same speed because the emissivity of the N-type silicon and the P- .

N형 실리콘과 P형 실리콘의 방사율은 도 4에서 보는 것처럼 약 100 ℃에서 갑자기 달라지기 시작하기 때문에, 기판 온도가 약 100 ℃가 되기 전에는, N형 실리콘 영역(2)과 P형 실리콘 영역(3)에 고융점 금속으로 이루어진 최소한의 불투명 층(120/90)이 도포(약 100~500 Å)되도록 온도의 증가를 충분히 느리게 하여야 한다. 상기 층은 CVD 시스템에서 사용되는 방사형 가열 수단에 의해 출력되는 주파수에 대해서만 불투명하면 충분하다. 일단 불투명 고융점 금속층이 형성되고 나면, N형 실리콘 영역(2)과 P형 실리콘 영역(3)의 방사율은 도포된 고융점 금속의 방사율과 거의 같기 때문에, 도포 온도가 더 높아져도 N형 영역과 P형 영역에 대해 실질적으로 동일한 도포 속도를 실현할 수 있다.Since the emissivity of N-type silicon and P-type silicon starts to suddenly change at about 100 캜 as shown in FIG. 4, the N-type silicon region 2 and the P-type silicon region 3 ) Should be slow enough to increase the temperature so that a minimum opaque layer (120/90) of high melting point metal is applied (about 100-500 A). It is sufficient that the layer is opaque only to the frequency output by the radial heating means used in the CVD system. Once the opaque refractory metal layer is formed, the emissivities of the N-type silicon region 2 and the P-type silicon region 3 are almost the same as the emissivity of the applied high melting point metal, It is possible to realize substantially the same coating speed for the P-type region.

도 1c는 불투명 금속층(120)이 N형 영역(2)과 P형 영역(3) 위에 형성되어 있는 상태에서, 웨이퍼(1)의 기판(124)의 뒷면을 방사하는 방사선(122)을 보여준다. 고융점 금속계 가스로서 WF6을 사용함으로써, 앞에서 설명한 반응식 1의 발열성 환원 반응에 의해 텅스텐 불투명층이 이 단계에서 형성된다.1C shows the radiation 122 radiating through the backside of the substrate 124 of the wafer 1 with the opaque metal layer 120 formed over the N-type region 2 and the P- By using WF 6 as the refractory metal gas, a tungsten opaque layer is formed at this stage by the exothermic reduction reaction of the above-mentioned Reaction Scheme 1.

챔버에 환원 가스가 들어가지 않으면, 텅스텐 불투명층을 노출된 실리콘 영역에 도포하는 동안 실리콘 기판은 약간의 원자층 두께만큼 소비된다. 이 정도의 낮은 온도에서는 실리콘 산화층(4)은 고융점 금속계 가스와 환원 반응을 거의 하지 않기 때문에, 고융점 금속이 실리콘 산화층(4)에는 거의 도포되지 않는다.If reducing gas is not introduced into the chamber, the silicon substrate is consumed by a small atomic layer thickness during the application of the tungsten opaque layer to the exposed silicon region. At such a low temperature, the silicon oxide layer 4 hardly undergoes a reduction reaction with the high melting point metal-based gas, so that the high melting point metal is hardly applied to the silicon oxide layer 4.

본 발명의 실시예에서, 단계 6(표 1의 변수 설정 B, C)은 도 3에 도시한 수은 할로겐 램프(126)에 증가된 전원을 공급하고 WF6을 4 sccm의 속도로 챔버(104)에 주입함으로써 수행된다. 표 1의 변수 설정 B, C에서 보는 것처럼, 램프(126)는 5초 동안 꺼져 있다가 그 다음에 45초 이상 동안 260 ℃로 증가된다. H2와 Ar의 유속은 챔버의 압력 및 뒤쪽 챔버 압력과 마찬가지로 단계 5와 동일하다.In an embodiment of the present invention, step 6 (variable setting B, C of Table 1) provides increased power to the mercury halogen lamp 126 shown in Figure 3 and transfers WF 6 to the chamber 104 at a rate of 4 sccm. Lt; / RTI > As seen in the variable settings B, C in Table 1, the ramp 126 is turned off for 5 seconds and then increased to 260 ° C for 45 seconds or more. The flow rates of H 2 and Ar are the same as in step 5, as are the chamber pressure and the rear chamber pressure.

도 3에 도시한 고온 측정계(128; pyrometer)는 웨이퍼의 평균 온도를 측정하는 데에 사용되며 온도 제어를 위한 궤환을 제공한다.The pyrometer 128 shown in Figure 3 is used to measure the average temperature of the wafer and provides feedback for temperature control.

표 1에 도시한 본 발명의 실시예에 따른 공정에서도 반응 챔버(104)에 환원 가스를 집어넣지 않고 불투명층(120/90)을 형성할 수 있지만, 실리콘 테트라하이드라이드(SiH4; '실란'이라고도 함) 환원 가스를 2.6 sccm의 유속으로 챔버(104)에 주입함으로써, 고융점 금속의 도포 속도를 높이고 환원 반응에서 실리콘 표면이 거의 소비되지 않도록 할 수도 있다.In the process according to the embodiment of the present invention shown in Table 1, the opaque layer 120/90 can be formed without injecting the reducing gas into the reaction chamber 104, but silicon tetra hydride (SiH 4 ; ) Reducing gas may be injected into the chamber 104 at a flow rate of 2.6 sccm so as to increase the coating rate of the refractory metal and to prevent the silicon surface from being substantially consumed during the reduction reaction.

A(7). 도포 온도에서 환원 가스의 공급을 증가시킴.A (7). Increase the supply of reducing gas at the application temperature.

단계 7에서, 원하는 두께의 텅스텐 또는 기타 고융점 금속이 실리콘 웨이퍼의 선택된 영역에 도포되는 동안 도포 온도를 230~425 ℃로 유지한다. 상기 도포되는 동안, 실리콘 기판은 고융점 금속계 가스를 환원시키기 위해 더 이상 도포된 금속층 속으로 확산되지 않으므로, 고융점 금속계 가스를 환원 가스와 함께 챔버로 주입하여 고융점 금속계 가스를 환원시킨다. 상기 실시예에서, 고융점 금속계 가스로는 WF6을 사용하며 환원 가스로는 SiH4를 사용하는데, 이것은 챔버에 있는 SiH4의 상대량에 따라 아래의 발열 반응을 일으킨다.In step 7, the coating temperature is maintained at 230 to 425 DEG C while the desired thickness of tungsten or other high melting point metal is applied to the selected area of the silicon wafer. During the application, the silicon substrate is not diffused into the coated metal layer to reduce the refractory metal-based gas. Therefore, the refractory metal-based gas is injected into the chamber together with the reducing gas to reduce the refractory metal-based gas. In this embodiment, WF 6 is used as the high melting point metal gas, and SiH 4 is used as the reducing gas, which causes the following exothermic reaction depending on the amount of SiH 4 in the chamber.

2WF6+ 3SiH4→ 2W + 3SiF4+ 6H2 2WF 6 + 3SiH 4 ? 2W + 3SiF 4 + 6H 2

2WF6+ 4.2SiH4→ 0.4W5Si3+ 3SiF4+ 8.4H2 2WF 6 + 4.2SiH 4 → 0.4W 5 Si 3 + 3SiF 4 + 8.4H 2

반응식 2와 반응식 3에 나타낸 환원 반응은 다음과 같은 방식으로 일어난다. WF6은 단계 6에서 도포된 불투명 텅스텐 층의 표면을 불화시킨다. SiH4가 이 불화된 표면 위에 용이하게 작용하여 실리콘을 도포하고 휘발성 실리콘 플루오라이드(SiF4)를 형성한다. 그 다음에 실리콘이 도포된 층은 실리콘 층에 부딪힌 WF6가스 분자와 반응하여 반응식 1의 환원 반응을 일으킨다. 따라서, 반응식 2와 반응식 3에 나타낸 반응은 실제로 일련의 부반응의 결과인데, 이 때 WF6/SiH4환원 반응에서 텅스텐 필름의 성장은 텅스텐/실리콘 도포 사이클을 통해 계속된다. 도포 온도가 상기와 같이 낮은 경우에는 WF6과 SiH4는 절연성 실리콘 산화층(4)에 붙지 않기 때문에, 절연성 실리콘 산화층에는 텅스텐이 거의 도포되지 않고, 따라서 텅스텐의 선택적 도포가 이루어진다.The reduction reaction shown in Scheme 2 and Scheme 3 takes place in the following manner. WF 6 fluorides the surface of the opaque tungsten layer applied in step 6. SiH 4 readily acts on the fluorinated surface to apply silicon and form volatile silicon fluoride (SiF 4 ). Next, the layer to which silicon is applied reacts with the WF 6 gas molecules impinged on the silicon layer to cause the reduction reaction of Scheme 1. Thus, the reactions shown in Scheme 2 and Scheme 3 are actually the result of a series of side reactions in which the growth of the tungsten film in the WF 6 / SiH 4 reduction reaction continues through the tungsten / silicon application cycle. When the application temperature is as low as described above, since WF 6 and SiH 4 do not adhere to the insulating silicon oxide layer 4, tungsten is hardly applied to the insulating silicon oxide layer, and thus tungsten is selectively applied.

반응식 2와 반응식 3에서 보는 것처럼, 환원 반응에 포함된 SiH4의 양이 WF6양의 1.5배를 넘기 때문에, 텅스텐과 함께 실리콘 원자도 도포된다. 이것이 바람직한 이유는 나중에 실리콘을 어닐링하여 고융점 금속/실리콘 기판 접합면을 실리사이드화할 때 실리콘계 환원 가스를 사용해도 실리콘 기판이 소비되지 않기 때문이다. 상기 어닐링 단계는 도포된 층 전체를 실리사이드화하고 치밀하게 만들어서 장벽층의 저항을 낮춘다. 따라서, CVD 반응 챔버로 주입되는 WF6과 SiH4의 양은 WSix(여기서 x는 공정 변수에 따라 정해짐)로 이루어진 층을 도포하도록 설정된다. 도 5는 도포 온도가 320~380 ℃일 때, SiH4/WF6의 비를 변화시킴에 따라 도포된 층에 나타나는 Si/W의 비를 보여주는 그래프이다.Since the amount of SiH 4 included in the reduction reaction exceeds 1.5 times the amount of WF 6 , as shown in Reaction Formula 2 and Reaction Scheme 3, silicon atoms are also applied together with tungsten. This is because the silicon substrate is not consumed even when a silicon-based reducing gas is used to later silicide the silicon and silicide the refractory metal / silicon substrate junction surface. The annealing step suicides and densifies the entire applied layer to lower the resistance of the barrier layer. Thus, the amount of WF 6 and SiH 4 injected into the CVD reaction chamber is set to apply a layer of WSi x (where x is determined according to the process parameters). 5 is a graph showing the ratio of Si / W appearing in the applied layer as the ratio of SiH 4 / WF 6 is varied when the application temperature is 320 to 380 ° C.

단계 7의 실시예에서 중요한 점은, CVD 챔버로 공급되는 SiH4환원 가스의 양은 접촉 영역의 온도 증가를 따라가도록 선형 증가(ramp)된다는 것이다. WF6(또는 다른 고융점 금속계 가스)이 SiH4와 결합할 때 일어나는 환원 반응은 발열 반응이기 때문에, 텅스텐이 많이 도포될수록 도포된 텅스텐의 온도는 증가한다. 접촉 영역의 온도가 증가하면, SiH4의 공급을 선형 증가시킴으로써 고융점 금속의 도포 속도를 높일 수 있을 뿐만 아니라 반응 온도의 증가로 인해 장벽층의 주상 그레인(columnar grain)을 원하는 구조로 유지할 수 있다. 주상 그레인 구조를 유지하기 위해서는 접촉 영역의 온도가 일정 레벨이 되기 전에 너무 많은 SiH4를 반응에 공급하지 않도록 주의하여야 하며, 그렇지 않으면 장벽층의 그레인 구조가 너무 빨리 성장하여 바람직하지 않은 등방성으로 된다.An important point in the embodiment of step 7 is that the amount of SiH 4 reducing gas supplied to the CVD chamber is ramped up to follow the temperature increase of the contact area. Since the reduction reaction that takes place when WF 6 (or other high melting point metal gas) binds to SiH 4 is an exothermic reaction, the temperature of the applied tungsten increases as more tungsten is applied. When the temperature of the contact region is increased, the supply rate of SiH 4 can be increased linearly to increase the application speed of the refractory metal, and the columnar grain of the barrier layer can be maintained at a desired structure due to an increase in the reaction temperature . In order to maintain the columnar grain structure, care must be taken not to supply too much SiH 4 to the reaction before the temperature of the contact region reaches a certain level, otherwise the grain structure of the barrier layer grows too fast and becomes undesirable isotropic.

따라서, 반응 챔버로 공급되는 SiH4를 선형 증가시킴으로써 고융점 금속의 도포 속도는 다른 부작용을 일으키는 일이 없이 증가된다. 종래 기술에 따르면, 접촉 영역의 온도가 증가함에 따라 SiH4의 공급을 선형 증가시키면서 원하는 주상 그레인 구조를 유지할 수 없었다. 단계 7을 거친 최종 구조는 도 1d에 도시한다. 이 실시예에서, 도포된 장벽층(132)은 5~20 원자%의 Si(또는 1~4 중량%의 Si)를 포함하도록 형성된다. 위에서 설명한 공정을 사용하면, 비록 Si 기판이 환원되지 않도록 하는 데에는 5 원자%의 Si의 조성이 필요하지만, 상기 장벽층(132)은 약 12 원자%의 Si를 포함한다.Thus, by linearly increasing the SiH 4 supplied to the reaction chamber, the application rate of the refractory metal is increased without causing any other side effect. According to the prior art, it was not possible to maintain the desired columnar grain structure while linearly increasing the supply of SiH 4 as the temperature of the contact region increased. The final structure through step 7 is shown in Fig. 1d. In this embodiment, the applied barrier layer 132 is formed to contain 5 to 20 atomic percent Si (or 1 to 4 weight percent Si). Using the process described above, the barrier layer 132 contains about 12 atomic percent Si, although a composition of 5 atomic percent Si is required to prevent the Si substrate from being reduced.

도 3의 CVD 챔버와, 도 2의 가스 흐름 배관망 및 표 1의 변수 설정 C~H를 참조하면, 수은 할로겐 램프(126)를 45초 이상 동안 선형 증가시켜 웨이퍼 측정 온도가 260 ℃가 되도록 함으로써 상기 단계 7이 수행된다. 램프(126)를 선형 증가시키는 동안, SiH4는 제어 밸브(24)에 의해 2.6 sccm의 속도로 챔버(104)로 주입된다. 나머지 가스의 유속과 압력은 표 1의 변수 설정 B에 사용된 것과 동일하다.Referring to the CVD chamber of FIG. 3, the gas flow pipe network of FIG. 2, and the variable settings C to H of Table 1, the mercury halogen lamp 126 is linearly increased for 45 seconds or longer, Step 7 is performed. While ramping ramp 126, SiH 4 is injected into chamber 104 at a rate of 2.6 sccm by control valve 24. The flow rates and pressures of the remaining gases are the same as those used for variable setting B in Table 1.

상기 측정 온도가 260 ℃에 도달한 다음에는 가스의 유속을 바꾸지 않고 이 온도를 10초(표 1의 공정 변수 설정 D) 동안 유지한다. 이 시간이 지나면(표 1의 공정 변수 설정 E), SiH4의 유속을 2.6 sccm에서 2.8 sccm으로 증가시켜, 발열성 환원 반응에 의해 상기 도포된 장벽층의 온도가 260 ℃ 이상이 됨에 따라 고융점 금속의 도포 속도가 증가되도록 한다.After the measured temperature reaches 260 캜, this temperature is maintained for 10 seconds (process variable setting D in Table 1) without changing the gas flow rate. As the temperature of the barrier layer is increased to 260 ° C or more by the exothermic reduction reaction, the SiH 4 flow rate is increased from 2.6 sccm to 2.8 sccm, Increase the application rate of the metal.

실제로, SiH4의 선형 증가는 웨이퍼의 실리콘 영역이 노출되는 면적에 따라 정해진다. 더 넓은 실리콘이 노출되면, SiH4를 더 빨리 선형 증가시켜야 한다.In fact, the linear increase in SiH 4 is determined by the area of the silicon region of the wafer exposed. If larger silicon is exposed, SiH 4 should be linearly increased faster.

순차적인 공정 변수 설정 F, G, H 각각은 10초 동안 지속되며, SiH4의 공급은 SiH4에 대한 최종 유속이 3.4 sccm이 될 때까지 0.2 sccm 단위로 증가한다.Sequential process parameter settings F, G, H, respectively is continued for 10 seconds, the supply of the SiH 4 is increased to 0.2 sccm unit until a final flow rate of the SiH 4 to be 3.4 sccm.

A(8). 챔버에서 공정 가스를 제거하고, 어닐링을 함.A (8). Removing the process gas from the chamber and annealing.

단계 8에서는 웨이퍼를 냉각하여 공정 가스들을 챔버에서 제거하고 고속 어닐링 단계를 사용하여 고융점 금속/실리콘 기판 접합면을 실리사이드화함으로써 접촉 저항을 낮춘다. 또한, 단계 8에서는, 장벽층 내부에 있는 실리콘 원자가 도포된 고융점 금속과 반응하여 실리사이드를 형성한다. 환원 가스는 실리콘 계열이기 때문에, 도포된 고융점 금속에는 이미 실리콘이 함유되어 있고, 실리콘 기판은 이 단계에서 거의 소비되지 않는다. 이 실시예에서, 고속 어닐링은 10~30초 동안 약 650~900 ℃에서 수행된다.In step 8, the wafer is cooled to remove process gases from the chamber and a high-speed annealing step is used to lower the contact resistance by suiciding the refractory metal / silicon substrate interface. Further, in step 8, the silicon atoms inside the barrier layer react with the applied high melting point metal to form a silicide. Since the reducing gas is silicon-based, the applied high melting point metal already contains silicon, and the silicon substrate is hardly consumed at this stage. In this embodiment, rapid annealing is performed at about 650-900 < 0 > C for 10-30 seconds.

표 1의 변수 설정 I~K에는 어닐링을 하기 전에 냉각과 정화 단계의 바람직한 예를 보여주는데, 여기서 변수 설정 I는 램프(126)를 끄고 도 2에 있는 밸브를 적절하게 닫아서 챔버에 공급되던 SiH4, H2, Ar, WF6이 공급되지 않도록 하며 반응 챔버(104)와 뒤쪽 챔버(114)의 압력을 18 mtorr 이하로 감소시킨다.Variable settings I to K in Table 1 show a preferred example of a cooling and purge step prior to annealing wherein variable setting I is to turn off the ramp 126 and properly close the valve in Figure 2 to remove the SiH 4 , H 2 , Ar, and WF 6 are not supplied and the pressure in the reaction chamber 104 and the rear chamber 114 is reduced to 18 mtorr or less.

변수 설정 J에서, 웨이퍼의 냉각을 촉진시키고 반응 챔버(104)와 가스 라인(52, 54)에 남아 있는 SiH4와 WF6을 정화하기 위해, H2를 유속 300 sccm으로 반응 챔버(104)에 주입하고, Ar을 유속 50 sccm으로 반응 챔버(104)에 주입한다. 반응 챔버(104)의 압력은 800 mtorr로 유지하고, 뒤쪽 챔버(114)의 압력은 1.0 torr로 유지한다. 변수 설정 J를 약 45초 동안 지속하여 웨이퍼를 충분히 냉각시키고 가스 라인과 반응 챔버를 충분히 정화한다.At variable setting J, H 2 is introduced into reaction chamber 104 at a flow rate of 300 sccm to promote cooling of the wafer and to purify SiH 4 and WF 6 remaining in reaction chamber 104 and gas lines 52 and 54 And Ar is injected into the reaction chamber 104 at a flow rate of 50 sccm. The pressure in the reaction chamber 104 is maintained at 800 mtorr and the pressure in the rear chamber 114 is maintained at 1.0 torr. Variable setting J lasts for about 45 seconds to sufficiently cool the wafer and sufficiently clean the gas line and reaction chamber.

변수 설정 K에서는, 가스를 반응 챔버(104)에서 제거하고, 반응 챔버(104)와 뒤쪽 챔버(114)의 압력을 5초 동안 18 mtorr 이하로 낮춘다.In the variable setting K, the gas is removed from the reaction chamber 104 and the pressure in the reaction chamber 104 and the rear chamber 114 is lowered to 18 mtorr or less for 5 seconds.

어닐링 단계는 변수 설정 L 동안에 수행되는데, 램프(126)에 선형 증가 전원을 공급하여 웨이퍼를 20초 이상 동안 약 700 ℃의 온도까지 가열한다. 이 때, H2를 150 sccm의 유속으로 반응 챔버(104)에 공급하고, Ar을 5 sccm의 유속으로 반응 챔버(104)에 공급한다. 반응 챔버(104)의 압력은 80 mtorr로 유지하고, 뒤쪽 챔버(114)의 압력은 0.5 mtorr로 유지한다. 이러한 어닐링 단계에서는, 상기 도포된 장벽층이 치밀하게 되고 약 10% 수축된다. 이러한 수축(shrinkage)은 일차적으로 장벽층(132) 내부의 실리콘과 텅스텐의 결합 때문에 생긴다. 수축되는 양은 어닐링 온도와 장벽층(132)에 있는 Si 함량에 의존한다.The annealing step is performed during the variable setting L, in which the linear increased power is supplied to the ramp 126 to heat the wafer to a temperature of about 700 DEG C for at least 20 seconds. At this time, H 2 is supplied to the reaction chamber 104 at a flow rate of 150 sccm, and Ar is supplied to the reaction chamber 104 at a flow rate of 5 sccm. The pressure in the reaction chamber 104 is maintained at 80 mtorr and the pressure in the rear chamber 114 is maintained at 0.5 mtorr. In this annealing step, the applied barrier layer becomes dense and shrinks about 10%. This shrinkage is primarily caused by the combination of silicon and tungsten within the barrier layer 132. The amount of shrinkage depends on the annealing temperature and the Si content in the barrier layer 132.

위의 단계 5~단계 8에서 형성된 최종 장벽층(132)(표 1의 변수 설정 A~L)의 두께는 약 6500 Å이다.The thickness of the final barrier layer 132 (variable settings A to L in Table 1) formed in steps 5 to 8 above is about 6500 angstroms.

A(9). 웨이퍼를 냉각시킨 다음 CVD 챔버에서 꺼냄.A (9). The wafer is cooled and then removed from the CVD chamber.

단계 9에서, 어닐링이 끝난 웨이퍼를 냉각하여 CVD 챔버에서 꺼내기 전에 기판의 온도가 100 ℃ 이하가 되도록 한다. 이러한 냉각 단계는 램프(126)에 공급되는 전원을 차단하고 최소한 45초 동안 웨이퍼를 냉각하여 대기 온도가 되도록 함으로써 수행된다. 냉각을 촉진하기 위해서, 반응 챔버의 압력은 800 mtorr, 뒤쪽 챔버의 압력은 1.0 torr로 유지하면서 H2가스와 Ar 가스를 각각 300 sccm과 50 sccm의 유속으로 최소한 45초 동안 반응 챔버에 주입할 수도 있다. 그 다음 H2가스와 Ar 가스를 차단하고 웨이퍼를 반응 챔버에서 꺼낸다.In step 9, the temperature of the substrate is lowered to 100 DEG C or lower before the annealed wafer is cooled and taken out of the CVD chamber. This cooling step is performed by shutting off the power supplied to the lamp 126 and allowing the wafer to cool to atmospheric temperature for at least 45 seconds. To facilitate cooling, H 2 and Ar gases may be injected into the reaction chamber for at least 45 seconds at a flow rate of 300 sccm and 50 sccm, respectively, while maintaining the pressure in the reaction chamber at 800 mtorr and the pressure in the rear chamber at 1.0 torr have. Then, the H 2 gas and the Ar gas are shut off and the wafer is taken out of the reaction chamber.

A(10). 금속화를 진행함.A (10). Continue metallization.

장벽층이 형성된 다음, 알루미늄 도포 공정 또는 기타 적절한 금속이나 폴리실리콘의 도포 공정이 종래 방법을 사용하여 진행되어 상기 도포된 장벽층 위에 전도성 연결층이 형성된다. 본 발명의 실시예에서는 연결층으로서 스퍼터링 도포 공정을 사용하여 알루미늄을 도포하였다. 최종 구조는 도 1e에 도시하는데, 여기서 전도층(Al; 136)은 장벽층(132) 위에 놓여 있는 것으로 나타나 있다.After a barrier layer is formed, an aluminum application process or other suitable metal or polysilicon application process is performed using conventional methods to form a conductive interconnect layer over the applied barrier layer. In the embodiment of the present invention, aluminum was applied using a sputtering application process as a connection layer. The final structure is shown in FIG. ≪ RTI ID = 0.0 > 1e, < / RTI > where the conductive layer Al 136 is shown resting on the barrier layer 132.

A(11). 추가 기술적 특징A (11). Additional technical features

고융점 금속 도포 단계 4~7에서 가장 좋은 결과를 얻기 위해서, 반응 부산물(예컨대, 실리콘 플루오라이드)의 잔류 시간을 10 밀리초 이하가 되도록 하여야 한다. 잔류 시간은 다음 수학식 1과 같이 계산할 수 있다.In order to obtain the best results in the refractory metal application steps 4 to 7, the residence time of reaction by-products (for example, silicon fluoride) should be less than 10 milliseconds. The residence time can be calculated by the following equation (1).

여기서, τ는 잔류 시간(초), V는 CVD 챔버의 체적(리터), S는 제거되는 가스의 유속(리터/초), P는 챔버의 압력(torr), Q는 챔버로 주입되는 가스의 유속(torr·리터/초)이다.Where S is the flow rate of the gas to be removed (liters / second), P is the pressure of the chamber (torr), Q is the pressure of the gas injected into the chamber Flow rate (torr · liter / second).

본 발명의 실시예에 따른 구조에서, CVD 시스템에 대한 펌핑 기구는 초당 50 torr·리터 이상의 콘덕턴스를 갖는다. 챔버 압력을 120 mtorr로 하고 챔버의 체적을 49 리터로 하면, 가스가 챔버가 잔류하는 시간은 약 9.8×10-3초가 될 것이다.In the structure according to an embodiment of the present invention, the pumping mechanism for the CVD system has a conductance of at least 50 torr-liters per second. If the chamber pressure is 120 mtorr and the volume of the chamber is 49 liters, the time for the gas to remain in the chamber will be about 9.8 x 10-3 seconds.

또한, CVD 챔버로 주입되는 공정 가스의 순도는 최소한 99.999로 유지하여야 하며, 가스의 임계 유속은 웨이퍼가 달라지더라도 유속 설정점으로부터 ±0.2 sccm 이내에 있도록 제어하여 재현성(반복성)이 충분하도록 하여야 한다. 또한, WF6(또는 기타의 고융점 금속계 가스)이 들어 있는 액화 가스 용기는 상온 이하인 5 ℃로 냉각하여 가스가 가스 라인에 응축되지 않도록 하는 것이 바람직하다.Also, the purity of the process gas injected into the CVD chamber should be maintained at a minimum of 99.999 and the critical flow rate of the gas should be controlled to be within ± 0.2 sccm from the flow rate set point, even if the wafer changes, to ensure reproducibility (repeatability). In addition, it is preferable that the liquefied gas container containing WF 6 (or other high melting point metal gas) is cooled to 5 캜 or lower, which is below room temperature, so that the gas is not condensed in the gas line.

실리콘 영역 위에 장벽층을 형성하는 위의 공정에는 특정 실시예에서 설명한 공정 가스 이외의 가스를 사용해도 된다. 예를 들어서, 고융점 금속계 가스로 몰리브덴, 팔라듐, 백금 또는 탄탈륨을 사용할 수도 있다. 또한, 환원 가스로서 위의 실시예에서 설명하지 않았던 가스를 사용할 수도 있다. 물론, 실시예에 따른 공정에 대해서 설명했던 가스의 유속, 온도, 처리 시간, 압력 및 장치에 대한 제한 조건들도 역시 원하는 특성을 갖는 장벽층을 형성하기에 적합하도록 변경할 수 있다.In the above process for forming the barrier layer on the silicon region, a gas other than the process gas described in the specific embodiment may be used. For example, molybdenum, palladium, platinum or tantalum may be used as the refractory metal-based gas. Further, a gas which has not been described in the above embodiment may be used as the reducing gas. Of course, the gas flow rate, temperature, processing time, pressure, and limitations on the apparatus that were described for the process according to the embodiment may also be varied to suit the formation of the barrier layer with the desired properties.

텅스텐 실리사이드의 특성과, 실리사이드 층을 형성하는 여러 다른 공정에 대한 추가적인 정보는 다음의 간행물(본 명세서의 일부로 포함됨)에서 얻을 수 있다. 1) VLSI Process Technologies, ICVC '89, 1989년 10월 17일 발간, 2) 1988년 1월 25일, Robert S. Blewer이 발표한 Chemical Vapor Deposition of Interconnect Metals(Emphasizing Tungsten).Additional information on the properties of the tungsten silicide and the various other processes for forming the silicide layer can be found in the following publications, which are incorporated herein by reference. 1) VLSI Process Technologies, ICVC '89, published Oct. 17, 1989; 2) Chemical Vapor Deposition of Interconnect Metals (Emphasizing Tungsten) published by Robert S. Blewer on January 25,

B. 블랭킷 도포 공정B. Blanket application process

블랭킷 도포에서는, 고융점 금속을 노출된 실리콘 영역뿐만 아니라 절연성 실리콘 산화층의 표면에도 도포한다. 블랭킷 도포가 끝나면, 산화층 위에 도포된 고융점 금속은 블랭킷 식각법을 이용하여 완전히 제거하여 고융점 금속은 이 고융점 금속에 의해 전기적으로 접촉될 실리콘 영역 위에만 남도록 하는 것이 보통이다. 그 다음에는, 금속화층을 웨이퍼 표면에 형성하여 상기 도포된 고융점 금속을 통해 그 아래에 있는 실리콘 영역과 전기적으로 연결되도록 한다.In the blanket application, the refractory metal is applied not only to the exposed silicon region but also to the surface of the insulating silicon oxide layer. After application of the blanket, the refractory metal applied on the oxide layer is completely removed by blanket etching so that the refractory metal remains only over the silicon region to be electrically contacted by the refractory metal. Next, a metallization layer is formed on the surface of the wafer and electrically connected to the underlying silicon region through the applied refractory metal.

블랭킷 도포는 고융점 금속을 게이트 산화층 위에 도포하고 고융점 금속을 선택적으로 식각하여 MOS 소자에 쓰이는 게이트를 형성하는 데에도 사용될 수 있다.The blanket application can also be used to apply a refractory metal over the gate oxide layer and selectively etch the refractory metal to form the gate used in the MOS device.

패턴화된 웨이퍼의 표면에 고융점 금속을 블랭킷 도포하는 바람직한 실시예에서, 실리콘 웨이퍼의 표면을 세척하고, 실리콘 격자 구조에 대한 손상을 제거하며, 여러 가스 라인을 세정하는 단계 1~4는 위의 선택적 도포 공정의 단계 1~단계 4에서 설명한 바와 마찬가지로 행해진다.In a preferred embodiment of applying a blanket of refractory metal to the surface of a patterned wafer, Steps 1 to 4 for cleaning the surface of the silicon wafer, removing damage to the silicon lattice structure, and cleaning multiple gas lines, Is carried out as described in steps 1 to 4 of the selective coating process.

블랭킷 도포 공정의 바람직한 실시예의 단계 5에서는, 단계 1~4가 끝나면 웨이퍼를 도 3에 도시한 것처럼 CVD 챔버에 넣고 약 500 ℃의 도포 온도로 가열하고, SiH4를 챔버에 주입하여 약 2초 동안 이 SiH4가 노출 실리콘 표면 및 SiO2와 핵형성하도록 한다. 이렇게 하면, SiO2위에 약간의 SiH4단층이 형성되어 나중에 WF6을 챔버에 집어넣었을 때 WF6이 환원될 수 있게 된다. 이 단계는 도 7a에 나타나 있는데, 여기서는 도 3의 램프(126)에 의해 공급되는 방사열(150)이 CVD 챔버(104)에 SiH4를 주입하는 동안 웨이퍼를 가열함으로써, 웨이퍼(1)의 표면에 얇은 SiH4층(152)이 도포되도록 한다.The blanket coating the preferred embodiment steps of the step 5, steps 1 to 4. At the end, as shown the wafer in Figure 3 into the CVD chamber for approximately 2 seconds, and heated to the coating temperature of about 500 ℃ and injecting the SiH 4 to the chamber Allowing the SiH 4 to nucleate with the exposed silicon surface and SiO 2 . In this way, a small SiH 4 monolayer is formed on the SiO 2 so that WF 6 can be reduced later when WF 6 is put into the chamber. By this step is heating the wafer while injecting the SiH 4 to the radiant heat 150 is a CVD chamber 104 supplied by lamp 126 in Fig. There appeared to 7a, in this case 3, the surface of the wafer (1) So that a thin SiH 4 layer 152 is applied.

공정 가스 SiH4와 H2의 유속과 압력은 표 1의 변수 설정 C에 나타낸 것과 유사하다. 이 때, WF6과 Ar은 주입되지 않는다.The flow rates and pressures of the process gases SiH 4 and H 2 are similar to those shown in Variable Setting C in Table 1. At this time, WF 6 and Ar are not implanted.

단계 6에서, 선택 도포 공정의 단계 7에서 설명한 것과 유사한 도포 공정을 진행하는데, WF6은 SiH4와 함께 챔버에 주입된다. 이 단계는 원하는 두께의 WSix(여기서, x는 도포 공정 동안에 사용되는 SiH4와 WF6의 비에 따라 결정됨) 장벽층이 실리콘 층과 산화층 위에 형성될 때까지 계속된다. 주상 그레인 구조를 얻기 위해서 SiH4와 WF6의 비를 1 이하로 줄인다. 이 단계는 도 7b에 나타나 있으며, 도포된 층(158)은 웨이퍼(1)의 표면에 형성되어 있다.In step 6, a coating process similar to that described in step 7 of the selective coating process is carried out, wherein WF 6 is introduced into the chamber with SiH 4 . This step continues until the desired thickness of WSi x (where x is determined by the ratio of SiH 4 and WF 6 used during the deposition process) barrier layer is formed over the silicon layer and oxide layer. The ratio of SiH 4 and WF 6 is reduced to 1 or less in order to obtain the columnar grain structure. This step is shown in Fig. 7B, in which the applied layer 158 is formed on the surface of the wafer 1. Fig.

이 실시예에서, 상기 도포 단계는 표 1의 변수 설정 D~H를 사용하여 진행되는 것이 일반적이고, 발열성 환원 반응에 의해 도포 장벽층(158)의 표면 온도가 계속 증가하기 때문에, 환원 가스 SiH4의 양을 선형 증가시키면서 진행된다. 그러나, 이 단계에서 도포 온도는 약 500 ℃를 유지한다.In this embodiment, since the application step is generally carried out using the variable settings D to H in Table 1 and the surface temperature of the coating barrier layer 158 is continuously increased by the exothermic reduction reaction, the reducing gas SiH 4 < / RTI > However, at this stage, the coating temperature is maintained at about 500 ° C.

장벽층이 접촉 영역을 채움에 따라 발열 환원 반응에 의한 열이 보다 빨리 제거되기 때문에 장벽층의 온도가 더 이상 증가하지 않는 시점이 되면, 상기 선형 증가를 중단하여야 한다.The linear increase should be stopped when the temperature of the barrier layer is no longer increased since heat due to the exothermic reduction reaction is removed more quickly as the barrier layer fills the contact area.

단계 7에서는, 장벽층(158)이 도포된 다음 가스 라인과 CVD 공정 챔버를 정화하고 웨이퍼를 상기 표 1의 변수 설정 I~K와 위의 단계 7에서 설명한 것과 유사하게 냉각시킨다.In step 7, the barrier layer 158 is applied and then the gas line and the CVD process chamber are cleaned and the wafer is cooled similarly to the variable settings I-K in Table 1 above and as described in step 7 above.

표 1의 변수 설정 L에 있는 것과 유사한 공정 변수를 설정하고 약 10~30초 동안 650~900 ℃의 온도에서 어닐링 단계를 수행한다.Set process variables similar to those in variable set L in Table 1 and perform the annealing step at a temperature of 650-900 ° C for about 10-30 seconds.

이렇게 해서 형성된 장벽층(158)을 공지된 블랭킷 플라즈마 식각 기술이나 기타 적절한 식각 기술을 사용하여 식각함으로써, 도포된 장벽층(158)이 도 7c에 도시한 것처럼 접촉 영역 내부에만 남도록 한다. 이러한 장벽층(158)은 경우에 따라서는 연결층으로 사용될 수 있는데, 이런 경우에는 블랭킷 식각을 하지 않는다.The barrier layer 158 thus formed is etched using known blanket plasma etching techniques or other suitable etching techniques so that the applied barrier layer 158 remains only within the contact regions as shown in Figure 7c. Such a barrier layer 158 may be used as a connection layer in some cases, in which case no blanket etching is performed.

금속화층 또는 기타 전도층(160)을 도 7d에 도시한 것처럼 도포하고 식각한다. 전도층(160)은 도포된 장벽층(158) 및 영역(2, 3)과 옴 접촉(ohmic contact)을 이룬다.The metallization layer or other conductive layer 160 is applied and etched as shown in Figure 7d. Conductive layer 160 makes an ohmic contact with coated barrier layer 158 and regions 2,3.

도포된 장벽층의 질을 개선시키는 다른 특징은 위에서 고융점 금속 실리사이드 층의 선택적 형성에 대해 설명한 것과 동일하다.Other features that improve the quality of the applied barrier layer are the same as those described above for selective formation of the high melting point metal silicide layer.

C. 폴리실리콘 층 위에 비아를 형성하는 도포 공정C. Application process for forming vias on the polysilicon layer

선택적 도포 공정의 단계 1~단계 9에서 설명한 공정이나 블랭킷 도포 공정의 단계 1~7을 사용하여 폴리실리콘 층과 금속층 사이 또는 2개의 금속층 사이에 비아를 형성한다.Vias are formed between the polysilicon layer and the metal layer or between the two metal layers using steps 1 to 9 of the selective application process or steps 1 to 7 of the blanket application process.

본 발명의 일실시예에 따른 방법에 의해 비아가 형성된 웨이퍼를 도 8에 나타낸다. 도 8에서 웨이퍼(1)는 N형 영역(2)과 P형 영역(3)을 포함한다. 폴리실리콘 층(170)이 종래 방법에 의해 형성되어 영역(2, 3)과 접촉한다. 산화층(4)은 폴리실리콘 층(170)을 실리콘 웨이퍼(1)로부터 절연시킨다. 폴리실리콘 층(170)의 접촉 부분이 노출되도록 폴리실리콘 층(170) 위에 절연층(172)을 형성하고, 접촉 부분에 고융점 금속 비아(174)가 도포된다. 도 8에서 고융점 금속 비아(174)는 WSIx를 포함하는데, 여기서 x는 도포 공정에서 사용되는 환원 가스 SiH4와 WF6의 비에 의해 결정된다. 절연층(172) 위에 형성된 AlSi와 같은 금속층(178)은 비아(174)와 접촉되며, 금속층(178)과 폴리실리콘 층(170) 사이에 전기적 연결을 제공한다.A wafer on which a via is formed by the method according to an embodiment of the present invention is shown in Fig. In Fig. 8, the wafer 1 includes an N-type region 2 and a P-type region 3. A polysilicon layer 170 is formed by conventional methods to contact regions 2, 3. The oxide layer 4 insulates the polysilicon layer 170 from the silicon wafer 1. An insulating layer 172 is formed on the polysilicon layer 170 so that the contact portion of the polysilicon layer 170 is exposed and a refractory metal via 174 is applied to the contact portion. In Figure 8, the high melting point metal vias 174 include WSI x , where x is determined by the ratio of the reducing gas SiH 4 and WF 6 used in the application process. A metal layer 178 such as AlSi formed over the insulating layer 172 is in contact with the vias 174 and provides an electrical connection between the metal layer 178 and the polysilicon layer 170.

폴리실리콘 층 위에 고융점 금속을 도포하여 비아를 형성할 때, 웨이퍼는 앞에서 설명했던 단계 1, 2에 의해 세척되며, 가스 라인과 CVD 챔버는 앞에서 설명했던 단계 4에 의해 정화된다. 기판의 결정 격자 구조에 대한 손상을 제거하는 앞에서 설명했던 단계 3은 당연히 사용되지 않는다.When the high melting point metal is applied on the polysilicon layer to form the via, the wafer is cleaned by steps 1 and 2 described above, and the gas line and the CVD chamber are cleaned by step 4 as described above. Step 3, which was previously described, which eliminates damage to the crystal lattice structure of the substrate, is of course not used.

비아를 형성하는 선택적 도포 공정에서는 비록 폴리실리콘 층에 있는 N형 영역과 P형 영역의 방사율의 차이에 의한 문제점은 없지만, SiH4를 CVD 챔버에 집어넣기 전에 폴리실리콘 위에 고융점 금속의 얇은 층을 도포하는 단계 6을 수행하여 비아와 폴리실리콘이 보다 강하게 결합되도록 하고 신뢰성을 보장하는 것이 바람직하다. 얇은 폴리실리콘 층은 소비될 것이다.Selectively applying step, even though the problem caused by the N-type region and the difference in emissivity of the P-type regions in the polysilicon layer to form the vias, but before adding up the SiH 4 in the CVD chamber, a thin layer of metal and the melting point over the polysilicon It is desirable to perform step 6 of applying so that vias and polysilicon are bonded more strongly and reliability is assured. A thin polysilicon layer will be consumed.

선택적 도포 공정을 위한 나머지 도포 단계와 어닐링 단계는 기판의 영역과 금속층 사이에 전도성 장벽층을 형성하는 앞에서 설명했던 것과 기본적으로 동일하다. 물론, 공정 시간, 가스 유속, 온도는 도포된 고융점 금속 비아가 원하는 특성을 가지도록 하기 위해 변경할 수 있다.The remaining application and annealing steps for the selective application process are basically the same as previously described to form the conductive barrier layer between the region of the substrate and the metal layer. Of course, process times, gas flow rates, temperatures can be varied to ensure that the applied high melting point metal vias have the desired characteristics.

블랭킷 도포를 위해서, 약 500 ℃의 도포 온도에서 약 2초 동안 SiH4를 챔버에 주입하여 얇은 SiH4층을 웨이퍼 표면에 형성한다. 이 방법은 도 7a와 관련하여 설명했던 것과 유사하다. 그 다음에 SiH4와 함께 WF6을 주입하여 도 7b와 관련하여 설명했던 것과 유사한 장벽층을 형성한다. 도포된 층을 식각한 다음, 도 7c 및 도 7d와 관련하여 설명했던 것과 유사한 방법에 의해 금속화 공정을 진행한다.For blanket application, SiH 4 is introduced into the chamber at a coating temperature of about 500 ° C for about 2 seconds to form a thin SiH 4 layer on the wafer surface. This method is similar to that described with respect to FIG. 7A. WF 6 is then implanted with SiH 4 to form a barrier layer similar to that described with respect to FIG. 7B. After the applied layer is etched, the metallization process proceeds by a method similar to that described with respect to Figures 7C and 7D.

D. 금속층 위에 비아를 형성하는 도포 공정D. Coating process to form vias on the metal layer

2개의 알루미늄 층 사이에, 또는 2개의 임의 금속층 사이에 비아를 형성하기 위하여, 실리콘 원자를 함유하도록 알루미늄 층을 형성하여 Al 원자가 웨이퍼의 산화막 표면과 반응하지 않도록 하는 것이 필요하다.In order to form a via between two aluminum layers or between two arbitrary metal layers, it is necessary to form an aluminum layer so as to contain silicon atoms so that the Al atoms do not react with the oxide film surface of the wafer.

고융점 금속을 알루미늄 층 위에 선택적으로 도포하여 비아를 만들기 위해서는, 종래 기법을 사용하여 산화막(201) 또는 기타 절연층으로 이루어진 패턴 층을 알루미늄 층 위에 형성함으로써 접촉 영역을 노출시킨다. 이러한 초기 구조는 도 9a에 나타나 있다. 도 9a는 이미 앞에서 설명했던 방법에 의해 P형 영역 또는 N형 영역(2')이 형성되어 있고 고융점 금속 장벽층(200)과 접촉해 있는 웨이퍼(1)를 도시한다. 장벽층(200) 위에는 알루미늄 층(202)이 형성되어 있고, 그 위에는 패턴화된 산화층(204)이 형성되어 있다. 그 다음에는 아래의 단계들이 진행된다.In order to selectively apply the refractory metal to the aluminum layer to form the via, a contact layer is exposed by forming a pattern layer of an oxide film 201 or other insulating layer on the aluminum layer using conventional techniques. This initial structure is shown in Figure 9A. FIG. 9A shows a wafer 1 in which a P-type region or an N-type region 2 'is formed by the method already described above and is in contact with the high-melting-point metal barrier layer 200. FIG. An aluminum layer 202 is formed on the barrier layer 200, and a patterned oxide layer 204 is formed thereon. Then the following steps are carried out.

D(1). 웨이퍼 표면 세척D (1). Wafer surface cleaning

단계 1에서, 알루미늄 층(202) 위에 장벽층을 선택적으로 도포하고, 종래의 RF 스퍼터링 식각 공정에 의해 노출된 알루미늄을 세척한다. 본 발명의 일실시예로서, -600 볼트 이하의 바이어스 전압을 사용하는 스퍼터링 식각에서는 Ar 이온을 사용한다. 이러한 식각 단계를 수행하는 장치로는, Varian 모델 5101 CVD 시스템을 변형하여 도 10에 도시한 것처럼 스퍼터링 식각단을 연결시킨다. 이러한 Varian 5101 CVD 챔버는 도 3과 관련하여 이미 설명하였으며 미국 특허 제4,796,562호에 설명되어 있다.In step 1, a barrier layer is selectively applied over the aluminum layer 202 and the exposed aluminum is cleaned by a conventional RF sputter etching process. As one embodiment of the present invention, Ar ions are used in the sputtering etching using a bias voltage of -600 volts or less. As an apparatus for performing this etching step, a Varian Model 5101 CVD system is modified to connect the sputtering etching stages as shown in FIG. Such a Varian 5101 CVD chamber has already been described in connection with FIG. 3 and is described in US Pat. No. 4,796,562.

도 10에서, CVD 챔버(210)는 웨이퍼 이송 시스템(214)을 통해 스퍼터링 식각 챔버(212)와 연결된다. 웨이퍼 이송 시스템(214)이 사용 상태가 아닌 동안에 챔버(212)의 압력이 챔버(210)의 압력에 영향을 미치지 못하도록 하기 위해서 웨이퍼 이송 시스템(214)과 챔버(210, 212) 사이에는 로드 락(load lock; 도시 아니함)을 사용한다. CVD 챔버(210)와 스퍼터링 식각 챔버(212)에는 가스 박스(219)에서 나온 가스 라인(216, 218)이 연결되어 있는데, 이것은 도 2와 관련하여 설명된다.In FIG. 10, the CVD chamber 210 is connected to the sputter etching chamber 212 through a wafer transfer system 214. A load lock (not shown) is provided between the wafer transfer system 214 and the chambers 210 and 212 to prevent the pressure of the chamber 212 from affecting the pressure of the chamber 210 while the wafer transfer system 214 is not in use load lock; not shown). The CVD chamber 210 and the sputter etching chamber 212 are connected to the gas lines 216 and 218 from the gas box 219, which will be described with reference to FIG.

가스 라인(218)을 경유하여 스퍼터링 식각 챔버(212)에 공급된 Ar 가스는 도 11에 도시한 밸브 배열에 의해 제어되는데, 상기 밸브 배열은 가스 박스(219) 내부에 위치하며 도 2a에 도시한 배관망(5) 근처에 있다. 도 11에서, Ar 가스 라인(250)과 관련된 여러 여과기, MFC, 밸브는 도 2a의 Ar 가스 라인(46)에 연결된 부품들과 유사하다. 도 11에는, 크립톤 가스원이 Kr 가스 라인(252)에 연결된 것으로 나타나 있다. 이 Kr 가스원과 관련 밸브들은 본 발명의 실시예에 따른 스퍼터링 식각 공정에는 사용되지 않는다.The Ar gas supplied to the sputter etching chamber 212 via the gas line 218 is controlled by the valve arrangement shown in Fig. 11, which is located inside the gas box 219, And is located near the pipe network 5. In FIG. 11, several filters, MFCs, valves associated with the Ar gas line 250 are similar to those connected to the Ar gas line 46 of FIG. 2A. In Figure 11, a krypton gas source is shown connected to the Kr gas line 252. This Kr gas source and related valves are not used in the sputter etching process according to the embodiment of the present invention.

자동 제어 시스템(221)은 적절한 신호를 배선(260, 262, 264)에 각각 공급함으로써 CVD 챔버(210), 스퍼터링 식각 챔버(212), 웨이퍼 이송 시스템(214) 및 가스 박스(219)의 여러 기능들을 통제한다.The automatic control system 221 may provide various functions of the CVD chamber 210, the sputter etching chamber 212, the wafer transfer system 214 and the gas box 219 by supplying appropriate signals to the wirings 260, 262, .

상기 실시예에서, 도 9a의 웨이퍼는 카세트 트레이(cassette tray)에 놓여있고, 이 카세트 트레이는 웨이퍼 이송 시스템(214) 내부의 카세트 챔버 안에 놓여진다. 웨이퍼 이송 시스템(214)은 미국 특허 제4,796,562호에 설명되어 있는 것과 비슷하다. 이 웨이퍼이송 시스템(214)은 카세트 트레이에 있는 웨이퍼를 꺼내서 스퍼터링 식각 챔버(212; 이것은 예컨대 1×10-4torr의 매우 낮은 압력을 유지하고 있음)에 놓기 위해서 조작 암을 사용한다. 그 다음에, Ar 가스를 함유한 스퍼터링 식각 챔버(212)를 작동시켜 웨이퍼 표면을 세척하여 알루미늄 층(202)으로부터 산화물을 제거하고 고융점 금속을 알루미늄 층(202)에 선택적으로 도포하는 데에 부정적인 영향을 미칠 수 있는 오염물을 웨이퍼 표면에서 제거한다. 이 단계에서는 SiO2표면도 세척하여 WF6나 SiH4공정 가스가 SiO2표면과 핵형성하는 것을 방지한다.In this embodiment, the wafer of FIG. 9A is placed in a cassette tray, which is placed in a cassette chamber inside the wafer transfer system 214. The wafer transfer system 214 is similar to that described in U.S. Patent No. 4,796,562. The wafer transfer system 214 uses an operation arm to take the wafer in the cassette tray and place it in a sputter etching chamber 212 (which maintains a very low pressure, for example, of 1 x 10-4 torr). Thereafter, a sputter etch chamber 212 containing Ar gas is operated to clean the wafer surface to remove oxides from the aluminum layer 202 and to selectively apply a refractory metal to the aluminum layer 202 in a negative Remove any contaminants that may affect it from the wafer surface. At this stage, the SiO 2 surface is also cleaned to prevent WF 6 or SiH 4 process gases from nucleating with the SiO 2 surface.

이 실시예에서는, 바이어스 전압을 -580 볼트로 하고 RF 전력은 400 와트로 한 스퍼터링 식각을 상온에서 약 60초 동안 14 mtorr의 압력 및 48.5 sccm의 Ar 가스 유속에서 실시한다.In this embodiment, a sputtering etch with a bias voltage of -580 volts and an RF power of 400 watts is performed at room temperature for about 60 seconds at a pressure of 14 mtorr and an Ar gas flow rate of 48.5 sccm.

웨이퍼 이송 시스템(214)과 스퍼터링 식각 챔버(212) 사이에 있는 로드 락은 닫고, 웨이퍼 이송 시스템(214)과 CVD 챔버(210) 사이에 있는 로드 락은 열어 둠으로써, 조작 암은 웨이퍼를 오염시키는 일이 없이 상기 식각된 웨이퍼를 CVD 챔버(210) 안에 넣을 수 있다. 도 3에 도시하고 미국 특허 제4,796,562호에 설명되어 있는 것처럼, 웨이퍼가 일단 CVD 챔버(210)로 이송되고 웨이퍼 고정 어셈블리(100)에 의해 석영 척(102) 위에 고정되고 나면, 웨이퍼 이송 시스템(214)과 CVD 챔버(210)의 밀봉이 해제되고 다음과 같은 도포 공정이 진행된다.The load lock between the wafer transfer system 214 and the sputter etch chamber 212 is closed and the load lock between the wafer transfer system 214 and the CVD chamber 210 is left open, The etched wafer can be placed into the CVD chamber 210 without a delay. Once the wafer has been transferred to the CVD chamber 210 and secured on the quartz chuck 102 by the wafer anchoring assembly 100, as illustrated in Figure 3 and described in U.S. Patent 4,796,562, the wafer transfer system 214 ) And the CVD chamber 210 are released, and the following coating process proceeds.

단계 1에서 웨이퍼를 세척한 다음 2개의 알루미늄 층을 연결시키는 비아를 형성하는 바람직하는 공정은 아래의 표 2a 및 표 2b에 나타낸다.The preferred process for cleaning the wafer in step 1 and then forming vias connecting the two aluminum layers is shown in Tables 2a and 2b below.

변수 설정Variable setting 지속시간(초)Duration (seconds) 온도(℃)Temperature (℃) 압력(mtorr)Pressure (mtorr) 뒤쪽 챔버 가스(torr)Rear chamber gas (torr) SiH4(sccm)SiH 4 (sccm) WF6(sccm)WF 6 (sccm) H2(sccm)H 2 (sccm) Ar(sccm)Ar (sccm) AA 77 A(≤30℃)A (≤ 30 DEG C) 5050 0.50.5 00 4.04.0 150150 55 BB 120120 450450 5050 0.50.5 00 4.04.0 150150 55 CC 4545 A(450→A)A (450? A) 800800 1.01.0 00 4.04.0 300300 5050 DD 55 AA 베이스(≤18 mtorr)Base (≤18 mtorr) 00 00 00 00 00 EE 77 AA 7070 0.50.5 00 4.04.0 150150 55 FF 55 AA 7070 0.50.5 2.62.6 4.04.0 150150 55

변수 설정Variable setting 지속시간(초)Duration (seconds) 온도(℃)Temperature (℃) 압력(mtorr)Pressure (mtorr) 뒤쪽 챔버 가스(torr)Rear chamber gas (torr) SiH4(sccm)SiH 4 (sccm) WF6(sccm)WF 6 (sccm) H2(sccm)H 2 (sccm) Ar(sccm)Ar (sccm) GG 4545 500(A→500)500 (A? 500) 7070 0.50.5 2.62.6 4.04.0 150150 55 HH 1010 500500 7070 0.50.5 2.62.6 4.04.0 150150 55 II 1010 500500 7070 0.50.5 2.82.8 4.04.0 150150 55 JJ 1010 500500 7070 0.50.5 3.03.0 4.04.0 150150 55 KK 1010 500500 7070 0.50.5 3.23.2 4.04.0 150150 55 LL 1010 500500 7070 0.50.5 3.43.4 4.04.0 150150 55 MM 55 A(A→500)A (A? 500) 베이스Base 00 00 00 00 00 NN 4545 A(A→500)A (A? 500) 800800 1.01.0 00 00 300300 5050 OO 55 AA 베이스Base 00 00 00 00 00

웨이퍼를 스퍼터링 식각한 다음, 단계 2에서 웨이퍼를 포화시키는데, 여기서는 고융점 금속계 가스를 상온(예컨대, 30 ℃ 이하)에서 짧은 시간 동안 CVD 챔버에 주입한다. 일실시예에서, 표 2a의 변수 설정 A에 나타낸 것처럼 단계 2는 WF6의 유속을 4.0 sccm으로 하고 H2의 유속을 150 sccm으로 하며 Ar의 유속을 5 sccm으로 한 상태에서 7초 동안 수행된다. 도 3에 나타낸 CVD 챔버를 참조하면, 이 단계 2에서는 반응 챔버(104)의 압력을 50 mtorr로 하고, 뒤쪽 챔버(114)의 압력은 0.5 torr로 한다. 이 단계를 사용하면, 다음 단계에서 형성될 비아와 금속층 사이의 결합력이 향상된다.After the wafer is sputter etched, the wafer is saturated in step 2, wherein a refractory metal-based gas is injected into the CVD chamber at room temperature (for example, 30 ° C or less) for a short time. In one embodiment, step 2 is performed for 7 seconds with the flow rate of WF 6 at 4.0 sccm, the flow rate of H 2 at 150 sccm, and the flow rate of Ar at 5 sccm, as shown in variable setting A of Table 2a . Referring to the CVD chamber shown in Fig. 3, in this step 2, the pressure of the reaction chamber 104 is 50 mtorr and the pressure of the rear chamber 114 is 0.5 torr. By using this step, the bonding force between the via and the metal layer to be formed in the next step is improved.

D(3). 노출된 알루미늄 표면에 고융점 금속 초기층 형성D (3). Formation of a high melting point metal initial layer on the exposed aluminum surface

단계 3에서는, 표2의 변수 설정 B~D에 나타낸 것처럼, 웨이퍼의 온도를 120초 동안 약 450 ℃로 올리고, 고융점 금속계 가스를 H2및 Ar과 함께 변수 설정 A의 유속과 동일한 유속으로 챔버에 주입한다.In step 3, as shown in parameter settings in Table 2 B ~ D, raising the temperature of the wafer to about 450 ℃ for 120 seconds, and the chamber at the same flow rate and flow speed of the set variable A with the melting point of a metal-based gas and H 2 and Ar .

알루미늄 금속층에만 고융점 금속을 선택적으로 도포하는 것이 가능한 이유는, 초기에 WF6은 알루미늄 층과 반응하여 아래의 반응식 4에 따라 고융점 금속층으로 이루어진 약간의 단층을 도포하기 때문이다.The reason why it is possible to selectively coat only the aluminum metal layer with a refractory metal is that WF 6 initially reacts with the aluminum layer and applies a slight single layer made of a refractory metal layer according to the following reaction formula (4).

WF6+ 2Al → 2AlF3+ WWF 6 + 2Al? 2AlF 3 + W

450 ℃의 도포 온도에서, 알루미늄 층 위의 패턴화된 산화층은 WF6과 접촉하였을 때 환원 반응을 일으키지 않는다. 일단 알루미늄에 고융점 금속층의 초기층이 형성되고 나면, H2는 아래의 반응식 5에 따라서 알루미늄 표면에서 고융점 금속계 가스를 환원시킬 수 있다.At the application temperature of 450 캜, the patterned oxide layer on the aluminum layer does not cause a reduction reaction when contacted with WF 6 . Once the initial layer of the refractory metal layer is formed on aluminum, H 2 can reduce the refractory metal-based gas on the aluminum surface according to the following reaction formula (5).

WF6+ 3H2→ W + 6HFWF 6 + 3H 2 ? W + 6HF

표 2a 및 표 2b의 변수 설정 C에서 보는 것처럼, 웨이퍼의 온도는 상온으로 선형 감소하고 CVD 챔버 내부의 압력은 증가한다.As shown in the variable setting C of Table 2a and Table 2b, the temperature of the wafer decreases linearly to room temperature and the pressure inside the CVD chamber increases.

변수 설정 D에서 보는 바와 같이, 챔버에 있는 가스를 정화한다. 앞에서 설명했던 것처럼, 도 2에 도시한 밸브를 적절하게 조작하여 원하는 유속의 공정 가스를 CVD 챔버에 주입한다.As shown in parameter setting D, the gas in the chamber is cleaned. As described above, the valve shown in Fig. 2 is appropriately operated to inject a process gas of a desired flow rate into the CVD chamber.

단계 3을 끝낸 최종 웨이퍼를 도 9b에 나타내는데, 여기서 고융점 금속층(220)은 알루미늄 층(202) 위에 도포되어 있다.The final wafer after step 3 is shown in FIG. 9B, where a refractory metal layer 220 is applied over the aluminum layer 202.

D(4). 환원 가스 SiH4를 사용한 도포D (4). Coating using reducing gas SiH 4

변수 설정 E에서는, SiH4가 챔버에 주입되기 전에 WF6, H2, Ar 가스를 상온에서 약 7초 동안 CVD 챔버에 다시 주입하여, 나중에 SiH4를 CVD 챔버에 주입했을 때 CVD 챔버에 WF6이 풍부하게 있도록 한다.The variable setting E, WF 6 in the CVD chamber when SiH 4 is introduced to WF 6, H 2, and the Ar gas is injected back into the CVD chamber for about 7 seconds at room temperature, SiH 4 later before being introduced into the chamber in the CVD chamber To be abundant.

단계 4에서는 변수 설정 F에서 보는 것처럼, 웨이퍼의 온도를 선형 증가시키기 전에 약 5초 동안 SiH4를 CVD 챔버에 주입한다. SiH4는 노출된 알루미늄 층 위에 고융점 금속의 도포 속도를 높이는 데에 사용된다.In step 4, SiH 4 is injected into the CVD chamber for about 5 seconds before linearly increasing the temperature of the wafer, as shown in variable setting F. SiH 4 is used to increase the application speed of the refractory metal on the exposed aluminum layer.

또한, 단계 4에서는 변수 설정 G~L에서 보는 것처럼, 45초 이상 동안 웨이퍼의 온도를 약 500 ℃로 선형 증가시키고 이 온도를 50초 동안 유지한다. 이 시간 동안 SiH4의 공급을 2.6 sccm에서 3.4 sccm으로 선형 증가시켜, 발열성 환원 반응에 의해 상기 도포된 고융점 금속층의 온도가 증가함에 따라 고융점 금속의 도포 속도가 증가되도록 한다. 앞에서 설명했던 것처럼, 비록 SiH4의 증가분은 반응에 공급되지만, 도포된 고융점 금속의 주상 그레인 구조는 보존되는데, 왜냐 하면 발열성 환원 반응에 의해 상기 도포된 고융점 금속의 온도가 증가하기 때문이다.Also, in step 4, the temperature of the wafer is linearly increased to about 500 DEG C for 45 seconds or longer, and this temperature is maintained for 50 seconds, as shown in the variable settings G to L. During this time, the supply of SiH 4 is linearly increased from 2.6 sccm to 3.4 sccm so that the application rate of the refractory metal increases as the temperature of the refractory metal layer is increased by the exothermic reduction reaction. As discussed above, although the increment of SiH 4 is supplied to the reaction, the columnar grain structure of the applied high melting point metal is preserved because the temperature of the applied high melting point metal is increased by the exothermic reduction reaction .

단계 4를 끝낸 웨이퍼는 도 9c에 나타내는데, 여기에는 도포된 고융점 금속층(WSix; 222)이 고융점 금속층(W; 220) 위에 도포되어 있다.The wafer after the step 4 is shown in FIG. 9C, in which a coated high melting point metal layer (WSi x ) 222 is coated on the high melting point metal layer (W) 220.

D(5). 웨이퍼 냉각D (5). Wafer cooling

단계 5에서는 변수 설정 M~O에 의거하여, CVD 챔버에 있는 가스가 빠져나감에 따라 도 3의 램프(126)에 공급되는 전원을 선형적으로 줄여서 웨이퍼를 냉각한다. 변수 설정 N에서는 도 3의 램프(126)에 공급되는 전원을 낮춤으로써 45초 이상 동안 웨이퍼의 온도를 상온까지 선형 감소시킨다. H2와 Ar을 CVD 챔버에 주입하여, CVD 챔버(104)의 압력을 800 mtorr로 하고 뒤쪽 챔버(114)의 압력을 1.0 torr로 한다. 공정 변수 O에 도시한 것처럼, 공정 가스를 다시 제거한다.In step 5, on the basis of the variable settings M to O, the power supplied to the lamp 126 in Fig. 3 is linearly reduced to cool the wafer as the gas in the CVD chamber escapes. In the variable setting N, the temperature of the wafer is linearly decreased to room temperature for 45 seconds or more by lowering the power supplied to the lamp 126 in FIG. H 2 and Ar are injected into the CVD chamber such that the pressure in the CVD chamber 104 is 800 mtorr and the pressure in the rear chamber 114 is 1.0 torr. As shown in process variable O, the process gas is removed again.

CVD 챔버의 가스를 빼내고, 웨이퍼 이송 시스템(214)과 CVD 챔버(210) 사이의 로드 락을 연다. 조작 암이 CVD 챔버(210)에서 웨이퍼를 꺼내고 이것을 미국 특허 제4,796,562호에 설명되어 있는 것과 마찬가지의 방식으로 웨이퍼 이송 시스템(214) 내의 카세트에 집어넣는다. 웨이퍼 이송 시스템(214)에서 웨이퍼를 꺼내기 위해서는 N2를 웨이퍼 이송 시스템(214)에 주입하여 시스템(214)의 압력이 대기압보다 약간 높게 만든다. 웨이퍼가 들어 있는 (동일 로트(lot)의 다른 웨이퍼도 들어 있음) 카세트는 그 다음에 제거된다.The CVD chamber is evacuated and the load lock between the wafer transfer system 214 and the CVD chamber 210 is opened. The manipulating arm removes the wafer from the CVD chamber 210 and inserts it into the cassette in the wafer transfer system 214 in a manner similar to that described in U.S. Patent No. 4,796,562. To remove the wafer from the wafer transfer system 214, N 2 is injected into the wafer transfer system 214 to make the pressure of the system 214 slightly higher than the atmospheric pressure. The cassette containing the wafer (containing the same lot of other wafers) is then removed.

어닐링 단계는 웨이퍼에 대한 모든 처리 단계가 끝난 다음에 진행될 것이기 때문에, 이 단계에서는 상기 도포된 층의 실리사이드를 형성하기 위해 어떠한 어닐링 단계도 필요하지 않다.Since the annealing step will proceed after all the processing steps for the wafer have been completed, no annealing step is needed to form the suicide of the applied layer at this stage.

E. 2개의 금속층 사이에 비아를 형성하는 블랭킷 도포 공정E. Blanket application process to form vias between two metal layers

블랭킷 도포법을 사용하여 고융점 금속 비아를 2개의 금속층 사이에 형성하기 위해 웨이퍼 표면을 스퍼터링 식각할 필요는 없다. 따라서, 웨이퍼는 애초에 웨이퍼 이송 시스템(214)을 경유하여 도 10에 도시한 것처럼 CVD 챔버(210)에 놓여 있다.It is not necessary to sputter-etch the surface of the wafer in order to form the refractory metal via between the two metal layers using the blanket coating method. Thus, the wafer is initially placed in the CVD chamber 210 as shown in FIG. 10 via the wafer transfer system 214.

SiH4를 2초 동안 265~500 ℃의 도포 온도에서 CVD 챔버(210)에 주입하여 알루미늄과 산화층 표면에 대한 블랭킷 도포를 촉진시킨다.SiH 4 is injected into the CVD chamber 210 at an application temperature of 265-500 ° C for 2 seconds to promote blanket application to aluminum and oxide layer surfaces.

그 다음에, 원하는 두께의 장벽층이 형성될 때까지 SiH4와 함께 WF6을 주입한다. 지금까지 설명한 이러한 블랭킷 도포 공정은 알루미늄의 비교적 낮은 용융점 때문에 도포 온도가 500 ℃를 넘지 않아야 한다는 점을 제외하고는 도 7a와 도 7b와 관련하여 설명했던 것과 동일하다.WF 6 is then implanted with SiH 4 until a barrier layer of desired thickness is formed. This blanket application process described so far is the same as that described with reference to Figures 7A and 7B except that the application temperature should not exceed 500 [deg.] C due to the relatively low melting point of aluminum.

고융점 금속 장벽층이 원하는 두께로 도포된 다음, 고융점 금속을 식각하여 원하는 두께의 비아만 남겨 둔다.After the refractory metal barrier layer is applied to a desired thickness, the refractory metal is etched to leave only vias of the desired thickness.

그 다음에, 종래 방법을 이용하여 상부 금속층을 웨이퍼 표면에 형성한다.The upper metal layer is then formed on the wafer surface using conventional methods.

최종 구조는 순수한 고융점 금속층(220)이 이러한 블랭킷 도포 공정에서 형성되지 않는다는 점만 제외하면 도 9d에 도시한 것과 동일하다.The final structure is the same as that shown in Figure 9D except that a pure refractory metal layer 220 is not formed in this blanket application process.

알의 공정에서 설명했던 것과 같이, 신뢰성과 훌륭한 결과를 보장하기 위해서는, 반응 부산물의 잔류 시간을 10 밀리초 이하로 유지하여야 하고, 반응 가스의 순도는 최소한 99.999로 유지하여야 하며, 가스의 임계 유속은 ±0.2 sccm 이내에 있도록 제어하여야 한다.As described in the egg process, to ensure reliability and good results, the retention time of reaction by-products must be maintained at 10 milliseconds or less, the purity of the reaction gas should be maintained at least 99.999, ± 0.2 sccm.

F. 추가 공정 및 장치의 특징F. Characteristics of additional processes and equipment

위에서 설명한 방법 중 하나를 이용하여 여러 장의 웨이퍼를 처리한 다음에는, 도 3의 석영 척(102)과 같은 CVD 챔버의 일부에 고융점 금속층이 입혀져서, 방사를 흡수하고 공정 챔버에 있는 가스와 반응을 하는 바람직하지 못한 일이 생긴다. 챔버를 세정하고자 하는 경우에는 예컨대 도 2의 밸브(17, 37)를 열고 식각 가스 NF3을 챔버에 주입한다. 종래에는 이 식각 단계를 CVD 챔버가 상온일 때 수행하였다. 이 종래 방법의 단점은 NF3이 챔버에 있는 석영(SiO2)과 챔버에 있는 반응 부산물과 반응하여 SiF4또는 다른 불화물이 응축된다는 것이다.After processing multiple wafers using one of the methods described above, a portion of the CVD chamber, such as the quartz chuck 102 of Figure 3, is coated with a refractory metal layer to absorb the radiation and react with the gases in the process chamber There is an undesirable thing to do. When the chamber is to be cleaned, for example, the valves 17 and 37 of FIG. 2 are opened and the etching gas NF 3 is injected into the chamber. Conventionally, this etching step was performed when the CVD chamber was at room temperature. A disadvantage of this conventional method is that NF 3 reacts with quartz (SiO 2 ) in the chamber and reaction by-products in the chamber, thereby condensing SiF 4 or other fluoride.

이러한 응축 문제를 해결하기 위하여, 예를 들면, Mydax에서 제조되는 것과 같은 폐쇄 루프 가열 소자를 사용하여 반응 챔버의 벽을 65 ℃ 이상으로 가열하고, 챔버를 NF3으로 식각하는 동안 챔버 압력이 약 80 mtorr 이하를 유지하도록 한다. 이러한 신규한 공정에 의하면 어떠한 응축도 피할 수 있는데, 왜냐 하면, 이 온도에서 SiF4또는 기타 불화물은 휘발성이어서 NF3에 의해 정화되어 없어지기 때문이다.In order to solve this condensation problem, for example, the chamber pressure during the heating of the walls of the reaction chamber to at least 65 ℃, and etching the chamber in a NF 3 80 using the closed loop heating elements such as that manufactured by Mydax Keep it below mtorr. This new process avoids any condensation because SiF 4 or other fluoride at this temperature is volatile and is purified by NF 3 and disappears.

아래의 표 3은 도 3의 CVD 챔버(104)와 같은 CVD 챔버를 NF3식각하는 공정을 나타낸다.Table 3 below shows a process for NF 3 etching a CVD chamber, such as the CVD chamber 104 of FIG.

단계step NF3 NF 3 H2 H 2 시간time 압력pressure RF 전력RF power 벽 온도Wall temperature AA 50 sccm50 sccm 00 5'5 ' 80 mtorr80 mtorr 200 W200 W 90 ℃90 ° C BB 00 00 5'5 ' 8080 00 90 ℃90 ° C CC 00 175 sccm175 sccm 5'5 ' 8080 200 W200 W 90 ℃90 ° C DD 00 00 5'5 ' 8080 00 90 ℃90 ° C EE 00 350 sccm350 sccm 5'5 ' 800800 00 90 ℃90 ° C FF 00 00 5'5 ' 8080 00 90 ℃90 ° C

표3의 단계 A에서는, 50 sccm의 NF3이 낮은 압력(80 mtorr 또는 그 이하의 압력)에서 도 3의 CVD 챔버(104)로 주입된다. CVD 챔버의 석영 벽의 온도는 약 90 ℃로 유지하면서, 200 와트의 RF 전력을 약 5분 동안 공급한다. 도 3의 뒤쪽 챔버(114)를 가압하기 위해 어떠한 가스도 사용하지 않는다.In step A of Table 3, 50 sccm of NF 3 is injected into the CVD chamber 104 of FIG. 3 at low pressure (80 mtorr or less). The temperature of the quartz wall of the CVD chamber is maintained at about 90 占 폚 while supplying 200 watts of RF power for about 5 minutes. No gas is used to press the rear chamber 114 of FIG.

단계 B에서, RF 전력을 끄고 NF3가스를 챔버로부터 빼낸다.In step B, RF power is turned off and NF 3 gas is withdrawn from the chamber.

단계 C에서, 175 sccm의 H2를 CVD 챔버에 주입하고, 200 와트의 RF 전력을 다시 5분 동안 인가하여 챔버에 남아 있는 잔류물을 청소한다.In step C, 175 sccm of H 2 is injected into the CVD chamber and 200 watt of RF power is applied again for 5 minutes to clean any residue remaining in the chamber.

단계 D에서, 챔버에 있는 가스를 다시 빼낸다.In step D, the gas in the chamber is withdrawn again.

단계 E에서, 5분 동안 800 mtorr의 챔버 압력에서 350 sccm의 H2를 CVD 챔버에 주입하여 식각 공정에서 생긴 불순물을 제거한다.In step E, 350 sccm of H 2 is injected into the CVD chamber at a chamber pressure of 800 mtorr for 5 minutes to remove impurities from the etching process.

단계 F에서, 챔버에 있는 가스를 다시 빼낸다.In step F, the gas in the chamber is again withdrawn.

이제 CVD 챔버는 세척된 깨끗한 상태이며 다른 도포 공정을 진행할 준비가 되어 있다.The CVD chamber is now clean, clean and ready for another application process.

NF3의 부식성 때문에, CVD 챔버에서 비교적 반응성이 좋은 구리 개스킷은 모두 알루미늄 개스킷으로 교체하는 것이 바람직하다.Due to the corrosive nature of NF 3 , it is desirable to replace all copper gaskets that are relatively reactive in the CVD chamber with aluminum gaskets.

고융점 금속층을 도포하는 본 발명의 실시예에 따른 공정에 사용되는 다른 신규한 특징으로는, CVD 챔버에 가스 배출 링, 예컨대 도 3의 링(108, 110)을 사용한다는 것인데, 이 링은 개량된 가스 확산 수단을 가지고 있어서 링을 통과하여 CVD 챔버로 들어가는 가스가 균일하게 확산되도록 한다. 이 실시예에서, 도 12와 도 13에 도시한 것처럼, 가스 배출 링(260)은 윗부분에 스테인레스 스틸 그레인으로 구성된 또는 이와 동등한 불활성 재료 그레인으로 구성된 확산 수단(262)을 갖는데, 이 그레인은 함께 소결되어 가스가 이 소결된 그레인 사이의 공간을 통과해 랜덤하게 빠져나가도록 한다. 분말 그레인의 평균 크기는 0.5~2.0 ㎛인 것이 바람직하다. 이 실시예에서, 확산 수단(262)의 두께는 0.062 인치이고, 확산 수단(262)의 직경은 약 7 인치이다. 그러나, 확산 수단(262)과 링(264)의 크기는 적용 분야에 따라 적절한 크기로 바꿀 수 있다.Another novel feature used in the process according to an embodiment of the present invention to apply a refractory metal layer is to use a gas discharge ring, e.g., ring 108, 110 of Figure 3, in the CVD chamber, Gas diffusion means so that the gas passing through the ring and entering the CVD chamber is uniformly diffused. In this embodiment, as shown in Figs. 12 and 13, the gas discharge ring 260 has a diffusion means 262 made of stainless steel grain or equivalent inert material grain on the top, Thereby allowing the gas to escape randomly through the space between the sintered grains. The mean size of the powder grains is preferably 0.5 to 2.0 mu m. In this embodiment, the thickness of the diffusion means 262 is 0.062 inches and the diameter of the diffusion means 262 is about 7 inches. However, the size of the diffusion means 262 and the ring 264 can be varied to an appropriate size depending on the application.

비다공성 지지 링(264)은 스테인레스 스틸로 구성하는 것이 바람직하다.The non-porous supporting ring 264 is preferably made of stainless steel.

실제 적용예로서, 미국의 코네티컷주(Conn.) Farmington에 소재하는 Mott Metallurgical Corporation에서 판매하는 소결 스테인레스 스틸 분말로 이루어진 판재를 원하는 모양으로 잘라서 확산 수단을 만든다. 그 다음에 링 모양의 확산 수단(262)을 비다공성 지지링(264)에 용접한다. 입구 포트(266)를 통해 가스가 가스 배출 링(262)으로 들어간다.As a practical example, a plate made of sintered stainless steel powder sold by Mott Metallurgical Corporation of Farmington, Conn. (USA) is cut into a desired shape to make diffusion means. The ring-shaped diffusion means 262 is then welded to the non-porous support ring 264. Gas enters the gas discharge ring 262 through the inlet port 266.

본 명세서에서 설명한 여러 공정들을 실행하기 위한 바람직한 장치에서, 여러 종류의 가스 유속과 공정 변수들은 도 10에 도시한 자동 제어 시스템(221)과 같은 컴퓨터의 제어에 의해 자동으로 수행된다. 따라서, 위에서 설명한 여러 공정들을 자동화함으로써 사람에 의한 오류를 피할 수 있다. 당해 기술 분야에서 통상의 지식을 가진 자라면, 상기 도포된 고융점 금속층이 원하는 특성을 갖도록 하는 공정을 자동화하는 제어 시스템과 소프트웨어 프로그램을 쉽게 개발할 수 있을 것이다. 이 실시예에서 사용된 소프트웨어는 앞에서 선택적 도포 공정의 단계 4와 관련하여 설명했던 것과 같은 가스 라인 정화 기능도 제어한다.In a preferred apparatus for performing the various processes described herein, various gas flow rates and process variables are automatically performed by control of a computer, such as the automatic control system 221 shown in FIG. Thus, by automating the various processes described above, human error can be avoided. Those skilled in the art will readily be able to develop a control system and software program that automates the process of making the applied refractory metal layer have the desired properties. The software used in this embodiment also controls the gas line purge function as previously described in connection with step 4 of the selective application process.

이상 설명한 실시예는 고융점 금속을 도포하는 데에 사용되는 공정과 장치의 예시적인 실시예로서 설명을 위한 것에 지나지 않으며 본 발명을 한정하기 위한 것은 아니다. 쉽게 이해할 수 있는 바와 같이, 앞서 설명한 공정에서는 적절한 고융점 금속계 가스 또는 환원 가스를 임의로 사용할 수 있다. 당업자라면 본 발명의 범위를 벗어나지 않고서도 여러 가지 다른 실시예를 쉽게 생각해 낼 수 있을 것이다.The above-described embodiments are merely illustrative examples of the process and apparatus used for applying the refractory metal, and are not intended to limit the present invention. As can be easily understood, a suitable refractory metal-based gas or a reducing gas can be arbitrarily used in the above-described process. Those skilled in the art will be able to contemplate various alternative embodiments without departing from the scope of the present invention.

이상 설명한 바와 같이 본 발명에 따르면, 웨이퍼가 들어 있는 CVD 공정 챔버로 가스를 흘리기 전에 공정 가스의 공급을 제어하고 공정 가스를 정화함으로써 가스의 순도와 안정성을 확보할 수 있다.As described above, according to the present invention, the purity and stability of the gas can be ensured by controlling the supply of the process gas and purifying the process gas before the gas is supplied to the CVD process chamber containing the wafer.

Claims (22)

화학 기상 증착(CVD) 챔버에 가스를 공급하는 장치에 의해 수행되는 방법에 있어서,A method performed by an apparatus for supplying a gas to a chemical vapor deposition (CVD) chamber, 하나 이상의 가스를 하나 이상의 입력 포트(6, 9)에 공급하는 단계와,Supplying one or more gases to one or more input ports (6, 9) 상기 입력 포트 중 하나의 입력 포트의 아래쪽에 연결된 제1 밸브(12)를 열어서 상기 입력 포트 중 하나(6)에 공급된 가스가 가스 라인(25)에 흐르도록 하는 제1 밸브 개방 단계와,Opening a first valve (12) connected below one of the input ports to cause gas supplied to one of the input ports (6) to flow into the gas line (25) 상기 제1 밸브의 아래쪽에 연결된 제2 밸브(24)를 닫아서 상기 가스가 상기 CVD 챔버(104)로 흘러 들어가지 못하도록 하는 제2 밸브 폐쇄 단계와,Closing the second valve (24) connected under the first valve to prevent the gas from flowing into the CVD chamber (104) 상기 제1 밸브와 제2 밸브 사이에 연결된 질량 흐름 제어기(76)를 통과하는 가스의 유속을 검출하는 단계와,Detecting a flow rate of gas passing through a mass flow controller (76) connected between the first valve and the second valve, 상기 제1 밸브와 제2 밸브 사이의 상기 가스 라인(25)에 연결된 진공 라인(57)과 연결된 제3 밸브(23)를 열고 상기 질량 흐름 제어기(76)를 제어하여 상기 가스가 상기 진공 라인을 통해 흐르도록 함으로써, 상기 진공 라인으로 흘러 들어간 가스의 유속이 상기 CVD 챔버 내에서 반도체 웨이퍼를 처리하는 동안 사용되는 유속과 동일하도록 만드는 제3 밸브 개방 및 질량 흐름 제어기 제어 단계와,A third valve 23 connected to a vacuum line 57 connected to the gas line 25 between the first valve and the second valve is opened and the mass flow controller 76 is controlled so that the gas flows through the vacuum line A third valve opening and mass flow controller control step for making the flow rate of the gas flowing into the vacuum line equal to the flow rate used during the processing of the semiconductor wafer in the CVD chamber, 상기 제3 밸브(23)를 닫는 단계와,Closing the third valve (23) 상기 제2 밸브(24)를 열어서 상기 가스가 상기 CVD 챔버로 들어가게 함으로써 상기 CVD 챔버에 상기 가스가 갑자기 많이 밀려들어가지 않게 하는 제2 밸브 개방 단계를 포함하는 방법.And opening the second valve (24) to allow the gas to enter the CVD chamber so that the gas is not suddenly pushed into the CVD chamber. 제1항에서, 상기 가스는 H2인 것을 특징으로 하는 방법.The method of claim 1, wherein the gas is H 2 . 제1항에서, 상기 가스는 SiH4인 것을 특징으로 하는 방법.In claim 1, wherein the gas is characterized in that the SiH 4. 제1항에서, 반도체 웨이퍼를 상기 CVD 챔버(104)에 놓는 단계를 더 포함하며, 상기 가스가 상기 CVD 챔버로 들어가게 하는 상기 제2 밸브(24) 개방 단계는 상기 웨이퍼의 표면과 상기 가스가 반응하여 상기 표면에 금속층(132)이 도포되도록 하는 단계인 것을 특징으로 하는 방법.The method of claim 1, further comprising placing a semiconductor wafer in the CVD chamber (104), wherein opening the second valve (24) to cause the gas to enter the CVD chamber causes the gas to react with the surface of the wafer So that the metal layer (132) is applied to the surface. 제4항에서, 상기 층은 실리콘을 포함하는 것을 특징으로 하는 방법.5. The method of claim 4, wherein the layer comprises silicon. CVD 챔버에 공정 가스가 들어가기 전에 상기 가스를 제어하는 장치에 있어서,An apparatus for controlling a gas before it enters a CVD chamber, 하나 이상의 공정 가스원에 연결된 하나 이상의 입력 포트(6, 9)와,One or more input ports (6, 9) connected to one or more process gas sources, 상기 하나 이상의 입력 포트의 아래쪽에 연결되며, 상기 하나 이상의 입력 포트를 가스 라인의 해당 첫 번째 단에 연결시키는 제1 밸브(12)와,A first valve (12) connected below the at least one input port and connecting the at least one input port to a corresponding first end of the gas line, 상기 제1 밸브의 아래쪽에 연결되어 있으며 상기 가스 라인의 해당 첫 번째 단을 상기 가스 라인의 두 번째 단(25)에 연결시키는 제2 밸브(22)와,A second valve connected to the lower side of the first valve and connecting a corresponding first end of the gas line to a second end of the gas line, 상기 제2 밸브와 CVD 챔버 사이에 연결된 제1 여과기(70)와,A first filter (70) connected between the second valve and the CVD chamber, 각각의 제1 밸브의 아래쪽에 연결되어 있으며, 상기 가스 라인을 진공원(57)에 연결시켜 가스가 상기 CVD 챔버로는 들어가지 않으면서 원하는 유속으로 상기 가스 라인을 통과해 흐르도록 만드는 제3 밸브(23)와,A third valve connected downstream of each first valve for connecting the gas line to a vacuum source 57 to allow gas to flow through the gas line at a desired flow rate without entering the CVD chamber, (23) 상기 가스 라인을 정화 가스원에 연결시켜 상기 가스 라인이 불활성 가스에 의해 정화되도록 하는 제4 밸브(19)를 포함하는 가스 제어 장치.And a fourth valve (19) connecting said gas line to a purge gas source such that said gas line is purified by inert gas. 제6항에서, 상기 하나 이상의 입력 포트(6, 9), 제1 밸브(12), 제1 여과기(70) 및 제2 밸브(22)를 에워싸고 있으며, 상기 장치를 베이크(bake)하여 이 장치 내에 있는 오염물을 증발시키는 내부 가열 소자(87)를 포함하는 배관망(5)을 더 포함하는 것을 특징으로 하는 가스 제어 장치.A method as claimed in claim 6, wherein said at least one input port (6, 9), first valve (12), first filter (70) and second valve (22) Further comprising a pipe network (5) comprising an internal heating element (87) for evaporating contaminants in the apparatus. 제6항에서, 상기 공정 가스원 중 최소한 하나는 실란(silane)을 공급하는 것을 특징으로 하는 가스 제어 장치.7. The gas control apparatus according to claim 6, wherein at least one of the process gas sources supplies a silane. 제6항에서, 상기 공정 가스원 중 최소한 하나는 수소를 공급하는 것을 특징으로 하는 가스 제어 장치.7. The gas control apparatus according to claim 6, wherein at least one of the process gas sources supplies hydrogen. 제6항에서, 상기 하나 이상의 입력 포트(6, 9)와 상기 제2 밸브(22) 사이에 연결된 제2 여과기(60)를 더 포함하는 것을 특징으로 하는 가스 제어 장치.The gas control apparatus according to claim 6, further comprising a second filter (60) connected between said one or more input ports (6, 9) and said second valve (22). 제10항에서, 상기 제1 여과기(70)와 제2 여과기(60) 중 하나에는 유기 금속 화합물이 들어 있고, 나머지 여과기 또는 상기 제2 여과기는 다공성 가스 여과기인 것을 특징으로 하는 가스 제어 장치.The gas control apparatus according to claim 10, wherein one of the first filter (70) and the second filter (60) contains an organometallic compound, and the remaining filter or the second filter is a porous gas filter. 제6항에서, 각각의 제1 밸브(12)의 아래쪽에 연결된 질량 흐름 제어기(76)를 더 포함하는 것을 특징으로 하는 가스 제어 장치.The gas control apparatus according to claim 6, further comprising a mass flow controller (76) connected under each of the first valves (12). 제6항에서, 상기 불활성 가스는 질소인 것을 특징으로 하는 가스 제어 장치.7. The gas control apparatus according to claim 6, wherein the inert gas is nitrogen. CVD 챔버에 사용되는 공정 가스를 제어하는 장치에 있어서,An apparatus for controlling a process gas used in a CVD chamber, 공정 가스원에 연결된 입력 포트(6~8)와,Input ports (6-8) connected to the process gas source, 각각의 상기 입력 포트의 아래쪽에 연결되며, 상기 입력 포트를 가스 라인의 첫 번째 단에 연결시키는 제1 밸브 수단(12~14)과,First valve means (12-14) connected below each said input port and connecting said input port to a first end of the gas line, 상기 제1 밸브 수단의 아래쪽에 위치한 제1 여과기 수단(60~62)과,First filter means (60-62) located below said first valve means, 상기 제1 여과기 수단의 아래쪽에 위치하며 상기 가스 라인의 첫 번째 단을 상기 가스 라인의 두 번째 단에 연결시키는 제2 밸브 수단(24, 27, 41)을 포함하며,And second valve means (24, 27, 41) located below the first filter means and connecting the first end of the gas line to the second end of the gas line, 상기 제2 가스 라인은 제3 밸브 수단(19, 28, 42)을 통하여 상기 가스 라인에 연결되어서, 상기 제2 가스 라인을 통해 가스를 흘리면 상기 가스 라인의 첫 번째 단이 가압되는 것을 특징으로 하는 공정 가스 제어 장치.Characterized in that the second gas line is connected to the gas line through third valve means (19, 28, 42) and the first end of the gas line is pressurized by flowing a gas through the second gas line Process gas control device. 제14항에서, 상기 제2 가스 라인은 상기 제3 밸브 수단(19, 28, 42)을 통해 상기 가스 라인의 첫 번째 단(25, 30, 46)에 연결되어서, 상기 제2 밸브 수단(24, 27, 41)이 닫힌 상태에서 상기 제2 가스 라인을 통해 가스를 흘리면 상기 가스 라인의 상기 첫 번째 단이 가압되는 것을 특징으로 하는 공정 가스 제어 장치.15. The method according to claim 14, wherein the second gas line is connected to the first end (25, 30, 46) of the gas line via the third valve means (19, 28, 42) , 27, 41) are closed, the first end of the gas line is pressurized by flowing a gas through the second gas line. 제14항에서, 상기 입력 포트, 제1 밸브 수단, 제1 여과기 수단, 제2 밸브 수단을 에워싸고 있으며, 상기 장치를 베이크하여 이 장치 내의 오염물을 증발시키는 내부 가열 소자(87)를 포함하는 배관망을 포함하는 것을 특징으로 하는 공정 가스 제어 장치.15. A piping system according to claim 14, comprising an internal heating element (87) surrounding the input port, the first valve means, the first filter means, and the second valve means for bake the device and evaporate contaminants in the device Wherein the process gas is a gas. 제14항에서, 상기 공정 가스는 텅스텐 헥사플루오라이드(WF6)와 실란(SiH4)을 포함하는 것을 특징으로 하는 공정 가스 제어 장치.In claim 14 wherein the process gas is the process gas control device comprises a tungsten hexafluoride (WF 6) and silane (SiH 4). 제14항에서, 상기 제1 여과기 수단(60~62)은 상기 공정 가스와 반응하여 이 공정 가스에서 특정 불순물을 제거하는 화학적 여과기인 것을 특징으로 하는 공정 가스 제어 장치.15. The process gas control apparatus according to claim 14, wherein the first filter means (60-62) is a chemical filter that reacts with the process gas to remove specific impurities from the process gas. 제14항에서, 상기 제1 여과기 수단(60~62) 아래쪽에 있는 제2 여과기 수단(70~72)을 더 포함하는 것을 특징으로 하는 공정 가스 제어 장치.15. The process gas control apparatus according to claim 14, further comprising second filter means (70-72) below said first filter means (60-62). 제19항에서, 상기 제2 여과기 수단(70~72)은 상기 가스에서 불순물 입자를 제거하는 다공성 가스 여과기인 것을 특징으로 하는 공정 가스 제어 장치.20. The process gas control apparatus according to claim 19, wherein the second filter means (70-72) is a porous gas filter for removing impurity particles from the gas. 제14항에서, 제4 밸브 수단(23, 29, 43)을 통해 상기 가스 라인의 첫 번째 단(25, 30, 46)에 연결된 제3 가스 라인(57, 58)과, 상기 제3 가스 라인에 연결되어 상기 첫 번째 단의 압력을 제어하는 진공원을 더 포함하는 것을 특징으로 하는 공정 가스 제어 장치.The system according to claim 14, further comprising: a third gas line (57, 58) connected to a first end (25, 30, 46) of the gas line through a fourth valve means (23, 29, 43) Further comprising a vacuum source connected to the first stage to control the pressure of the first stage. 제14항에서, 상기 가스 라인의 상기 제1 밸브 수단(12~14)과 상기 제2 밸브 수단(24, 27, 41) 사이에 연결되어 있으며, 상기 가스 라인을 통해 흐르는 가스의 유속을 검출하고, 이 가스 라인을 통해 흐르는 상기 가스가 정해진 유속으로 흐르도록 제어하는 질량 흐름 제어기(76)를 더 포함하는 것을 특징으로 하는 공정 가스 제어 장치.15. The method according to claim 14, further comprising detecting a flow rate of the gas flowing through the gas line, which is connected between the first valve means (12-14) of the gas line and the second valve means (24, 27, 41) , And a mass flow controller (76) for controlling the gas flowing through the gas line to flow at a predetermined flow rate.
KR1019980035468A 1990-01-08 1998-08-31 Filtering technique for cvd chamber process gases KR100187485B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US46195990A 1990-01-08 1990-01-08
US461959 1990-01-08
KR1019910000144A KR100236500B1 (en) 1990-01-08 1991-01-08 Apparatus and method for forming low contact resistivity barrier layer and conductive via

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1019910000144A Division KR100236500B1 (en) 1990-01-08 1991-01-08 Apparatus and method for forming low contact resistivity barrier layer and conductive via

Publications (1)

Publication Number Publication Date
KR100187485B1 true KR100187485B1 (en) 1999-04-15

Family

ID=26628476

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980035468A KR100187485B1 (en) 1990-01-08 1998-08-31 Filtering technique for cvd chamber process gases

Country Status (1)

Country Link
KR (1) KR100187485B1 (en)

Similar Documents

Publication Publication Date Title
KR100236500B1 (en) Apparatus and method for forming low contact resistivity barrier layer and conductive via
US5203956A (en) Method for performing in-situ etch of a CVD chamber
US5211796A (en) Apparatus for performing in-situ etch of CVD chamber
KR100296533B1 (en) Tungsten nucleation method during tungsten CVD process performed by WF6 reduction in vacuum chamber without the use of silane
US6218301B1 (en) Deposition of tungsten films from W(CO)6
KR100278562B1 (en) Method for selective deposition of refractory metal and device formed thereby
US4902645A (en) Method of selectively forming a silicon-containing metal layer
US6029680A (en) Method for in situ removal of particulate residues resulting from cleaning treatments
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
US5123375A (en) Structure for filtering CVD chamber process gases
JP2001291682A (en) Plasma treatment of titanium nitride film formed by chemical vapor deposition
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
JPS6333569A (en) Production of thin metallic film
US20020168840A1 (en) Deposition of tungsten silicide films
US6573181B1 (en) Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6309959B1 (en) Formation of self-aligned passivation for interconnect to minimize electromigration
US5180432A (en) Apparatus for conducting a refractory metal deposition process
US7781337B2 (en) Forming method of silicide film
KR100187485B1 (en) Filtering technique for cvd chamber process gases
US20020162500A1 (en) Deposition of tungsten silicide films
US20060048706A1 (en) Apparatus for manufacturing semiconductor device and method for manufacturing semiconductor device by using the same
US5211987A (en) Method and apparatus for forming refractory metal films
KR0175016B1 (en) Selective tungsten nitride thin film formation method and metallization method using the same
JP3868043B2 (en) Tungsten nitride film manufacturing method and metal wiring manufacturing method using the same
JPH03278431A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101227

Year of fee payment: 13

EXPY Expiration of term