KR0174070B1 - Plasma treatment device and plasma treatment method - Google Patents

Plasma treatment device and plasma treatment method Download PDF

Info

Publication number
KR0174070B1
KR0174070B1 KR1019950006479A KR19950006479A KR0174070B1 KR 0174070 B1 KR0174070 B1 KR 0174070B1 KR 1019950006479 A KR1019950006479 A KR 1019950006479A KR 19950006479 A KR19950006479 A KR 19950006479A KR 0174070 B1 KR0174070 B1 KR 0174070B1
Authority
KR
South Korea
Prior art keywords
dielectric plate
microwave
coaxial
microwaves
plasma processing
Prior art date
Application number
KR1019950006479A
Other languages
Korean (ko)
Other versions
KR950027912A (en
Inventor
다다시 기무라
요시까즈 요시다
시니찌 미즈구찌
야스나오 오까자끼
Original Assignee
모리시따 요오이찌
마쯔시다 덴끼 산교 가부시끼 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP05394594A external-priority patent/JP3156492B2/en
Priority claimed from JP6184441A external-priority patent/JPH0850995A/en
Application filed by 모리시따 요오이찌, 마쯔시다 덴끼 산교 가부시끼 가이샤 filed Critical 모리시따 요오이찌
Publication of KR950027912A publication Critical patent/KR950027912A/en
Application granted granted Critical
Publication of KR0174070B1 publication Critical patent/KR0174070B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/357Microwaves, e.g. electron cyclotron resonance enhanced sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides

Abstract

본 발명의 플라즈마 처리 장치는, 기판을 처리하기 위해 마이크로파 전력에 의해 반응 가스로부터 플라즈마를 발생시킨다.The plasma processing apparatus of the present invention generates plasma from the reaction gas by microwave power to process the substrate.

본 플라즈마 처리 장치는, 배출 수단과 반응 가스 도입구를 포함하는 진공 챔버와; 진공 챔버내에 배치되어 피처리 기판을 지지하는 수단과; 진공 챔버의 한 부분을 형성하도록 피처리 기판에 대향하는 위치에 배치된 유전체판과; 피처리 기판에 대향되도록 진공 챔버를 향하지 않는 유전체판의 외부 평면상에 배치된 금속 도전판과; 유전체판의 외주측면으로부터 중심을 향한 역-방사방향으로 마이크로파 전력을 공급하는 수단을 포함한다. 상기 구조하에서, 마이크로파가 유전체판의 외주측면으로부터 중심쪽으로 역-방사방향으로 공급되므로 기판에 대향된 유전체판상에 표면파가 발생된다. 그 결과, 마이크로파 전력이 방사 방향을 따라 챔버내로 균일하게 방사되고, 플라즈마가 넓은 영역에 걸쳐 반응 가스로부터 균일하게 발생되므로 대형 기판이라도 균일하게 처리될 수 있다.The plasma processing apparatus includes a vacuum chamber including discharge means and a reaction gas inlet; Means for supporting the substrate to be disposed in the vacuum chamber; A dielectric plate disposed at a position opposite the substrate to be processed to form a portion of the vacuum chamber; A metal conductive plate disposed on an outer plane of the dielectric plate not facing the vacuum chamber so as to face the substrate to be processed; And means for supplying microwave power in a reverse-radiation direction toward the center from the outer circumferential side of the dielectric plate. Under the above structure, the microwaves are supplied in the reverse-radiation direction from the outer peripheral side of the dielectric plate toward the center so that surface waves are generated on the dielectric plate opposite to the substrate. As a result, the microwave power is uniformly radiated into the chamber along the radiation direction, and since the plasma is uniformly generated from the reaction gas over a wide area, even a large substrate can be processed uniformly.

Description

마이크로파 플라즈마 처리 장치 및 방법Microwave Plasma Processing Apparatus And Method

제1도는 본 발명의 제1실시예에 따른 플라즈마 처리 장치의 단면도.1 is a cross-sectional view of a plasma processing apparatus according to a first embodiment of the present invention.

제2도는 유전체 판을 위에서 바라본 경우의 마이크로파의 전파 방향을 개략적으로 나타낸 평면도.2 is a plan view schematically showing the direction of propagation of microwaves when the dielectric plate is viewed from above.

제3도는 표면파로서 전파된 마이크로파의 전자기장의 분포를 나타내는 도면.3 is a diagram showing a distribution of electromagnetic fields of microwaves propagated as surface waves.

제4도는 본 발명의 제2실시예에 따른 플라즈마 처리 장치의 단면도.4 is a cross-sectional view of a plasma processing apparatus according to a second embodiment of the present invention.

제5도는 본 발명의 제3실시예에 따른 플라즈마 처리 장치의 단면도.5 is a cross-sectional view of a plasma processing apparatus according to a third embodiment of the present invention.

제6도는 본 발명의 제3실시에에 따른 전자기 코일을 사용하는 스퍼터링 장치의 단면도.6 is a cross-sectional view of a sputtering apparatus using an electromagnetic coil according to a third embodiment of the present invention.

제7도는 본 발명의 제4실시예에 따른 플라즈마 처리 장치의 단면도.7 is a sectional view of a plasma processing apparatus according to a fourth embodiment of the present invention.

제8도는 곡선으로 테이퍼진 블록을 사용하는 직사각형/동축 변환 유닛의 단면도.8 is a cross-sectional view of a rectangular / coaxial conversion unit using curved tapered blocks.

제9도는 대향 위치에 배치된 두개의 테이퍼진 블록을 사용하는 직사각형/동축 변환 유닛의 단면도.9 is a cross-sectional view of a rectangular / coaxial conversion unit using two tapered blocks disposed at opposite positions.

제10도는 T-형 내부 도체를 사용하는 직사각형/동축 변환 유닛의 단면도.10 is a cross-sectional view of a rectangular / coaxial conversion unit using a T-shaped inner conductor.

제11도는 본 발명의 제5실시예에 따른 플라즈마 처리 장치의 단면도.11 is a cross-sectional view of a plasma processing apparatus according to a fifth embodiment of the present invention.

제12도는 본 발명의 제6실시예에 따른 플라즈마 처리 장치의 단면도.12 is a cross-sectional view of a plasma processing apparatus according to a sixth embodiment of the present invention.

제13도는 본 발명의 제7실시예에 따른 플라즈마 처리 장치의 단면도.13 is a sectional view of a plasma processing apparatus according to a seventh embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명* Explanation of symbols for main parts of the drawings

1 : 진공실 2 : 가스 도입구1: vacuum chamber 2: gas inlet

3 : 홀더 4 : 피처리 물체3: holder 4: object to be processed

5 : 가스 배출구 6a : 내부 도체5: gas outlet 6a: inner conductor

6b : 외부 도체 8 : 유전체 판(dielectric plate)6b: outer conductor 8: dielectric plate

[발명의 분야][Field of Invention]

본 발명은 반도체소자, 액정 패널, 태양 전지 등을 제조하는데 사용되는 플라즈마 처리 장치 및 처리 방법에 관한 것으로서, 특히 박막 부착 방법 및 드라이 에칭 방법같은 다양한 종류의 미세 패턴 기술에 사용되는 플라즈마 처리 장치 및 처리 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma processing apparatus and a processing method used to manufacture a semiconductor device, a liquid crystal panel, a solar cell, and the like. In particular, the plasma processing apparatus and processing used in various types of fine pattern technologies such as a thin film deposition method and a dry etching method. It is about a method.

[종래기술에 대한 설명][Description of the Prior Art]

최근에, 제조되는 소자(device)의 성능 향상과 필요한 처리 비용을 절감하기 위하여 많은 노력이 수행되고 있다. 이와같은 노력의 목적은 소자의 품질 향상, 처리 속도의 증가, 처리 면적의 확대, 장치에 의해 피처리 소자에 주어지는 손상을 감소시키는데 있다.Recently, many efforts have been made to improve the performance of devices manufactured and to reduce the required processing costs. The purpose of this effort is to improve the quality of the device, increase the processing speed, increase the processing area, and reduce damage to the device to be processed by the device.

일본 특허공개 공보 제62-43335호, 제53-44795호 및 제53-34461호에는 종래의 마이크로파 플라즈마 처리 장치가 개시되어 있다. 그러나, 이러한 마이크로파 플라즈마 처리 장치들은 전자석 코일을 적용하기 때문에, 대형 기판을 처리하는 데 있어서는 부피가 커지는 결점이 있다. 또한 이와같은 장치들은 기판을 매우 균일하게 처리할 수 없다.Japanese Patent Laid-Open Nos. 62-43335, 53-44795 and 53-34461 disclose conventional microwave plasma processing apparatuses. However, since these microwave plasma processing apparatuses apply electromagnet coils, there is a drawback of being bulky in processing a large substrate. Also, such devices cannot process the substrate very uniformly.

일본 특허공개 공보 제62-5600호는 비교적 큰 기판을 처리할 수 있는 또다른 종래의 마이크로파 플라즈마 처리 장치를 개시하고 있다. 상기 특허 공보에 개시된 기술에 따르면, 마이크로파의 전파 방향으로는 플라즈마 처리의 균일성이 매우 높다. 그러나 마이크로파의 전파 방향에 대해 수직인 폭 방향에 있어서 기판은 플라즈마에 균일하게 노출되지 않는다. 즉, 기판 중심부의 플라즈마 밀도는 높은 반면 단부에서의 플라즈마 밀도는 낮다. 따라서 이와같은 장치는 태양전지, 액정 패널용의 큰 기판을 전체적으로 한번에 처리할 수 없다. 또한, 피처리 기판에 대향하는 플라즈마 발생기의 면적이 피처리 기판의 면적보다 크기 때문에 이와같은 발생기를 다중 챔버형 장치상에 설치하기가 곤란하다.Japanese Patent Laid-Open No. 62-5600 discloses another conventional microwave plasma processing apparatus capable of processing a relatively large substrate. According to the technique disclosed in the above patent publication, the uniformity of the plasma treatment is very high in the propagation direction of the microwaves. However, the substrate is not uniformly exposed to the plasma in the width direction perpendicular to the direction of propagation of the microwaves. That is, the plasma density at the center of the substrate is high while the plasma density at the end is low. Therefore, such a device cannot process large substrates for solar cells and liquid crystal panels as a whole. In addition, it is difficult to install such a generator on a multi-chambered apparatus because the area of the plasma generator opposite to the substrate is larger than that of the substrate.

미국 특허 제5,234,565호도 마이크로파 플라즈마 처리 장치에 관한 것이다. 그러나 상기 특허에 개시된 기술에 따르면, 마이크로파는 동축 개방 단부로부터 방사되므로 마이크로파는 동축 도파관(coaxial waveguide)의 내부 도체의 중심부에 고 전기장 강도를 가진다. 따라서 이와 같은 마이크로파의 불균일한 분포는 플라즈마의 밀도도 분균일하게 만든다. 그 결과, 이와같은 장치는 대형 기판을 균일하게 처리할 수 없다.U. S. Patent No. 5,234, 565 also relates to a microwave plasma processing apparatus. However, according to the technique disclosed in this patent, the microwaves radiate from the coaxial open end so that the microwaves have a high electric field strength in the center of the inner conductor of the coaxial waveguide. Therefore, such non-uniform distribution of microwaves makes the density of plasma even. As a result, such an apparatus cannot uniformly process large substrates.

[본 발명의 개요]SUMMARY OF THE INVENTION

본 발명에 따르면, 마이크로파 전력에 의해 가스로부터 플라즈마를 발생시키는 마이크로파 플라즈마 처리 장치가 제공된다. 마이크로파 플라즈마 처리 장치는 가스 도입구 및 가스 배기구를 갖는 진공실과; 상기 진공실 내부에 배치되어 피처리 물체를 지지하는 홀더와; 피처리 물체와 대향하는 전방표면과 이 전방표면에 평행한 후방표면을 가지는 유전체 판(dielectric plate)과; 상기 유전체 판의 외측면으로부터 그 중심을 향하여 역방사 방향(inverse-radially)으로 마이크로파 전력을 공급하는 마이크로파 전력 공급 수단을 구비한다.According to the present invention, there is provided a microwave plasma processing apparatus for generating a plasma from a gas by microwave power. The microwave plasma processing apparatus includes a vacuum chamber having a gas inlet port and a gas exhaust port; A holder disposed inside the vacuum chamber to support an object to be processed; A dielectric plate having a front surface facing the object to be processed and a rear surface parallel to the front surface; Microwave power supply means for supplying microwave power in an inverse-radially direction from the outer surface of the dielectric plate toward the center thereof.

한 실시예에 있어서, 유전체 판의 전방표면은 진공실 내벽의 한 부분을 형성하며, 유전체 판의 전방표면으로부터 방사된 마이크로파의 표면파에 의하여 플라즈마가 형성된다.In one embodiment, the front surface of the dielectric plate forms a portion of the inner wall of the vacuum chamber, and plasma is formed by surface waves of microwaves radiated from the front surface of the dielectric plate.

다른 실시예에 있어서, 마이크로파 전력 공급 수단은 동축 도파관의 내부 도체와 외부 도체를 가지며, 상기 내부 도체는 유전체 판의 후방표면과 접촉하도록 배치되며, 외부 도체는 내부 도체를 둘러싸며, 유전체 판의 외주 측면은 내부 도체와 외부 도체 사이에 형성된 갭과 연통하도록 배치된다.In another embodiment, the microwave power supply means has an inner conductor and an outer conductor of the coaxial waveguide, the inner conductor being arranged to contact the rear surface of the dielectric plate, the outer conductor surrounding the inner conductor, the outer circumference of the dielectric plate The sides are arranged in communication with the gap formed between the inner and outer conductors.

또다른 실시예에 있어서, 마이크로파 전력 공급 수단의 내부 도체는, 유전체 판의 후방표면과 접촉하는 평면부를 가지는 도어 노브형(door-knob shaped)부분을 구비한다.In another embodiment, the inner conductor of the microwave power supply means has a door-knob shaped portion having a flat portion in contact with the rear surface of the dielectric plate.

또다른 실시예에 있어서, 마이크로파 전력 공급 수단은 제1금속 도체와 제2금속 도체를 구비하며, 상기 제1금속 도체는 유전체 판의 후방표면과 접촉하도록 배치되며, 상기 제2금속 도체는 제1금속 도체를 둘러싼다. 마이크로파 전력 공급 수단에서, 제1금속 도체는 동축 도파관의 내부 도체에 연결되고, 제2금속 도체는 동축 도파관의 외부 도체에 연결되며, 유전체 판의 외주 측면은 내부 도체와 외부 도체 사이에 형성된 갭과 연통하도록 배치된다.In yet another embodiment, the microwave power supply means comprises a first metal conductor and a second metal conductor, the first metal conductor being arranged to contact the back surface of the dielectric plate, the second metal conductor being the first metal conductor. Surround the metal conductor. In the microwave power supply means, the first metal conductor is connected to the inner conductor of the coaxial waveguide, the second metal conductor is connected to the outer conductor of the coaxial waveguide, and the outer circumferential side of the dielectric plate has a gap formed between the inner conductor and the outer conductor. It is arranged to communicate.

또다른 실시예에 있어서, 마이크로파 전력 공급 수단의 제1금속 도체는 도어 노브형 부분을 가지며, 상기 도어 노브형 부분은 유전체 판의 후방표면과 접촉하는 평면부를 가진다.In another embodiment, the first metal conductor of the microwave power supply means has a door knob-like portion, the door knob-like portion having a flat portion in contact with the rear surface of the dielectric plate.

또다른 실시예에 있어서, 진공실은 금속으로 제조된 부분과 마이크로파를 전파하는 윈도우부분을 갖는데, 상기 윈도우부분은 유전체 판으로 덮여 있다.In another embodiment, the vacuum chamber has a portion made of metal and a window portion for propagating microwaves, the window portion being covered with a dielectric plate.

또다른 실시예에 있어서, 마이크로파 플라즈마 처리 장치는 유전체 판과 피처리 물체 사이의 공간에 자기장을 발생시키는 자기장 발생 수단을 추가로 구비한다.In yet another embodiment, the microwave plasma processing apparatus further comprises magnetic field generating means for generating a magnetic field in the space between the dielectric plate and the object to be processed.

또다른 실시예에 있어서, 마이크로파 플라즈마 처리 장치는 유전체 판의 후방표면에 배치된 금속 전극과, 이 금속 전극에 무선주파수 전압을 인가하는 수단을 추가로 구비한다.In yet another embodiment, the microwave plasma processing apparatus further comprises a metal electrode disposed on the rear surface of the dielectric plate and means for applying a radio frequency voltage to the metal electrode.

본 발명의 다른 관점에 따르면, 마이크로파 전력에 의해 가스로부터 플라즈마를 발생시키는 마이크로파 플라즈마 처리 장치가 제공된다. 상기 마이크로파 플라즈마 처리 장치는 가스 도입구 및 가스 배기구를 가지는 진공실과; 상기 진공실 내측에 배치되어 피처리 물체를 지지하는 홀더와; 진공실에 연결된 동축 도파관과; 상기 동축 도파관에 진공을 유지시키는 절연체와; 마이크로파 발생 수단과; 적어도 두개의 직사각형 도파관으로 마이크로파를 출력하기 위하여 마이크로파 발생 수단으로부터의 마이크로파 출력을 나누는 분기 수단(junction means)과; 동축 도파관에 마이크로파를 출력하기 위하여 직사각형 도파관으로부터 마이크로파를 수용하는 직사각형/동축 변환 수단(rectangular/coaxial transform means)을 구비한다.According to another aspect of the present invention, there is provided a microwave plasma processing apparatus for generating a plasma from a gas by microwave power. The microwave plasma processing apparatus includes a vacuum chamber having a gas introduction port and a gas exhaust port; A holder disposed inside the vacuum chamber to support an object to be processed; A coaxial waveguide connected to the vacuum chamber; An insulator for maintaining a vacuum in the coaxial waveguide; Microwave generating means; Junction means for dividing the microwave output from the microwave generating means to output the microwave to at least two rectangular waveguides; Rectangle / coaxial transform means for receiving microwaves from the rectangular waveguide for outputting the microwaves to the coaxial waveguide.

한 실시예에 있어서, 마이크로파 플라즈마 처리 장치는, 직사각형 도파관으로부터 마이크로파를 출력시키는 적어도 두개의 마이크로파 발생 수단과; 동축 도파관으로 마이크로파를 출력하기 위하여 직사각형 도파관으로부터 마이크로파를 수용하는 직사각형/동축 변환 수단을 추가로 구비하는데, 상기 직사각형/동축 변환 수단은 동축 도파관에 대하여 선대칭(axisymmetric)이다.In one embodiment, a microwave plasma processing apparatus includes: at least two microwave generating means for outputting microwaves from a rectangular waveguide; Further comprising rectangular / coaxial conversion means for receiving microwaves from the rectangular waveguide for outputting microwaves to the coaxial waveguide, the rectangular / coaxial conversion means being axisymmetric with respect to the coaxial waveguide.

다른 실시예에 있어서, 마이크로파 플라즈마 처리 장치는, 동축 도파관을 통하여 마이크로파를 전파하는 적어도 두개의 동축 분기 수단과; 상기 적어도 두개의 동축 분기 수단으로 마이크로파를 출력시키는 적어도 두개의 마이크로파 발생 수단을 추가로 구비하는데, 상기 적어도 두개의 동축 분기 수단은 동축 도파관에 접속되어 있으며 상기 동축 도파관에 대해 실질적으로 선대칭이다.In another embodiment, a microwave plasma processing apparatus includes: at least two coaxial branching means for propagating microwaves through a coaxial waveguide; And at least two microwave generating means for outputting microwaves to said at least two coaxial branching means, said at least two coaxial branching means being connected to a coaxial waveguide and substantially linearly symmetrical with respect to said coaxial waveguide.

또다른 실시예에 있어서, 마이크로파 플라즈마 처리 장치는, 동축 도파관을 통하여 마이크로파를 전파하는 적어도 두개의 동축 분기 수단과; 임피던스를 매칭시키는 적어도 두개의 매칭 수단과; 동축 도파관에 마이크로파를 출력시키는 마이크로파 발생 수단을 추가로 구비하는 데, 상기 적어도 두개의 동축 분기 수단은 동축 도파관에 접속되어 있으며 상기 동축 도파관에 대해 실질적으로 선대칭이며, 상기 매칭 수단은 상기 적어도 두개의 동축 분기 수단에 접속되어 있다.In yet another embodiment, a microwave plasma processing apparatus includes: at least two coaxial branching means for propagating microwaves through a coaxial waveguide; At least two matching means for matching an impedance; Further comprising microwave generating means for outputting microwaves to the coaxial waveguide, wherein the at least two coaxial branching means are connected to the coaxial waveguide and substantially linearly symmetrical with respect to the coaxial waveguide, and the matching means is the at least two coaxial It is connected to the branching means.

본 발명의 또다른 실시예에 있어서, 진공실내에서 플라즈마로 물체를 처리하는 방법이 제공된다. 상기 방법은 가스를 진공실내로 도입시키는 단계와; 원형 유전체 판의 표면으로부터 마이크로파의 표면파를 방사하도록 원형 유전체 판의 외주 측면으로부터 원형 유전체 판의 중심을 향해 역방사 방향으로 마이크로파 전력을 공급하는 단계와; 마이크로파 전력에 의해 가스로부터 플라즈마를 발생시키는 단계를 구비한다.In another embodiment of the present invention, a method of treating an object with a plasma in a vacuum chamber is provided. The method includes introducing a gas into a vacuum chamber; Supplying microwave power in a reverse radiation direction from the outer circumferential side of the circular dielectric plate toward the center of the circular dielectric plate to radiate the surface wave of the microwave from the surface of the circular dielectric plate; Generating a plasma from the gas by microwave power.

한 실시예에 있어서, 플라즈마 처리 방법은 피처리 물체의 표면상에 막을 증착시키는 단계를 추가로 구비한다.In one embodiment, the plasma processing method further comprises depositing a film on the surface of the object to be processed.

다른 실시예에 있어서, 플라즈마 처리 방법은 피처리 물체의 적어도 일부분을 에칭시키는 단계를 추가로 구비한다.In another embodiment, the plasma processing method further comprises etching at least a portion of the object to be processed.

또다른 실시예에 있어서 플라즈마 처리 방법은 피처리 물체에 막을 증착시키기 위해 플라즈마로 타겟을 스퍼터링하는 단계를 추가로 구비한다.In another embodiment, the plasma processing method further includes sputtering the target with plasma to deposit a film on the object to be processed.

유전체 판의 외주 측면으로부터 그 중심부로 방사 방향을 따라서 역으로 집중되도록(이하, 간단히 역방사 방향으로 함) 마이크로파가 공급되므로 피처리 표면과 대향하는 유전체 판의 표면으로부터 표면파가 발생된다. 그 결과, 마이크로파 전력은 진공실 내에서 방사 방향으로 균일하게 방사되어 넓은 영역에 걸쳐 반응 가스로부터 플라즈마를 균일하게 발생시킨다. 따라서, 피처리 기판이 크더라도 기판이 균일하게 처리된다.Since microwaves are supplied from the outer circumferential side of the dielectric plate to the center thereof in the reverse direction (hereinafter simply referred to as the reverse radiation direction), the surface wave is generated from the surface of the dielectric plate facing the surface to be treated. As a result, the microwave power is uniformly radiated in the radial direction in the vacuum chamber to uniformly generate plasma from the reaction gas over a wide area. Therefore, even if the substrate to be processed is large, the substrate is uniformly processed.

동축 도파관을 통하여 횡방향 전기 및 자기(TEM) 모드로 전파된 마이크로파는, 동축 도파관의 링형 마이크로파 방사 부분으로부터 유전체 판의 외주 측면까지 역방사 방향으로 공급되므로, 피처리 기판과 대향하는 유전체 판의 표면으로부터 표면파가 발생된다. 그 결과 마이크로파 전력은 진공실 내에서 균일하게 방사되어 넓은 영역에 걸쳐 반응가스로부터 플라즈마를 균일하게 발생한다. 따라서 피처리 기판이 크더라도 기판이 균일하게 처리된다.The microwave propagated through the coaxial waveguide in the transverse electric and magnetic (TEM) mode is supplied in the reverse radiation direction from the ring-shaped microwave radiating portion of the coaxial waveguide to the outer peripheral side of the dielectric plate, so that the surface of the dielectric plate facing the substrate to be processed is Surface waves are generated. As a result, the microwave power is uniformly radiated in the vacuum chamber to uniformly generate plasma from the reaction gas over a wide area. Therefore, even if the substrate to be processed is large, the substrate is uniformly processed.

자기장 발생 수단이 유전체 판과 처리 기판 사이의 공간에 제공되므로, 플라즈마 내의 전자가 마이크로파와 발생된 자기장 사이의 상호 작용에 의해 트랩(trap)된다. 그 결과, 전자와 중성 입자의 충돌 가능성이 증가되고; 플라즈마의 밀도가 증가되어; 대형 기판이 처리될 수 있으며; 또한, 처리가 고속으로 수행될 수도 있다. 또한, 10-3Torr 이하의 저압에서 플라즈마를 발생시키기에 보다 용이해진다.Since the magnetic field generating means is provided in the space between the dielectric plate and the processing substrate, the electrons in the plasma are trapped by the interaction between the microwave and the generated magnetic field. As a result, the possibility of collision between electrons and neutral particles is increased; The density of the plasma is increased; Large substrates can be processed; Also, the process may be performed at high speed. In addition, it becomes easier to generate a plasma at a low pressure of 10 −3 Torr or less.

마이크로파 전력의 모드가 직사각형 도파관 전파 모드(transmission mode)에서 동축 도파관 전파 모드로 변환된 경우, 대체로 대향 위치에 제공되는 직사각형 도파관의 도입구들로부터 도입된 마이크로파가 서로 간섭된다. 그 결과, 플라즈마 처리의 균일성에 역영향을 끼치는 마이크로파의 고차 모드(higher mode)의 발생이 억제될 수 있으며; 마이크로파 전력이 동축 도파관을 통해 균일한 모드로 전파되고; 따라서, 마이크로파 전력이 동축 도파관의 단부에서 균일하게 방사되므로, 플라즈마를 균일하게 발생시킨다. 이렇게 하여, 대형 기판도 균일하게 처리될 수 있다.When the mode of microwave power is converted from the rectangular waveguide transmission mode to the coaxial waveguide propagation mode, the microwaves introduced from the inlets of the rectangular waveguides provided at generally opposite positions interfere with each other. As a result, the occurrence of a higher mode of microwaves that adversely affects the uniformity of the plasma treatment can be suppressed; Microwave power propagates through the coaxial waveguide in a uniform mode; Thus, microwave power is uniformly radiated at the end of the coaxial waveguide, thus generating plasma uniformly. In this way, the large substrate can also be treated uniformly.

대체로 대향한 위치에 제공된 적어도 두개의 마이크로파 전력 도입구 내로 도입된 마이크로파 전력은, 동일한 마이크로파 전력 발진 수단에 의해 최초로 발진되어 도입구로 분포되므로, 마이크로파 전력의 각각의 위상은 서로 쉽게 매칭될 수 있다. 따라서, 동축 도파관 내에서의 고차 모드의 발생은 마이크로파 전력을 간단한 방법으로 조정함으로써 억제될 수 있으므로, 플라즈마를 균일하게 발생시킨다.The microwave power introduced into at least two microwave power inlets provided at generally opposite locations is first oscillated by the same microwave power oscillation means and distributed to the inlet, so that each phase of the microwave power can be easily matched with each other. Therefore, the generation of the higher order mode in the coaxial waveguide can be suppressed by adjusting the microwave power in a simple manner, thereby generating the plasma uniformly.

복잡한 구조를 갖는 분기 수단은 더이상 요구되지 않는다. 따라서, 동축 도파관 내에서의 고차 모드의 발생은 단순한 구조에 의해 억제될 수 있으므로, 플라즈마를 균일하게 발생시킨다.Branching means with a complex structure are no longer required. Therefore, the generation of the higher order mode in the coaxial waveguide can be suppressed by the simple structure, thereby generating the plasma uniformly.

동축 도파관에 분기가 있음으로 인하여 야기된 불일치에 기인한 마이크로파 전력의 고차 모드의 발생은 억제될 수 있다. 따라서, 분기가 존재하더라도 균일한 모드의 마이크로파 전력이 동축 도파관을 통해 전파될 수 있으므로, 플라즈마를 균일하게 발생시킨다.The occurrence of higher order modes of microwave power due to mismatches caused by branching in the coaxial waveguide can be suppressed. Thus, even if there is a branch, microwave power in a uniform mode can be propagated through the coaxial waveguide, thus generating plasma uniformly.

따라서, 상술한 본 발명은 (1) 대형 기판도 균일하게 처리가능한 플라즈마 처리 장치를 제공하며; (2) 그 위에 장착된 콤팩트 플라즈마 발생기를 갖는 플라즈마 처리 장치를 제공하는 장점을 갖는다.Accordingly, the present invention described above provides (1) a plasma processing apparatus capable of uniformly processing a large substrate; (2) It has the advantage of providing a plasma processing apparatus having a compact plasma generator mounted thereon.

본 발명의 상기 및 기타 장점은 첨부된 도면을 참조로 한 다음의 상세한 설명으로부터 당업자들에게 보다 명백해질 것이다.These and other advantages of the present invention will become more apparent to those skilled in the art from the following detailed description with reference to the accompanying drawings.

[양호한 실시예에 대한 상세한 설명]Detailed Description of the Preferred Embodiments

본 발명에 따른 마이크로파 플라즈마 처리 장치는 기판과 같은 물체를 플라즈마로 처리하기 위해 마이크로파 전력에 의해 반응 가스로부터 플라즈마를 발생시킨다. 본 발명을 설명하기 전에, 여기서의 플라즈마 처리는 플라즈마 상태의 반응가스를 활용하는 방법을 의미하며, 예컨대 플라즈마 CVD법, 드라이 에칭 방법, 스퍼터링 방법 등을 포함한다.The microwave plasma processing apparatus according to the present invention generates a plasma from a reaction gas by microwave power to treat an object such as a substrate into a plasma. Before describing the present invention, the plasma treatment herein means a method of utilizing a reaction gas in a plasma state, and includes, for example, a plasma CVD method, a dry etching method, a sputtering method, and the like.

이하에, 본 발명이 첨부 도면을 참조로 하여 도시한 실시예를 통해 설명된다. 도면에서, 동일한 참조 부호는 서로 대응하는 유사 부품을 지정한다.DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, the present invention will be described through embodiments shown with reference to the accompanying drawings. In the drawings, like reference numerals designate like parts corresponding to each other.

[실시예 1]Example 1

제1도는 본 발명의 제1실시예에 따른 플라즈마 처리 장치의 단면을 도시하고 있다. 본 플라즈마 처리 장치는 가스 도입구(5)와 가스 배출구(2)를 갖는 진공실(1)을 포함한다. 가스 배출구(2)는 가스 배출 시스템에 연결되어 진공실(1)내의 압력을 소정 레벨까지 저하시킨다. 플라즈마를 발생시키기 위한 가스는 가스 도입구(5)를 통해 진공실(1)내로 도입된다. 어떠한 종류의 플라즈마 처리를 수행하느냐에 따라 적절한 가스를 플라즈마를 발생시키기 위한 가스로 선택한다. 진공실(1)는 금속 재료로 된 부분(1a)과 마이크로파를 전파시키기 위한 윈도우 부분(개구)(1b)을 포함한다. 윈도우 부분(1b)은 용융 석영으로 된 원형의 유전체 판(8)(외경 φ: 500㎜; 두께: 22㎜; 유전상수: 3.8)으로 덮여있다. 유전체 판(8)은 두개의 평행 표면, 즉 전방 표면(8a) 및 후방 표면(8b)을 포함한다. 전방 표면(8a)은 진공실(1) 내부벽의 한 부분을 구성한다.1 shows a cross section of a plasma processing apparatus according to a first embodiment of the present invention. The plasma processing apparatus includes a vacuum chamber 1 having a gas inlet 5 and a gas outlet 2. The gas outlet 2 is connected to the gas discharge system to lower the pressure in the vacuum chamber 1 to a predetermined level. Gas for generating a plasma is introduced into the vacuum chamber 1 through the gas inlet 5. Depending on what kind of plasma treatment is performed, an appropriate gas is selected as the gas for generating the plasma. The vacuum chamber 1 includes a portion 1a made of a metallic material and a window portion (opening) 1b for propagating microwaves. The window portion 1b is covered with a circular dielectric plate 8 (outer diameter?: 500 mm; thickness: 22 mm; dielectric constant: 3.8) made of fused quartz. The dielectric plate 8 comprises two parallel surfaces, namely the front surface 8a and the rear surface 8b. The front surface 8a constitutes a part of the inner wall of the vacuum chamber 1.

피처리 물체(4)를 지지하기 위한 홀더(3)가 유전체 판(8)의 전방 표면(8a)에 대향하는 진공실(1)내 한 위치에 배치된다. 피처리 물체(4)를 가열하기 위한 히터(도시안됨) 또는 냉각 시스템(주로 드라이 에칭을 수행하는 경우)이 홀더(3)에 제공된다. 플라즈마는 주로 피처리 물체(4)와 유전체 판(8)의 전방 표면(8a) 사이의 공간에 형성된다. 제1도의 실시예에서, 기판이 피처리 물체(4)로 사용된다.A holder 3 for holding the object 4 to be processed is disposed at a position in the vacuum chamber 1 opposite the front surface 8a of the dielectric plate 8. A heater (not shown) or a cooling system (mainly when performing dry etching) for heating the object 4 to be processed is provided in the holder 3. The plasma is mainly formed in the space between the object 4 and the front surface 8a of the dielectric plate 8. In the embodiment of FIG. 1, a substrate is used as the object to be processed 4.

본 실시예에 따른 구조하에서, 마이크로파 전력이 유전체 판(8)의 외주 측면(8c)에서부터 유전체 판(8)의 중심쪽으로 역방사 방향으로 공급된다. 마이크로파 전력 공급 시스템은, 유전체 판(8)의 후방 표면(8b)과 접촉되도록 배치된 내부 도체(6a)(외경: 21.3㎜ 내지 522㎜)와, 내부 도체(6a)를 둘러싸는 외부 도체(6b)(내경: 49㎜ 내지 550㎜)를 포함한다. 내부 도체(6a) 및 외부 도체(6b)는 동축의 도파관(7)을 구성한다.Under the structure according to this embodiment, microwave power is supplied in the reverse radiation direction from the outer peripheral side 8c of the dielectric plate 8 toward the center of the dielectric plate 8. The microwave power supply system includes an inner conductor 6a (outer diameter: 21.3 mm to 522 mm) disposed to be in contact with the rear surface 8b of the dielectric plate 8, and an outer conductor 6b surrounding the inner conductor 6a. ) (Inner diameter: 49 mm to 550 mm). The inner conductor 6a and the outer conductor 6b constitute a coaxial waveguide 7.

내부 도체(6a)는 한 단부에 선대칭 도어-노브형 부분을 포함한다. 도어-노브형 부분은 45°로 경사진 테이퍼 부분과 유전체 판(8)의 후방 표면과 접촉하는 평평부(flat portion)를 갖는다. 도어-노브형 부분에서, 내부 도체(6a)의 외경은 21.3㎜에서 522㎜로 확장되고, 외부 도체(6b)의 내경은 49㎜로부터 550㎜로 확장된다. 유전체 판(8)의 외주 측면(8c)은 동축 도파관(7)의 내부 도체(6a)와 외부 도체(6b) 사이에 형성된 갭과 연통하도록 배치된다. 따라서, 동축 도파관(7)을 통해 전파도니(예컨대, 2.45㎓의 주파수를 갖는) 마이크로파(P)는 유전체 판(8)을 통해 유전체 판(8)의 외주 측면(8c)으로부터 그 중심쪽으로 역방사 방향으로 전파된다. 상술한 바와 같이, 이 실시예의 구조에 따르면, 마이크로파는 유전체 판(8)의 외주 측면(8c)에 대해 방사 방향으로 상기 외주 측면으로 도입될 수 있다. 제2도는 유전체 판(8)을 위에서 보았을 때의 마이크로파의 전파 방향을 도시한다. 동축 도파관(7)으로의 마이크로파 공급에 대한 한 방법은 미국 특허 제5,234,565호에 개시되어 있다.The inner conductor 6a includes a line symmetric door-knob shaped part at one end. The door-knob-shaped portion has a tapered portion inclined at 45 ° and a flat portion in contact with the rear surface of the dielectric plate 8. In the door-knob-shaped part, the outer diameter of the inner conductor 6a extends from 21.3 mm to 522 mm, and the inner diameter of the outer conductor 6b extends from 49 mm to 550 mm. The outer circumferential side surface 8c of the dielectric plate 8 is arranged to communicate with a gap formed between the inner conductor 6a and the outer conductor 6b of the coaxial waveguide 7. Thus, the propagated microwave P (eg, having a frequency of 2.45 kHz) through the coaxial waveguide 7 radiates back toward the center from the outer peripheral side 8c of the dielectric plate 8 via the dielectric plate 8. Propagates in direction. As described above, according to the structure of this embodiment, microwaves can be introduced into the peripheral side in the radial direction with respect to the peripheral side 8c of the dielectric plate 8. 2 shows the direction of propagation of microwaves when the dielectric plate 8 is viewed from above. One method for microwave supply to coaxial waveguide 7 is disclosed in US Pat. No. 5,234,565.

본 발명에 따르면, 마이크로파(9)가 원형 유전체 판(8)을 통해 이 유전체 판(8)의 외주 측면(8c)으로부터 그 중심쪽으로 역방사 방향으로 전파되므로, 표면파 모드의 마이크로파가 유전체 판(8)의 전방 표면(8a)으로부터 방사된다. 이러한 표면파는 전방 표면(8a)과 피처리 물체(4) 사이의 공간에 플라즈마를 균일하게 형성한다. 특히, 동축 도파관(7)을 통해 기본적으로 TEM 모드로 전파된 마이크로파(9)는 유전체 판(8)을 통해 이 유전체 판(8)의 외주 측면으로부터 그 중심쪽으로 역방사 방향으로 전파된다. 유전체 판(8)을 통해 전파된 마이크로파(9)는 유전체 판(8) 표면상의 표면파 모드와 결합되어 유전체 판(8)과 진공실(1)내 공간 사이의 경계면에서 표면파 모드로 변환되어 공간 속으로 방사된다.According to the present invention, since the microwave 9 propagates in a reverse radiation direction from the outer circumferential side 8c of the dielectric plate 8 to the center through the circular dielectric plate 8, the microwave in the surface wave mode is transmitted through the dielectric plate 8. Is radiated from the front surface 8a. This surface wave forms a plasma uniformly in the space between the front surface 8a and the object to be processed 4. In particular, the microwave 9 propagated basically in the TEM mode via the coaxial waveguide 7 propagates in the reverse radiation direction from the outer circumferential side of the dielectric plate 8 to the center via the dielectric plate 8. The microwave 9 propagated through the dielectric plate 8 is combined with the surface wave mode on the surface of the dielectric plate 8 to be converted into the surface wave mode at the interface between the dielectric plate 8 and the space in the vacuum chamber 1 and into the space. Radiated.

제3도는 표면파로서 전파될 마이크로파의 전자기장의 분포도이다. 횡방향 자기(TM) 모드의 마이크로파는, 유전체 판(8)의 두께(h)가 (6.79tan-1)/{f√(∈-1)} 보다 클 때(여기서 f는 마이크로파의 주파수이고 ∈는 유전체 판(8)의 유전상수), 표면파 모드와 결합된다(즉, 마이크로파가 표면파 모드로 전파되기 시작한다). 이 실시예에서 용융된 석영으로 제조된 유전체 판(8)의 두께(h)는 상기 식을 만족하고 피처리 기판(4)을 통하여 표면파를 균일하게 방사하기에 적합한 값으로 설정된다. 그러나 TEM파 이외의 표면파가 존재하기 때문에 유전체 판(8)의 두께(h)는 상기 식으로 구한 두께에 제한되지 않으며, 마이크로파 주파수 및 피처리 기판의 면적에 따라서 최적화된다.3 is a distribution diagram of electromagnetic fields of microwaves to be propagated as surface waves. Microwaves in the transverse magnetic (TM) mode are such that when the thickness h of the dielectric plate 8 is greater than (6.79tan −1 ) / {f√ (∈-1)}, where f is the frequency of the microwaves and Is combined with the surface wave mode (i.e., microwaves begin to propagate in surface wave mode). In this embodiment, the thickness h of the dielectric plate 8 made of molten quartz is set to a value that satisfies the above expression and is suitable for uniformly radiating the surface wave through the substrate 4 to be processed. However, since surface waves other than the TEM wave exist, the thickness h of the dielectric plate 8 is not limited to the thickness obtained by the above equation, and is optimized according to the microwave frequency and the area of the substrate to be processed.

다시 제1도를 참조하면, 상술한 구조를 가지는 플라즈마 처리 장치의 작동은 플라즈마 CVD 장치에 적용하여 설명하기로 한다. 먼저 진공실(1)의 가스를 배출시킨다. 다음에 반응가스 SiH4및 H2는 가스 도입구(5)를 통하여 각각 30cc/분 및 70cc/분의 속도로 진공실(1) 내로 동시에 도입하고 진공실 내의 압력은 20mTorr로 저하시킨다. 그 후 500W의 전력을 가지는 마이크로파가 동축 도파관(7) 내로 도입된다. 상기 마이크로파는 기본적으로 TEM 모드 즉, 마이크로파가 동축 도파관을 통하여 전파되는 모드로 전파되며, 그 후 유전체 판(8)의 외주 측면(8c)으로부터 그 중심부를 향해 역방사 방향으로 도입된다. 이어서 마이크로파가 표면파와 결합되어 유전체 판(8)의 에지로부터 진공실(1)안으로 균일하게 방사되므로, 플라즈마가 넓은 영역에 걸쳐 균일하게 발생된다. 상기 실란가스의 플라즈마 중에서 활성 종류들(active species)이 확산되어 피처리 기판(4) 위에 전파된다. 그 결과 250℃로 가열된 기판(4)의 표면상에, a-Si 막이 0.2㎛/분의 성장 속도 및 φ420㎜ 평면 내에서 ±4.9%의 균일성으로 형성된다.Referring back to FIG. 1, the operation of the plasma processing apparatus having the above-described structure will be described by applying to the plasma CVD apparatus. First, the gas of the vacuum chamber 1 is discharged. Next, the reaction gases SiH 4 and H 2 are simultaneously introduced into the vacuum chamber 1 through the gas inlet 5 at a rate of 30 cc / min and 70 cc / min, respectively, and the pressure in the vacuum chamber is reduced to 20 mTorr. Microwaves with a power of 500 W are then introduced into the coaxial waveguide 7. The microwave basically propagates in the TEM mode, ie the mode in which the microwave propagates through the coaxial waveguide, and is then introduced in the reverse radiation direction from the outer circumferential side 8c of the dielectric plate 8 toward the center thereof. Microwaves are then combined with the surface waves and uniformly radiate into the vacuum chamber 1 from the edge of the dielectric plate 8, so that plasma is generated uniformly over a wide area. Active species in the plasma of the silane gas diffuse and propagate on the substrate 4 to be processed. As a result, on the surface of the substrate 4 heated to 250 ° C., an a-Si film is formed with a growth rate of 0.2 μm / min and a uniformity of ± 4.9% within the? 420 mm plane.

상술한 것으로부터 명백한 바와 같이, 대형 기판이라도 이 실시예에서는 균일하게 처리된다.As apparent from the above, even a large substrate is uniformly processed in this embodiment.

이 실시예에서는, 용융된 석영으로 제조된 유전체 판(8)이 사용된다. 대안으로서, 판(8)은 마이크로파를 전파하며 진공을 유지할 수 있는 재료로 제조될 수 있다. 장치의 용도에 따라서 상기 판(8)은 예컨대, 파이렉스 유리(pyrex glass), 알루미나, 테프론, 붕소질화물 등으로 형성될 수 있다.In this embodiment, a dielectric plate 8 made of fused quartz is used. As an alternative, the plate 8 may be made of a material capable of propagating microwaves and maintaining a vacuum. Depending on the use of the device, the plate 8 may be formed of, for example, pyrex glass, alumina, teflon, boron nitride, or the like.

[실시예 2]Example 2

하기에는, 본 발명의 제2실시예에 따른 플라즈마 처리 장치가 제4도를 참조로 하여 기술된다. 제4도에서, 내부 도체(6a)내에 배치된 동심의 영구자석(자기장 발생 수단)(10)은 진공실(1) 내부에 자기장을 발생시킨다. 그 표면상의 영구자석(10)의 자기장 강도는 2.5 킬로가우스(Kilo Gauss)이다. 다른 부품들은 제1실시예에서 사용된 것과 동일하다.In the following, a plasma processing apparatus according to a second embodiment of the present invention is described with reference to FIG. In FIG. 4, the concentric permanent magnet (magnetic field generating means) 10 disposed in the inner conductor 6a generates a magnetic field inside the vacuum chamber 1. The magnetic field strength of the permanent magnet 10 on its surface is 2.5 kilo Gauss. The other parts are the same as those used in the first embodiment.

제4도를 참조로 하여, 상술한 구조를 가지는 플라즈마 처리 장치의 작동이 플라즈마 CVD 장치에 적용되어 하기에 기술될 것이다. 실시예 1에서와 같은 방식으로 진공실(1)은 먼저 가스가 배출되고 실란가스와 같은 반응가스가 가스 도입구를 통하여 챔버(1)내로 공급된다. 균일 분포를 가지며 표면파 모드로 전파되는 마이크로파가 유전체 판(8)의 표면으로부터 방사된다. 제4도에 도시된 자기장(41)이 영구자석(10)에 의하여 진공실(1) 내에 형성되기 때문에, 마이크로파와 자기장 사이의 상호 작용이 플라즈마중의 전자를 트랩시키므로 고밀도의 플라즈마가 형성된다. 따라서, 보다 고속의 플라즈마 처리가 실현된다. a-Si 막이 실시예 1에서와 같은 조건하에서 기판(4) 상에서 성장되었다. a-Si 막은 0.28㎛/분의 성장속도 및 φ420㎜ 평면상에서 ±4.2%의 균일성으로 형성될 수 있었다. 또한, 플라즈마는 10-3Torr 이하의 저압에서도 발생되었다.Referring to FIG. 4, the operation of the plasma processing apparatus having the above-described structure will be described below in application to the plasma CVD apparatus. In the same manner as in the first embodiment, the vacuum chamber 1 is first discharged with gas and a reactive gas such as silane gas is supplied into the chamber 1 through the gas inlet. Microwaves having a uniform distribution and propagating in the surface wave mode are radiated from the surface of the dielectric plate 8. Since the magnetic field 41 shown in FIG. 4 is formed in the vacuum chamber 1 by the permanent magnet 10, the interaction between the microwave and the magnetic field traps electrons in the plasma, thereby forming a high density plasma. Thus, faster plasma processing is realized. An a-Si film was grown on the substrate 4 under the same conditions as in Example 1. The a-Si film could be formed with a growth rate of 0.28 μm / min and a uniformity of ± 4.2% on the φ420 mm plane. In addition, plasma was generated even at a low pressure of 10 −3 Torr or less.

본 실시예에 따른 장치는, 제1실시예의 부품들에 부가하여 유전체 판과 비처리 피판간의 공간에 자기장 발생 유닛을 구비한다. 따라서, 넓은 영역의 처리가 가능하고 처리 속도도 증가될 수 있다. 또한, 압력이 낮더라도 플라즈마가 용이하게 발생될 수 있다.The apparatus according to this embodiment has a magnetic field generating unit in the space between the dielectric plate and the untreated flap in addition to the components of the first embodiment. Therefore, a wide area of processing is possible and the processing speed can be increased. In addition, even when the pressure is low, plasma can be easily generated.

이 실시예에서, 내부 도체(6a) 내에 배치된 동심의 영구자석 부재는 자기장 발생 수단으로서 이용된다. 대안적으로, 전자석 코일을 대신 사용함으로써, 자기장을 유전체 판과 피처리 기판 사이의 공간에 발생시킬 수 있다.In this embodiment, the concentric permanent magnet member disposed in the inner conductor 6a is used as the magnetic field generating means. Alternatively, by using an electromagnet coil instead, a magnetic field can be generated in the space between the dielectric plate and the substrate to be processed.

실시예 1 및 실시예 2는 플라즈마 CVD 장치에 적용되어 기술되었다. 본 발명은 플루오르 함유가스, 염소 함유가스, 브롬 함유가스, 요드 함유가스 및 산소 함유가스같은 다양한 종류의 에칭가스를 사용함으로써 드라이 에칭 장치에 적용될 수도 있다.Examples 1 and 2 have been described as applied to a plasma CVD apparatus. The present invention may be applied to a dry etching apparatus by using various kinds of etching gases such as fluorine containing gas, chlorine containing gas, bromine containing gas, iodine containing gas and oxygen containing gas.

[실시예 3]Example 3

제5도를 참조하여, 본 발명의 다른 실시예에 따른 스퍼터링 장치가 하기에 기술된다. 제5도에서, 타겟으로 사용된 유전체 판(8)은 SiO2등으로 제조된다. 전극(51)은 유전체 판(8)의 후방표면(8b)상에 부착된다. 무선주파수, 예를 들어 13.56㎒의 주파수를 가지는 전력이 무선주파수 전력 공급부(53)로부터 전극(51)에 인가된다. 테프론 등으로 제조된 절연체(52)가 전극(51)을 절연시킨다. 타겟으로 사용된 유전체 판(8)은, 상기 판(8)이 진공실(1)의 한 부분을 형성하고 판(8)의 외주 측면(8c)이 동축 도파관의 내부 도체(6a)와 외부 도체(6b) 사이에 형성된 갭과 연통하도록 배치된다. 다른 부품들은 제2실시예에서 사용된 것과 동일하다. 본 실시예에 있어서, 유전체 판(8)의 최초 두께는 스퍼터링 작업 동안의 유전체 판(8)의 융제(ablation)를 고려한 값으로 설정된다. 이러한 구조에 있어서, 타겟은 마이크로파를 전파하는 유전체일 것이 요구된다.Referring to FIG. 5, a sputtering apparatus according to another embodiment of the present invention is described below. In FIG. 5, the dielectric plate 8 used as the target is made of SiO 2 or the like. The electrode 51 is attached on the rear surface 8b of the dielectric plate 8. Power having a radio frequency, for example, 13.56 MHz, is applied from the radio frequency power supply 53 to the electrode 51. An insulator 52 made of Teflon or the like insulates the electrode 51. In the dielectric plate 8 used as a target, the plate 8 forms a part of the vacuum chamber 1, and the outer peripheral side 8c of the plate 8 has an inner conductor 6a and an outer conductor (coaxial waveguide). It is arranged to communicate with the gap formed between 6b). The other parts are the same as those used in the second embodiment. In the present embodiment, the initial thickness of the dielectric plate 8 is set to a value taking into account the ablation of the dielectric plate 8 during the sputtering operation. In this structure, the target is required to be a dielectric that propagates microwaves.

제5도를 참조하여, 상술한 구조를 가지는 스퍼터링 장치의 작동이 하기에 기술된다. 먼저 진공실(1)내의 가스가 배출되고, Ar 가스같은 반응가스가 가스 도입구(5)를 통하여 진공실(1) 내로 공급되며, 진공실(1) 내부의 압력은 0.3mTorr로 저하된다. 다음에 500W의 전력을 가지는 마이크로파가 내부 도체(6a) 및 외부 도체(6b)로 구성되는 동축 도파관(7) 안으로 입력되어 SiO2유전체 판(8)의 외주 측면(8c)으로부터 그 중심부를 향하여 역방사 방향으로 마이크로파를 전파한다. 마이크로파가 기본적으로 동축 TEM 모드로 전파되도록 동축 도파관(7)을 통하여 전파될 마이크로파의 전파 모드로서의 모드가 선택된다. 마이크로파는 표면파 모드와 결합된 뒤 유전체 판(8)의 표면(8a)으로부터 진공실(1) 안으로 균일하게 방사된다. 영구자석(10)에 의해 발생된 자기장과 표면파간의 상호 작용이 플라즈마 중의 전자를 트랩하므로 전자와 가스입자간의 충돌 가능성이 증가되고 고강도 및 높은 균일성을 갖는 플라즈마가 발생된다. 100W의 출력 전력을 갖는 무선주파수 전력 공급부(53)로부터 전극(51)에 공급된 무선주파수 전기장은 음 바이어스 포텐셜(negative bias potential)을 발생시킨다. Ar 가스 플라즈마 중의 이온 운동이 음 바이어스 포텐셜에 의해 가속되므로, 이온은 판(8)을 스퍼터링하기 위하여 SiO2로 제조된 타겟 즉, 유전체 판에 충돌된다. 본 실시예에서, SiO2막은 0.15㎛/분의 성장 속도 및 φ420㎜ 평면상에서 ±4.3%의 균일성으로 기판(4)상에 성장되었다.Referring to Fig. 5, the operation of the sputtering apparatus having the above-described structure is described below. First, the gas in the vacuum chamber 1 is discharged, a reaction gas such as Ar gas is supplied into the vacuum chamber 1 through the gas inlet 5, and the pressure inside the vacuum chamber 1 is reduced to 0.3 mTorr. A microwave with a power of 500 W is then input into the coaxial waveguide 7, which consists of an inner conductor 6a and an outer conductor 6b, inverting toward the center from the outer peripheral side 8c of the SiO 2 dielectric plate 8. Propagates microwaves in the radial direction. The mode as the propagation mode of the microwaves to be propagated through the coaxial waveguide 7 is selected so that the microwaves basically propagate in the coaxial TEM mode. Microwaves are uniformly radiated from the surface 8a of the dielectric plate 8 into the vacuum chamber 1 after being combined with the surface wave mode. Since the interaction between the magnetic field and the surface wave generated by the permanent magnet 10 traps electrons in the plasma, the possibility of collision between the electrons and the gas particles is increased and a plasma having high strength and high uniformity is generated. The radiofrequency electric field supplied to the electrode 51 from the radiofrequency power supply 53 having an output power of 100W generates a negative bias potential. Since ionic motion in the Ar gas plasma is accelerated by the negative bias potential, ions impinge on a target made of SiO 2 , i.e., a dielectric plate, for sputtering the plate 8. In this embodiment, the SiO 2 film was grown on the substrate 4 with a growth rate of 0.15 mu m / min and a uniformity of ± 4.3% on the? 420 mm plane.

본 발명의 실시예에 따른 스퍼터링 장치는, 진공실(1) 내의 유전체 판(8)의 전방 표면(8a) 근처에서 자기장 발생 유닛을 포함함으로써 타겟을 균일하게 스퍼터링한다. 그 결과, 막은 높은 균일성으로 성장되며, 타겟의 유용 효율이 향상되며, 고밀도를 갖는 플라즈마가 발생되며, 스퍼터링 공정이 고진공하에서 수행될 수 있다. 또한 소자 크기가 감축될 수 있다.The sputtering apparatus according to the embodiment of the present invention uniformly sputters the target by including a magnetic field generating unit near the front surface 8a of the dielectric plate 8 in the vacuum chamber 1. As a result, the film is grown with high uniformity, the useful efficiency of the target is improved, the plasma having a high density is generated, and the sputtering process can be performed under high vacuum. Device size can also be reduced.

본 실시예에서, 타겟으로 사용된 유전체 판(8)은 용융된 석영으로 제조된다. 그러나 상기 판(8)은 마이크로파 전파 물질 즉, 알루미나, Ta2O5등으로 제조될 수 있다. 내부 도체(6a)내에 배치된 동심의 영구자석은 자기장 발생 수단으로 이용된다. 대안적으로 제6도에 도시된 바와 같이, 전자석 코일(61)을 대신 사용함으로써 피처리 기판과 유전체 판 사이의 공간에 자기장을 발생시킬 수 있다. 전자석 코일을 사용하는 경우에 소자의 크기가 커지나 타겟상에 자기장이 균일하게 형성된다. 그 결과 타겟의 높은 균일성 및 고유용 효율을 갖는 공정이 실현된다.In this embodiment, the dielectric plate 8 used as the target is made of fused quartz. However, the plate 8 may be made of a microwave propagation material, that is, alumina, Ta 2 O 5, or the like. The concentric permanent magnet disposed in the inner conductor 6a is used as a magnetic field generating means. Alternatively, as shown in FIG. 6, by using an electromagnet coil 61 instead, a magnetic field can be generated in the space between the substrate to be processed and the dielectric plate. In the case of using an electromagnet coil, the size of the device increases, but a magnetic field is uniformly formed on the target. As a result, a process with high uniformity and high specific efficiency of the target is realized.

[실시예 4]Example 4

제7도는 본 발명의 다른 실시예에 따른 마이크로파 플라즈마 처리 장치의 반응실의 단면도이다. 본 발명의 다른 목적은 처리의 균일성을 향상시키기 위하여 동축 도파관(7)을 통하여 전파된 마이크로파의 고차 모드의 발생을 억제하는 것이다. 마이크로파 발생기에 의해 발생되어 직사각형 도파관으로 도입된 마이크로파는 직사각형/동축 변환기를 통하여 동축 도파관으로 입력된다. 동축 도파관에서, 마이크로파는 주로 TEM 모드로 전파된다. 그러나 실제 장치에 있어서, 고차 모드가 직사각형/동축 변환시에 발생된다. 그와 같은 고차 모드의 발생은 마이크로파의 강도 분포의 분산을 초래하며 플라즈마 처리의 균일성을 저하시킨다.7 is a cross-sectional view of a reaction chamber of a microwave plasma processing apparatus according to another embodiment of the present invention. Another object of the present invention is to suppress the generation of higher order modes of microwaves propagated through the coaxial waveguide 7 in order to improve the uniformity of the treatment. The microwave generated by the microwave generator and introduced into the rectangular waveguide is input to the coaxial waveguide through a rectangular / coaxial converter. In coaxial waveguides, microwaves propagate mainly in TEM mode. However, in practical devices, higher order modes occur during rectangular / coaxial conversion. The generation of such higher order modes results in dispersion of the intensity distribution of the microwaves and lowers the uniformity of the plasma treatment.

제7도에서, 마이크로파 발생기(11), 예컨대 마그네트론 2.45㎓의 주파수를 갖는 마이크로파를 발생시킨다. 절연체(12)가 반사파를 차단하고 흡수한다. 마이크로파를 전파하는 직사각형 도파관(단면: 109㎜×54.5㎜)의 분기 유닛(13)은 분기 도판관(13a,13b)와 분기부(13c)로 구성된다. 분기부(13c)는 E 평면, T 분기의 직사각형 도파관이다. 분기 도파관(13a,13b)은 각각 분기부(13c)의 두 출력부에 연결된다. 분기 도파관(13a,13b)은 E 코너를 가지며 도파관을 벤딩시키므로써 직사각형/동축 변환 유닛(14)의 양단부에 분기부(13c)를 연결한다. 분기 유닛은 마이크로파의 위상(phase)을 매칭시키기 위해 3-스터브 동조기(three-stub tuner)(15a,15b,14c)를 구비한다. 직사각형/동축 변환 유닛(14)은 마이크로파를 전파하기 위한 직사각형 도파관(단면: 109㎜×54.5㎜(14a)과, 테이퍼진 블럭으로 구성된 릿지(ridge)(14b)를 포함한다. 직사각형/동축 변환 유닛(14)의 중심에 내부 도체(6a)(외경: 24㎜) 및 외부 도체(6b)(내경: 54㎜)로 구성된 동축 도파관(7)이 결합된다. 릿지(14b)는 직사각형 도파관(14a) 내측에 배치되며, 동축 도파관(7)의 내부 도체(6a)는 릿지(14b)에 결합된다. 동축 도파관(7)의 내부 도체(6a) 및 외부 도체(6b)는 그 단부에서 45°의 경사각을 갖는 테이퍼 형상으로 확장되어, 진공을 유지하기 위한 가스 배출구(2)를 구비한 진공실(1)에 접속된다. 동축 도파관(7)은 마이크로파를 전파하고 진공을 유지하기 위한 절연체로 이용된 용융 석영 윈도우(16)로 밀봉되어 있다. 동축 도파관(7)의 내부 도체(6a)의 확장된 단부는 캐비티를 포함하고, 타겟(17)이 내부 도체(6a)의 캐비티 내에 위치된다. 테프론 판(18)에 의해 내부 도체(6a)로부터 전기적으로 절연된 타겟 홀더(19)가 타겟(17)을 지지한다. 타겟(17)의 표면상에 자기장을 발생시키기 위한 링형 자기 갭을 구비한 동심의 영구자석(10)이 타겟 홀더(19) 내부에 위치된다. 냉각 파이프(20)는 동축 도파관(7)의 내부 도체(6a) 내부에서 연장하고 있다. 영구자석(10) 및 타겟(17)은 냉각 파이프(20)를 통해 흐르는 냉각수에 의해 냉각된다. 타겟(17)의 전위는 전기 전도성 냉각 파이프(20)에 전압을 가함으로써 변경될 수도 있다. 다른 부품들은 실시예 1 및 2에 사용된 것과 동일하다.In FIG. 7, a microwave generator 11, for example a microwave having a frequency of 2.45 GHz magnetron is generated. The insulator 12 blocks and absorbs reflected waves. The branching unit 13 of the rectangular waveguide (cross section: 109 mm x 54.5 mm) which propagates a microwave consists of branching waveguides 13a and 13b and branching part 13c. The branch portion 13c is a rectangular waveguide of an E plane and a T branch. Branch waveguides 13a and 13b are respectively connected to two outputs of branch 13c. Branch waveguides 13a and 13b have an E corner and connect branch portions 13c to both ends of the rectangular / coaxial conversion unit 14 by bending the waveguide. The branch unit is provided with three-stub tuners 15a, 15b, 14c to match the phase of the microwaves. The rectangular / coaxial conversion unit 14 includes a rectangular waveguide (cross section: 109 mm x 54.5 mm 14a) for propagating microwaves, and a ridge 14b composed of tapered blocks. Coaxial waveguide 7 composed of an inner conductor 6a (outer diameter: 24 mm) and an outer conductor 6b (inner diameter: 54 mm) is coupled to the center of 14. The ridge 14b is a rectangular waveguide 14a. Disposed inside, and the inner conductor 6a of the coaxial waveguide 7 is coupled to the ridge 14. The inner conductor 6a and the outer conductor 6b of the coaxial waveguide 7 have an inclination angle of 45 ° at their ends. It extends into a tapered shape with a diameter and is connected to a vacuum chamber 1 having a gas outlet 2 for maintaining a vacuum Coaxial waveguide 7 is a fused quartz used as an insulator for propagating microwaves and maintaining a vacuum It is sealed with a window 16. The extended end of the inner conductor 6a of the coaxial waveguide 7 encompasses the cavity. In addition, the target 17 is located in the cavity of the inner conductor 6a. A target holder 19 electrically insulated from the inner conductor 6a by the teflon plate 18 supports the target 17. A concentric permanent magnet 10 having a ring-shaped magnetic gap for generating a magnetic field on the surface of the target 17 is located inside the target holder 19. The cooling pipe 20 is inside the coaxial waveguide 7. It extends inside the conductor 6a.The permanent magnet 10 and the target 17 are cooled by the cooling water flowing through the cooling pipe 20. The potential of the target 17 is transferred to the electrically conductive cooling pipe 20. It may be changed by applying a voltage Other components are the same as those used in Examples 1 and 2.

본 발명의 실시예에서 마이크로파 마그네트론 스퍼터링 장치로서 사용하고자 하는 상기 구조를 갖는 플라즈마 처리 장치의 작동을 설명한다. 마이크로파 발생기(11)에 의해 발생된 마이크로파는 직사각형 도파관을 통해 횡방향 전기(TE)10모드로 전파된다. 분기부(13c)에서, 마이크로파는 동일한 전력량이 두개의 분기 도파관(13a,13b)에 분포되도록 전파된다. 분기 도파관(13a,13b)을 통해 전파된 마이크로파는 직사각형/동축 변환 유닛(14)의 직사각형 도파관(14a)의 양 단부로부터 입력된다. 직사각형/동축 변환 유닛(14)은 동축 도파관(7)의 내부 도체(6a)에 대해 선대칭 구조를 갖고 있다. 직사각형/동축 변환 유닛(14)에서, 마이크로파의 전파 모드는 릿지(14b)의 기능에 의해 직사각형 도파관 저파 모드로부터 동축 도파관 전파 모드로 변환된다. 릿지(14b)는 각각 상이한 특성 임피던스(characteristic impedance)를 갖는 직사각형 도파관(14a)과 진공실(1)에 접속된 동축 도파관(7)을 결합시키기 위해 특성 임피던스를 매끄럽게 변경시킨다. 그 결과, 변환 유닛에 의한 반사가 감소되어 저하된다. 즉, 전파 모드가 효율적으로 변환될 수 있다. 전파 모드가 변환될 때, 마이크로파는 릿지(14b)를 사이에 둔 서로 대향된 두 방향으로부터 입력된다. 분기 도파관(13a,13b)으로부터 입력되는 입력파는 3-스터브 동조기(15a,15b)를 조정함으로써 위상내에 속할 수 있다. 마이크로파의 전체 매칭은 3-스터브 동조기(15c)를 조정함으로써 실현된다. 이 방법에서, 전파 모드의 균일성을 저하시키는 동축 도파관(7)의 고차 모드 TE10파는 서로 간섭되어 상쇄된다. 그 결과, 직사각형 도파관(14a)내의 TE10파는 직사각형/동축 변환 유닛(14)에 의해 완전히 변환되며, 그에 따라 동축 도파관(7)을 구성하는 내부 및 외부 도체(6a,6b)를 통해 균일한 마이크로파만이 전파된다. 궁극적으로, 균일한 마이크로파가 동축 도파관(7)의 단부에서 방사된다. 매칭을 실현하기 위해 3-스터브 동조기(15a,15b,15c)를 조정함으로써 반사파가 감소될 수 있다. 결과적으로, 플라즈마 처리에 기여하는 마이크로파 분포의 전력 레벨은 높을 수 있다.The operation of the plasma processing apparatus having the above-described structure to be used as the microwave magnetron sputtering apparatus in the embodiment of the present invention will be described. The microwaves generated by the microwave generator 11 propagate in the transverse electrical (TE) 10 mode through the rectangular waveguide. In the branch portion 13c, the microwaves are propagated so that the same amount of power is distributed to the two branch waveguides 13a and 13b. Microwaves propagated through the branch waveguides 13a and 13b are input from both ends of the rectangular waveguide 14a of the rectangular / coaxial conversion unit 14. The rectangular / coaxial conversion unit 14 has a line symmetry structure with respect to the inner conductor 6a of the coaxial waveguide 7. In the rectangular / coaxial conversion unit 14, the propagation mode of the microwave is converted from the rectangular waveguide low wave mode to the coaxial waveguide propagation mode by the function of the ridge 14b. The ridge 14b smoothly changes the characteristic impedance to couple the rectangular waveguide 14a each having a different characteristic impedance and the coaxial waveguide 7 connected to the vacuum chamber 1. As a result, reflection by the conversion unit is reduced and lowered. That is, the propagation mode can be converted efficiently. When the propagation mode is converted, the microwaves are input from two opposite directions with the ridge 14b in between. The input waves input from the branch waveguides 13a and 13b can fall in phase by adjusting the three-stub tuners 15a and 15b. The overall matching of the microwaves is realized by adjusting the 3-stub tuner 15c. In this method, the higher-order mode TE 10 waves of the coaxial waveguide 7, which lower the uniformity of the propagation mode, interfere with each other and cancel out. As a result, the TE 10 waves in the rectangular waveguide 14a are completely converted by the rectangular / coaxial conversion unit 14 and thus uniform microwaves through the inner and outer conductors 6a, 6b constituting the coaxial waveguide 7. Only is propagated. Ultimately, a uniform microwave is emitted at the end of the coaxial waveguide 7. The reflected wave can be reduced by adjusting the three-stub tuners 15a, 15b, 15c to realize matching. As a result, the power level of the microwave distribution contributing to the plasma treatment can be high.

진공실(1) 내부의 가스는 가스 배출구(2)를 통해 배출되며, 아르곤 가스와 같은 반응성 가스가 가스 도입구(5)를 통해 진공실(1) 내로 도입되어 가스 압력을 2×10-2Torr로 낮춘다. 그 결과, 마이크로파의 방사에 의해 균일한 플라즈마가 발생된다. 플라즈마는 76.2㎜의 직경을 갖는 SiO2타겟에서 발생된다. 100 내지 200 가우스의 강도를 갖는 자기장이 동심의 영구자석(10)에 의해 타겟(17) 상에 형성되어 있다. 플라즈마는 링형 자기 갭에 의해 트랩되며, 마그네트론 모드의 방출이 유지된다. 가스 압력이 8×10-4Torr까지 낮아지고, 예컨대, 13.56㎒의 주파수를 가진 무선주파수 전압이 무선주파수 전력 공급부(21)에 의해 인가되면, 타겟(17)의 표면 부근에 존재하는 플라즈마 중의 이온이 타겟(17)의 표면에 충돌한다. 그 결과, 타겟(17) 중의 입자가 방출되어 피처리 기판(4)에 도달되어 처리된다. 결과적으로, 타겟(17)의 성분으로 이루어진 박막이 기판(4) 상에 형성된다. 이렇게 얻어진 박막은 균일하게 방사된 마이크로파에 의해 발생된 균일한 플라즈마의 특성 때문에 균일성이 우수하다. 또한, 동축 도파관의 내부 도체에 대해 선대칭인 직사각형/동축 변환 유닛을 이용하는 것은 마이크로파의 강도 분포의 분산을 감소시키므로, 균일한 플라즈마의 형성에도 기여한다.Gas inside the vacuum chamber (1) is discharged through the gas outlet (2), a reactive gas such as argon gas is introduced into the vacuum chamber (1) through the gas inlet (5) to the gas pressure to 2 × 10 -2 Torr Lower. As a result, uniform plasma is generated by the radiation of the microwaves. The plasma is generated at a SiO 2 target having a diameter of 76.2 mm. A magnetic field having a strength of 100 to 200 gauss is formed on the target 17 by the concentric permanent magnet 10. The plasma is trapped by a ring-shaped magnetic gap and the emission in magnetron mode is maintained. When the gas pressure is lowered to 8x10 -4 Torr, and a radio frequency voltage having a frequency of 13.56 MHz is applied by the radio frequency power supply 21, for example, ions in the plasma present near the surface of the target 17 It collides with the surface of this target 17. As a result, the particles in the target 17 are released to reach the substrate 4 to be processed and processed. As a result, a thin film made of the components of the target 17 is formed on the substrate 4. The thin film thus obtained has excellent uniformity due to the properties of the uniform plasma generated by the uniformly radiated microwaves. In addition, using a rectangular / coaxial conversion unit that is linearly symmetrical with respect to the inner conductor of the coaxial waveguide reduces the dispersion of the intensity distribution of the microwave, thus contributing to the formation of a uniform plasma.

실시예 4에서, 선형으로 테이퍼진 블럭이 직사각형/동축 변환 유닛의 릿지(14b)로서 사용된다. 대안으로서, 제8도에 도시된 곡선으로 테이퍼진 블럭(141)을 이용하는 경우에 유사한 효과를 얻을 수 있다. 경우에 따라, 제9도에 도시된 바와 같이, 두개의 테이퍼진 블럭(142,143)이 서로 대향된 직사각형 도파관의 두 내부면에 제공될 수도 있다. 더욱이, 동축 도파관(7)의 내부 도체(6a)는 제10도에 도시된 바와 같이 T 자형일 수도 있다.In Embodiment 4, a linearly tapered block is used as the ridge 14b of the rectangular / coaxial conversion unit. Alternatively, a similar effect can be obtained when using the tapered block 141 with the curve shown in FIG. In some cases, as shown in FIG. 9, two tapered blocks 142 and 143 may be provided on two inner surfaces of the rectangular waveguides facing each other. Moreover, the inner conductor 6a of the coaxial waveguide 7 may be T-shaped as shown in FIG.

본 실시예에서, E-평면 T 분기의 분기 유닛으로서 이용된다. 대안으로서, H-평면 T 분기의 분기 유닛 및 H-평면 Y 분기의 분기 유닛과 같은 다른 분기 유닛이 이용될 수도 있다. 변환 유닛은 본 실시예에서 E 코너를 갖고 있다. 그러나, 전파 도파관은 요소들이 도파관을 구부릴 수 있는 한 H-코너, E-굴곡, H-굴곡 등을 사용하므로써 구성될 수도 있다.In this embodiment, it is used as a branch unit of the E-plane T branch. As an alternative, other branch units may be used, such as branch units of the H-plane T branch and branch units of the H-plane Y branch. The conversion unit has an E corner in this embodiment. However, the propagation waveguide may be constructed by using H-corner, E-bend, H-bend, etc. as long as the elements can bend the waveguide.

분기 도파관(13a,13b)의 길이는 본 실시예에서 동일하다. 그러나, 위상이 3-스터브 동조기(15a,15b)를 이용하므로써 조정될 수 있기 때문에, 두개의 도파관의 길이에 차이가 있을지라도 문제가 되지 않는다. 분기부(13c)는 E-평면 분기 형태이므로 두개의 도입구의 출력이 역위상으로 나타난다. 따라서, 두개의 분기 도파관(13a,13b) 사이의 길이 차이가 (n+1/2)λ(여기서, n은 정수이며, λ는 도파관내의 마이크로파의 파장)로 표현되는 경우에, 3-스터브 동조기(15a,15b)는 손쉽게 효율적으로 조정될 수 있다. H-평면 T 분기의 분기부를 사용하는 경우에, 마이크로파가 상기 분기부를 통해 전파된 후에 출력이 위상내에 있게 된다. 따라서, 도파관의 길이 차이는 nλ가 바람직하다.The lengths of the branch waveguides 13a and 13b are the same in this embodiment. However, since the phase can be adjusted by using three-stub tuners 15a and 15b, it is not a problem even if there is a difference in the lengths of the two waveguides. Since the branch portion 13c is in the form of an E-plane branch, the outputs of the two inlets appear out of phase. Thus, when the difference in length between two branch waveguides 13a and 13b is expressed as (n + 1/2) λ, where n is an integer and λ is the wavelength of the microwaves in the waveguide, a 3-stub tuner 15a and 15b can be easily and efficiently adjusted. In the case of using a branch of the H-plane T branch, the output is in phase after the microwave propagates through the branch. Therefore, nλ is preferable for the length difference of the waveguide.

본 실시예에서, 3-스터브 동조기(15a,15b,15c) 모두를 이용한다. 그러나, 모든 도파관의 매칭 및 두개의 분기 도파관의 위상 매칭은 동조기(15c)를 사용하지 않고 3-스터브 동조기(15a,15b) 중 2개만을 사용하여도 실현될 수도 있다. 물론, 동조기(15a) 또는 동조기(15b)중 어느 하나를 생략할 수도 있다.In this embodiment, all three-stub tuners 15a, 15b, and 15c are used. However, matching of all waveguides and phase matching of two branch waveguides may be realized by using only two of the three-stub tuners 15a and 15b without using the tuner 15c. Of course, either the tuning unit 15a or the tuning unit 15b may be omitted.

본 실시예에서 두개의 마이크로파 도입구를 구비한 직사각형/동축 변환 유닛을 이용한다. 대안으로서, 세개 이상의 마이크로파 도입구를 구비한 직사각형/동축 변환 유닛을 이용해도 동일한 효과가 발생한다. 또한, 이 경우에 직사각형/동축 변환 유닛의 마이크로파 도입구는 플라즈마를 균일하게 형성하도록 동축 도파관에 대해 선대칭인 것이 양호하다.In this embodiment, a rectangular / coaxial conversion unit having two microwave inlets is used. As an alternative, the same effect occurs with a rectangular / coaxial conversion unit with three or more microwave inlets. Also, in this case, the microwave inlet of the rectangular / coaxial conversion unit is preferably linearly symmetrical with respect to the coaxial waveguide so as to form the plasma uniformly.

제7도에 도시한 실시예 및 후술할 실시예 5 내지 7에서, 마이크로파는 자유 공간 전파 모드로 플라즈마가 발생되는 공간에 도달한다. 이 실시예 및 실시예 5-7에서 동축 도파관(7)에 대하여 포스트 스테이지(post stage) 대신에 실시예 1 내지 3의 표면파를 활용하는 플라즈마 처리 장치를 사용하면 보다 균일한 플라즈마 발생을 실현할 수 있으므로, 대형 기판을 보다 균일하게 처리할 수 있다.In the embodiment shown in FIG. 7 and Examples 5 to 7 to be described later, the microwaves reach the space where the plasma is generated in the free space propagation mode. Since the plasma processing apparatus utilizing the surface waves of Examples 1 to 3 instead of the post stage with respect to the coaxial waveguide 7 in this embodiment and Embodiments 5-7 can achieve more uniform plasma generation. The large substrate can be processed more uniformly.

[실시예 5]Example 5

제11도는 본 발명의 또 다른 실시예에 따른 마이크로파 플라즈마 처리 장치의 반응실의 단면도이다. 실시예 5에 따른 직사각형/동축 변환 유닛의 직사각형 도파관(14a)은 두쌍의 3-스터브 동조기(22a,22b), 두개의 절연체(23a,23b) 및 두개의 마이크로파 발생기, 예컨대 마그네트론(24a,24b; 두개 모두 주파수가 2.45㎓임)을 포함한다. 이들 튜너, 절연체 및 발생기 모두는 릿지(14b)에 대해 선대칭인 각각의 위치에 배치된다. 다른 부품들은 실시예 4의 것과 동일하다.11 is a cross-sectional view of a reaction chamber of a microwave plasma processing apparatus according to another embodiment of the present invention. The rectangular waveguide 14a of the rectangular / coaxial conversion unit according to the fifth embodiment includes two pairs of three-stub tuners 22a and 22b, two insulators 23a and 23b and two microwave generators such as magnetrons 24a and 24b; Both have a frequency of 2.45 GHz). These tuners, insulators, and generators are all disposed at respective positions that are linearly symmetrical with respect to ridge 14b. The other parts are the same as in Example 4.

상술한 구조를 가진 플라즈마 장치에서, 마이크로파 발생기(24a,24b)에 의해 발진된 마이크로파는 직사각형 도파관(14a)을 통해 전파되며 릿지(14b)의 양측면으로부터 동축 도파관(7) 내로 도입된다. 따라서, 두쌍의 3-스터브 동조기(22a,22b)가 조정된다면, 실시예 4와 동일한 효과가 얻어진다. 즉, 동축 도파관(7)을 통해 균일한 TEM 파가 전파되며, 그에 따라 플라즈마 처리는 균일하게 실행된다. 이 실시예에서, 두개의 마이크로파 발생기에 의해 발생된 마이크로파의 출력은 합성되기 때문에, 큰 출력을 갖는 마이크로파가 방사됨으로써 플라즈마로 대형 물체를 처리할 수 있다.In the plasma apparatus having the above-described structure, the microwaves oscillated by the microwave generators 24a and 24b propagate through the rectangular waveguide 14a and are introduced into the coaxial waveguide 7 from both sides of the ridge 14b. Thus, if two pairs of three-stub tuners 22a and 22b are adjusted, the same effect as in Example 4 is obtained. That is, a uniform TEM wave propagates through the coaxial waveguide 7, so that the plasma treatment is performed uniformly. In this embodiment, since the outputs of the microwaves generated by the two microwave generators are synthesized, microwaves having a large output can be radiated to treat a large object with plasma.

[실시예 6]Example 6

제12도는 본 발명의 또 다른 실시예에 따른 마이크로파 플라즈마 처리 장치의 반응실의 단면도이다. 제12도에 도시한 마이크로파 플라즈마 처리 장치에서, 마이크로파는 내부 도체(25a) 및 외부 도체(25b)로 구성된 제1동축 분기 도파관(26)을 통해 전파되며, 그 다음에 내부 도체(6a) 및 외부 도체(6b)로 구성된 동축 도파관(7) 내로 도입된다. 동일한 방법으로, 마이크로파는 내부 도체(27a) 및 외부 도체(27b)로 구성된 제2동축 분기 도파관(28)을 통해 전파되며, 그 다음에 동축 도파관(7) 내로 도입된다. 제1동축 분기 도파관(26)의 내부 도체(25a)의 중심축선과 제2동축 분기 도파관(28)의 내부 도체(27a)의 중심축선 사이의 거리는 31㎜이다. 이 길이는 주파수가 2.45㎓인 마이크로파의 1/4 파장에 해당된다. 3-스터브 동조기(도시안됨), 절연체(도시안됨) 및 마이크로파 발생기로 사용된 마그네트론(도시안됨)이 양쪽 분기 도파관(26,28)에 접속되어 있다. 플런저(29)가 또한 마이크로파의 반사기로 사용되도록 본 처리 장치에 제공되어 있다. 다른 부품들은 실시예 3의 것들과 동일하다.12 is a cross-sectional view of a reaction chamber of a microwave plasma processing apparatus according to another embodiment of the present invention. In the microwave plasma processing apparatus shown in FIG. 12, the microwave propagates through the first coaxial branch waveguide 26 composed of the inner conductor 25a and the outer conductor 25b, and then the inner conductor 6a and the outer It is introduced into a coaxial waveguide 7 composed of a conductor 6b. In the same way, microwaves propagate through a second coaxial branch waveguide 28 composed of an inner conductor 27a and an outer conductor 27b and then introduced into the coaxial waveguide 7. The distance between the center axis of the inner conductor 25a of the first coaxial branch waveguide 26 and the center axis of the inner conductor 27a of the second coaxial branch waveguide 28 is 31 mm. This length corresponds to a quarter wavelength of a microwave with a frequency of 2.45 GHz. Magnetrons (not shown) used as three-stub tuners (not shown), insulators (not shown) and microwave generators are connected to both branch waveguides 26 and 28. Plungers 29 are also provided in the present processing apparatus for use as reflectors of microwaves. The other parts are the same as those in Example 3.

상기 구조를 갖는 마이크로파 플라즈마 처리 장치의 작동을 이하에 설명한다. 마그네트론에 의해 발생된 2.45㎓의 주파수를 갖는 마이크로파(제12도의 마이크로파 1 및 마이크로파 2)는 두 분기 도파관(26,28)를 통해 전파되어 동축 도파관(7) 내로 도입된다. 따라서, 분기 도파관(26,28)에 접속된 두 쌍의 3-스터브 동조기 및 플런저(29)가 조정되면, 분기 도파관(26,28)을 통해 도입된 마이크로파가 서로 간섭되어 분기에 기인한 고차 모드의 발생을 억제한다. 그 결과, 균일한 TEM 파가 동축 도파관(7)을 통해 전파된다. 상술한 작동을 제외하면, 실시예 6의 장치는 실시예 1의 장치와 동일하게 작동된다.The operation of the microwave plasma processing apparatus having the above structure will be described below. Microwaves having a frequency of 2.45 GHz generated by the magnetron (Microwave 1 and Microwave 2 in FIG. 12) propagate through the two branch waveguides 26 and 28 and are introduced into the coaxial waveguide 7. Thus, when two pairs of three-stub tuners and plungers 29 connected to branch waveguides 26 and 28 are adjusted, the microwaves introduced through branch waveguides 26 and 28 interfere with each other to cause higher order modes due to branching. Suppresses the occurrence of As a result, uniform TEM waves propagate through the coaxial waveguide 7. Except for the above-described operation, the apparatus of Example 6 is operated in the same manner as the apparatus of Example 1.

마이크로파는 분기를 사용하는 실시예 3의 방법이나 적어도 두개의 마이크로파 발진 소스를 사용하는 실시예 4의 방법에 의해서 두 분기 도파관을 통해 도입될 수 있다.Microwaves can be introduced through the two branch waveguides by the method of Example 3 using a branch or the method of Example 4 using at least two microwave oscillation sources.

[실시예 7]Example 7

제13도는 본 발명의 또 다른 실시예에 따른 마이크로파 플라즈마 처리 장치의 반응실의 단면을 도시하고 있다. 제13도에 도시된 마이크로파 플라즈마 처리 장치에서, 내부 도체(30a) 및 외부 도체(30b)로 구성된 제1동축 분기 도파관(31)은 마이크로파를 반사시키는 플런저(34)를 구비한다. 냉각 파이프(20)가 내부 도체(30a) 내로 연장한다. 내부 도체(32a) 및 외부 도체(32b)로 구성된 제2동축 분기 도파관(33)도 또한 플런저(35)를 구비한다. 제1동축 분기 도파관(31)의 내부 도체(30a)의 중심축선과 제2동축 분기 도파관(33)의 내부 도체(32a)의 중심축선 사이의 거리는 31㎜이다. 이 길이는 2.45㎓의 주파수를 갖는 마이크로파 파장의 1/4에 해당된다. 3-스터브 동조기(도시안됨), 절연체(도시안됨) 및 마이크로파 발생기로 사용된 마그네트론(도시안됨)이 동축 도파관(7)에 접속되어 있다. 다른 부품들은 실시예 5의 것들과 동일하다.13 shows a cross section of a reaction chamber of a microwave plasma processing apparatus according to another embodiment of the present invention. In the microwave plasma processing apparatus shown in FIG. 13, the first coaxial branch waveguide 31 composed of the inner conductor 30a and the outer conductor 30b includes a plunger 34 for reflecting microwaves. The cooling pipe 20 extends into the inner conductor 30a. The second coaxial branch waveguide 33, which consists of an inner conductor 32a and an outer conductor 32b, also has a plunger 35. The distance between the center axis of the inner conductor 30a of the first coaxial branch waveguide 31 and the center axis of the inner conductor 32a of the second coaxial branch waveguide 33 is 31 mm. This length corresponds to one quarter of the microwave wavelength with a frequency of 2.45 GHz. Magnetrons (not shown) used as 3-stub tuners (not shown), insulators (not shown) and microwave generators are connected to the coaxial waveguide 7. The other parts are the same as those in Example 5.

상기 구조를 갖는 마이크로파 플라즈마 처리 장치의 작동을 이하에 설명한다. 마그네트론에 의해 발진된 2.45㎓의 주파수를 갖는 마이크로파가 동축 도파관(7)을 통해 TEM 파로 전파된다. 따라서, 3-스터브 동조기 및 플런저(34,35)가 조정되면, 동축 분기 도파관에서의 불완전한 매칭에 의해 야기된 고차 모드의 발생이 두 분기 도파관의 기능에 기인하여 억제될 수 있다. 그 결과, 균일한 TEM 파가 동축 도파관(7)의 분기점 이후에 있는 마이크로파 방사부분으로 전파되어 뛰어난 균일성을 갖는 플라즈마를 형성한다. 결과적으로, 균일한 플라즈마 처리가 실현된다.The operation of the microwave plasma processing apparatus having the above structure will be described below. Microwaves having a frequency of 2.45 GHz oscillated by the magnetron are propagated through the coaxial waveguide 7 to the TEM wave. Thus, if the 3-stub tuner and plunger 34,35 are adjusted, the occurrence of higher order modes caused by incomplete matching in the coaxial branch waveguide can be suppressed due to the function of the two branch waveguides. As a result, a uniform TEM wave propagates to the microwave radiation portion after the branch point of the coaxial waveguide 7 to form a plasma having excellent uniformity. As a result, uniform plasma processing is realized.

상기 실시예 5 및 6에서, 양쪽 분기 도파관에 포함된 내부 도체의 중심축선 사이의 거리는 λ/4로 설정되는데, 여기서 λ는 도파관내 마이크로파의 파장이다. 그러나, 상기 거리는 여기에 제한되지 않고 nλ/4일 수도 있는데, 여기서 n은 정수이다.In Examples 5 and 6 above, the distance between the center axes of the inner conductors included in both branch waveguides is set to λ / 4, where λ is the wavelength of the microwaves in the waveguide. However, the distance is not limited thereto and may be nλ / 4, where n is an integer.

실시예 3, 4, 5 및 6에서, 본 발명의 마이크로파 플라즈마 처리 장치는 마이크로파 마그네트론 스퍼터링 장치로 사용되었다. 본 발명은 이러한 사용에 제한되지 않고, 플라즈마 CVD 장치 또는 에칭 장치와 같은 다양한 장치에 적용될 수도 있다. 또한 이러한 경우에, 동일한 방법으로 균일한 플라즈마로 사용하므로써 대형 기판이 처리될 수도 있다.In Examples 3, 4, 5, and 6, the microwave plasma processing apparatus of the present invention was used as a microwave magnetron sputtering apparatus. The present invention is not limited to this use but may be applied to various apparatuses such as plasma CVD apparatuses or etching apparatuses. Also in such a case, a large substrate may be processed by using a uniform plasma in the same manner.

본 발명의 사상 및 범위로부터 벗어남이 없이 다양한 변형이 이루어질 수 있음은 당업자들에게 명백할 것이다. 따라서, 첨부된 특허청구의 범위는 상술된 설명에 제한되지 않고 넓게 해석되어야 한다.It will be apparent to those skilled in the art that various modifications may be made without departing from the spirit and scope of the invention. Accordingly, the scope of the appended claims should not be limited to the foregoing description but should be construed broadly.

Claims (17)

마이크로파 전력에 의해 가스로부터 플라즈마를 발생시키는 마이크로파 플라즈마 처리 장치에 있어서, 가스 도입구 및 가스 배출구를 포함하는 진공실과; 상기 진공실내에 배치되어 피처리 물체를 지지하는 홀더와; 피처리 물체와 대향하는 전방표면과 상기 전방표면에 대체로 평행한 후방표면을 갖는는 유전체 판과; 상기 유전체 판의 외주 측면으로부터 중심을 향해 역방사 방향(inverse-radially) 마이크로파 전력을 공급하는 마이크로파 전력 공급 수단을 포함하고, 상기 마이크로파 전력은 유전체 판의 외주측면에서 실제로 역방사 방향인 전파방향(direction of propagation)을 가지는 마이크로파 플라즈마 처리 장치.A microwave plasma processing apparatus for generating a plasma from a gas by microwave power, comprising: a vacuum chamber including a gas inlet and a gas outlet; A holder disposed in the vacuum chamber to support an object to be processed; A dielectric plate having a front surface facing the object to be processed and a rear surface generally parallel to the front surface; Microwave power supply means for supplying microwave power inversely-radially from the outer circumferential side of the dielectric plate toward the center, wherein the microwave power is a direction of propagation that is actually the reverse radiation direction at the outer circumferential side of the dielectric plate. microwave plasma processing apparatus having a of propagation). 제1항에 있어서, 상기 유전체 판의 전방 표면은 상기 진공실이 내부벽의 한 부분을 형성하고, 플라즈마는 상기 유전체 판의 전방 표면으로부터 방사된 마이크로파의 표면파에 의해 형성되는 마이크로파 플라즈마 처리 장치.The microwave plasma processing apparatus of claim 1, wherein the front surface of the dielectric plate is formed by the vacuum chamber forming a portion of an inner wall, and the plasma is formed by surface waves of microwaves radiated from the front surface of the dielectric plate. 제1항에 있어서, 상기 마이크로파 전력 공급 수단은 동축 도파관의 내부 도체 및 외부 도체를 구비하는 데, 상기 내부 도체는 상기 유전체 판의 후방 표면에 접촉되도록 배치되고, 상기 외부 도체는 상기 내부 도체를 둘러싸고 있으며, 상기 유전체 판의 외주 측면은 상기 내부 도체와 외부 도체 사이에 형성된 갭과 연통하도록 배치되어 있는 마이크로파 플라즈마 처리 장치.The method of claim 1 wherein said microwave power supply means comprises an inner conductor and an outer conductor of a coaxial waveguide, said inner conductor being arranged to contact a rear surface of said dielectric plate, said outer conductor enclosing said inner conductor. And an outer circumferential side of the dielectric plate is arranged to communicate with a gap formed between the inner conductor and the outer conductor. 제3항에 있어서, 상기 마이크로파 전력 공급 수단의 내부 도체는 상기 유전체 판의 후방 표면과 접촉하는 평면부를 갖는 도어-노브형 부분을 포함하는 마이크로파 플라즈마 처리 장치.4. The apparatus of claim 3, wherein the inner conductor of the microwave power supply means comprises a door-knob-shaped portion having a flat portion in contact with the rear surface of the dielectric plate. 제1항에 있어서, 상기 마이크로파 전력 공급 수단은, 상기 유전체 판의 후방 표면과 접촉되도록 배치된 제1금속 도체와, 상기 제1금속 도체를 둘러싸는 제2금속 도체를 포함하며, 상기 제1금속 도체는 동축 도파관의 내부 도체에 접속되어 있고, 상기 제2금속 도체는 상기 동축 도파관의 외부 도체에 접속되어 있으며, 상기 유전체 판의 외주 측면은 상기 내부 도체와 외부 도체 사이에 형성된 갭과 연통하도록 배치되어 있는 마이크로파 플라즈마 처리 장치.2. The apparatus of claim 1, wherein the microwave power supply means comprises a first metal conductor disposed to be in contact with a rear surface of the dielectric plate, and a second metal conductor surrounding the first metal conductor. The conductor is connected to the inner conductor of the coaxial waveguide, the second metal conductor is connected to the outer conductor of the coaxial waveguide, and the outer circumferential side of the dielectric plate is arranged to communicate with the gap formed between the inner conductor and the outer conductor. Microwave plasma processing apparatus. 제5항에 있어서, 상기 마이크로파 전력 공급 수단의 상기 제1금속 도체는, 상기 유전체 판의 후방 표면과 접촉하는 평면부를 갖는 도어-노브형 부분을 구비하는 마이크로파 플라즈마 처리 장치.6. The microwave plasma processing apparatus of claim 5, wherein the first metal conductor of the microwave power supply means has a door-knob-shaped portion having a flat portion in contact with a rear surface of the dielectric plate. 제6항에 있어서, 상기 진공실은 금속으로 만들어진 부분과 마이크로파를 전파하는 윈도우 부분을 포함하며, 상기 윈도우 부분은 유전체 판으로 덮여 있는 마이크로파 플라즈마 처리 장치.7. The apparatus of claim 6, wherein the vacuum chamber comprises a portion made of metal and a window portion for propagating microwaves, the window portion being covered with a dielectric plate. 제1항에 있어서, 상기 유전체 판과 피처리 물체 사이에 있는 공간에 자기장을 발생시키는 자기장 발생 수단을 추가로 포함하는 마이크로파 플라즈마 처리 장치.The microwave plasma processing apparatus according to claim 1, further comprising magnetic field generating means for generating a magnetic field in a space between the dielectric plate and the object to be processed. 제8항에 있어서, 상기 유전체 판의 후방 표면상에 배치된 금속 전극과, 상기 금속 전극에 무선 주파수 전압을 공급하는 수단을 추가로 포함하는 마이크로파 플라즈마 처리 장치.10. The apparatus of claim 8, further comprising a metal electrode disposed on a rear surface of the dielectric plate and means for supplying a radio frequency voltage to the metal electrode. 마이크로파 전력에 의해 가스로부터 플라즈마를 발생시키는 마이크로파 플라즈마 처리 장치에 있어서, 가스 도입구 및 가스 배출구를 포함하는 진공실과; 상기 진공실내에 배치되어 피처리 물체를 지지하는 홀더와; 상기 진공실과 접속된 동축 도파관과; 상기 동축 도파관에 진공을 유지시키는 절연체와; 마이크로파 발생 수단과; 적어도 두개의 직사각형 도파관으로 마이크로파를 출력하도록 상기 마이크로파 발생 수단으로부터 출력된 마이크로파를 나누는 분기 수단과; 상기 동축 도파관으로 마이크로파를 출력하도록 상기 직사각형 도파관으로부터 마이크로파를 수용하는 직사각형/동축 변환 수단을 포함하는 마이크로파 플라즈마 처리 장치.A microwave plasma processing apparatus for generating a plasma from a gas by microwave power, comprising: a vacuum chamber including a gas inlet and a gas outlet; A holder disposed in the vacuum chamber to support an object to be processed; A coaxial waveguide connected to the vacuum chamber; An insulator for maintaining a vacuum in the coaxial waveguide; Microwave generating means; Branching means for dividing the microwaves output from the microwave generating means to output the microwaves to at least two rectangular waveguides; And rectangular / coaxial conversion means for receiving microwaves from the rectangular waveguide to output microwaves to the coaxial waveguide. 제10항에 있어서, 직사각형 도파관으로 마이크로파를 출력시키는 적어도 두개의 마이크로파 발생 수단과; 상기 동축 도파관으로 마이크로파를 출력시키도록 직사각형 도파관으로부터 마이크로파를 수용하는 직사각형/동축 변환 수단을 추가로 포함하며, 상기 직사각형/동축 변환 수단은 상기 동축 도파관에 대해 선대칭(axisymmetric)인 마이크로파 플라즈마 처리 장치.11. The apparatus of claim 10, further comprising: at least two microwave generating means for outputting microwaves into a rectangular waveguide; And rectangular / coaxial conversion means for receiving microwaves from the rectangular waveguide to output microwaves to the coaxial waveguide, wherein the rectangular / coaxial conversion means is axisymmetric with respect to the coaxial waveguide. 제10항에 있어서, 상기 동축 도파관을 통해 마이크로파를 전파하는 적어도 두개의 동축 분기 수단과; 상기 적어도 두개의 동축 분기 수단으로 마이크로파를 출력시키는 적어도 두개의 마이크로파 발생 수단을 추가로 포함하며, 상기 적어도 두개의 동축 분기 수단은 상기 동축 도파관에 접속되며 상기 동축 도파관에 선대칭인 마이크로파 플라즈마 처리 장치.11. The apparatus of claim 10, further comprising: at least two coaxial branching means for propagating microwaves through the coaxial waveguide; And at least two microwave generating means for outputting microwaves to said at least two coaxial branching means, said at least two coaxial branching means connected to said coaxial waveguide and linearly symmetrical to said coaxial waveguide. 제10항에 있어서, 상기 동축 도파관을 통해 마이크로파를 전파시키는 적어도 두개의 동축 분기 수단과; 임피던스를 매칭시키는 적어도 두개의 매칭 수단과; 상기 동축 도파관으로 마이크로파를 출력시키는 마이크로파 발생 수단을 추가로 포함하며, 상기 적어도 두개의 동축 분기 수단은 상기 동축 도파관에 접속되고 상기 동축 도파관에 대해 선대칭이며, 상기 매칭 수단은 상기 적어도 두개의 동축 분기 수단에 접속되어 있는 마이크로파 플라즈마 처리 장치.11. The apparatus of claim 10, further comprising: at least two coaxial branching means for propagating microwaves through the coaxial waveguide; At least two matching means for matching an impedance; Further comprising microwave generating means for outputting microwaves to said coaxial waveguide, said at least two coaxial branching means connected to said coaxial waveguide and linearly symmetrical with respect to said coaxial waveguide, said matching means being said at least two coaxial branching means Microwave plasma processing apparatus connected to. 진공실내에서 플라즈마로 물체를 처리하는 방법에 있어서, 진공실내로 가스를 도입시키는 단계와; 원형 유전체 판의 표면으로부터 마이크로파의 표면파를 방사시키기 위해, 원형 유전체 판의 외주 측면으로부터 원형 유전체 판의 중심을 향하여 역방사 방향으로 마이크로파 전력을 공급하는 단계와; 상기 마이크로파 전력에 의해 가스로부터 플라즈마를 발생시키는 단계를 포함하고, 상기 마이크로파 전력은 유전체판의 외주 측면에서 실제로 역방사 방향인 전파방향(direction of propagation)을 가지는 플라즈마 처리 방법.CLAIMS 1. A method of treating an object with a plasma in a vacuum chamber, the method comprising: introducing a gas into the vacuum chamber; Supplying microwave power in a reverse radiation direction from the outer circumferential side of the circular dielectric plate toward the center of the circular dielectric plate to radiate the surface wave of the microwave from the surface of the circular dielectric plate; Generating a plasma from a gas by the microwave power, wherein the microwave power has a direction of propagation that is actually in a reverse radiation direction on the outer circumferential side of the dielectric plate. 제14항에 있어서, 상기 플라즈마 처리 방법은, 피처리 물체의 표면상에 막을 증착시키는 단계를 추가로 포함하는 플라즈마 처리 방법.15. The plasma processing method of claim 14, wherein the plasma processing method further comprises depositing a film on a surface of an object to be processed. 제14항에 있어서, 상기 플라즈마 처리 방법은, 피처리 물체의 적어도 한 부분을 에칭시키는 단계를 추가로 포함하는 플라즈마 처리 방법.15. The method of claim 14, wherein the plasma processing method further comprises etching at least a portion of the object to be processed. 제14항에 있어서, 상기 플라즈마 처리 방법은, 피처리 물체상에 막을 증착시키기 위해 플라즈마로 타겟을 스퍼터링시키는 단계를 추가로 포함하는 플라즈마 처리 방법.15. The plasma processing method of claim 14, wherein the plasma processing method further comprises sputtering a target with plasma to deposit a film on an object to be processed.
KR1019950006479A 1994-03-24 1995-03-23 Plasma treatment device and plasma treatment method KR0174070B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP05394594A JP3156492B2 (en) 1994-03-24 1994-03-24 Plasma processing apparatus and plasma processing method
JP94-53945 1994-03-24
JP94-184441 1994-08-05
JP6184441A JPH0850995A (en) 1994-08-05 1994-08-05 Plasma treatment device and plasma treatment method

Publications (2)

Publication Number Publication Date
KR950027912A KR950027912A (en) 1995-10-18
KR0174070B1 true KR0174070B1 (en) 1999-04-01

Family

ID=26394678

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950006479A KR0174070B1 (en) 1994-03-24 1995-03-23 Plasma treatment device and plasma treatment method

Country Status (2)

Country Link
US (1) US5611864A (en)
KR (1) KR0174070B1 (en)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3944946B2 (en) * 1997-04-25 2007-07-18 株式会社島津製作所 Thin film forming equipment
DE19726663A1 (en) * 1997-06-23 1999-01-28 Sung Spitzl Hildegard Dr Ing Device for generating homogeneous microwave plasmas
US6225592B1 (en) * 1998-09-15 2001-05-01 Astex-Plasmaquest, Inc. Method and apparatus for launching microwave energy into a plasma processing chamber
JP3599619B2 (en) * 1999-11-09 2004-12-08 シャープ株式会社 Plasma process equipment
US6401653B1 (en) * 2000-04-18 2002-06-11 Daihen Corporation Microwave plasma generator
JP3764639B2 (en) * 2000-09-13 2006-04-12 株式会社日立製作所 Plasma processing apparatus and semiconductor device manufacturing method
JP4163432B2 (en) * 2002-03-26 2008-10-08 矢崎総業株式会社 Plasma processing equipment
US20050000446A1 (en) * 2003-07-04 2005-01-06 Yukihiko Nakata Plasma processing apparatus and plasma processing method
DE102004030344B4 (en) * 2004-06-18 2012-12-06 Carl Zeiss Apparatus for coating optical glasses by means of plasma enhanced chemical vapor deposition (CVD)
WO2006127037A2 (en) * 2004-11-05 2006-11-30 Dana Corporation Atmospheric pressure processing using microwave-generated plasmas
KR101176061B1 (en) * 2007-08-28 2012-08-24 도쿄엘렉트론가부시키가이샤 Top plate and plasma processing apparatus
JP5520455B2 (en) * 2008-06-11 2014-06-11 東京エレクトロン株式会社 Plasma processing equipment
US8316797B2 (en) 2008-06-16 2012-11-27 Board of Trustees of Michigan State University Fraunhofer USA Microwave plasma reactors
EP2707521B1 (en) 2011-05-13 2018-08-08 Board Of Trustees Of Michigan State University Improved microwave plasma reactors
JP2016225573A (en) * 2015-06-03 2016-12-28 株式会社東芝 Substrate processing device and substrate processing method
JP6579587B2 (en) * 2017-09-20 2019-09-25 住友理工株式会社 Plasma processing equipment

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS625600A (en) * 1985-06-28 1987-01-12 住友金属工業株式会社 Microwave plasma processor
JPS6243335A (en) * 1985-08-21 1987-02-25 Arita Seisakusho:Kk Open-door indicator for vehicle
US5234565A (en) * 1990-09-20 1993-08-10 Matsushita Electric Industrial Co., Ltd. Microwave plasma source

Also Published As

Publication number Publication date
KR950027912A (en) 1995-10-18
US5611864A (en) 1997-03-18

Similar Documents

Publication Publication Date Title
KR0174070B1 (en) Plasma treatment device and plasma treatment method
US6158383A (en) Plasma processing method and apparatus
US8039772B2 (en) Microwave resonance plasma generating apparatus and plasma processing system having the same
US6204606B1 (en) Slotted waveguide structure for generating plasma discharges
JP3217274B2 (en) Surface wave plasma processing equipment
US5389154A (en) Plasma processing apparatus
US5173641A (en) Plasma generating apparatus
JP2000514595A (en) Coaxial microwave applicator for electron cyclotron resonant plasma source
JPH09106900A (en) Plasma processing method and plasma processing device
JP2722070B2 (en) Plasma processing apparatus and plasma processing method
JPH09289099A (en) Plasma processing method and device
JPH0319332A (en) Microwave plasma treatment device
JP4678905B2 (en) Plasma processing equipment
JP3156492B2 (en) Plasma processing apparatus and plasma processing method
JPH07135093A (en) Plasma processing device and processing method
JP2004265954A (en) Plasma process equipment
JPH01184922A (en) Plasma processor useful for etching, ashing, film formation and the like
JP3071814B2 (en) Plasma processing apparatus and processing method thereof
JPH0368771A (en) Microwave plasma treating device
JPH09293599A (en) Plasma treating method and device
JP3491190B2 (en) Plasma processing equipment
JP2857090B2 (en) Microwave-excited plasma processing equipment
KR20020016490A (en) Plasma processing apparatus
JP3208995B2 (en) Plasma processing method and apparatus
JPH07122396A (en) Microwave introducing apparatus for plasma apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20031023

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee