JPWO2019181603A1 - 基板処理装置、半導体装置の製造方法及びプログラム - Google Patents

基板処理装置、半導体装置の製造方法及びプログラム Download PDF

Info

Publication number
JPWO2019181603A1
JPWO2019181603A1 JP2020508222A JP2020508222A JPWO2019181603A1 JP WO2019181603 A1 JPWO2019181603 A1 JP WO2019181603A1 JP 2020508222 A JP2020508222 A JP 2020508222A JP 2020508222 A JP2020508222 A JP 2020508222A JP WO2019181603 A1 JPWO2019181603 A1 JP WO2019181603A1
Authority
JP
Japan
Prior art keywords
gas
substrate
processing chamber
film
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020508222A
Other languages
English (en)
Other versions
JP6937894B2 (ja
Inventor
原 大介
大介 原
橘 八幡
橘 八幡
賢次 篠崎
賢次 篠崎
一彦 山崎
一彦 山崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of JPWO2019181603A1 publication Critical patent/JPWO2019181603A1/ja
Application granted granted Critical
Publication of JP6937894B2 publication Critical patent/JP6937894B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

基板を処理する処理室と、第1のガスを充填し加熱する複数のタンクを備え、処理室内の基板に対して第1のガスを供給する第1のガス供給系と、複数のタンクを切り替えながら処理室内の基板に対して第1のガスを供給することが可能なように、第1のガス供給系を制御するよう構成される制御部と、を有する技術が提供される。

Description

本開示は、基板処理装置、半導体装置の製造方法及びプログラムに関する。
半導体装置の製造工程の1つに、基板処理装置の処理室内に基板を搬入し、処理室内に供給した原料ガスや反応ガスなどをプラズマを用いて活性化させ、基板上に絶縁膜や半導体膜、導体膜等の各種膜を形成したり、各種膜を除去したりする基板処理が行われることがある。
特開2011−216906号公報
しかしながら、原料ガスである反応性ガスにおいては飽和蒸気圧が低く装置への供給圧が低い。そのため流量制御器の大流量化を図れず、成膜レートの向上や膜質の改善が困難となってしまう。
本開示の目的は、基板を均一に処理することが可能な技術を提供することにある。
本開示の一態様によれば、
基板を処理する処理室と、
第1のガスを充填し加熱する複数のタンクを備え、前記処理室内の前記基板に対して前記第1のガスを供給する第1のガス供給系と、
前記複数のタンクを切り替えながら前記処理室内の前記基板に対して前記第1のガスを供給することが可能なように、前記第1のガス供給系を制御するよう構成される制御部と、を有する技術が提供される。
本開示によれば、基板を均一に処理することが可能な技術を提供することが可能となる。
本開示の実施形態で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を縦断面図で示す図である。 本開示の実施形態で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を図1のA−A線断面図で示す図である。 (a)本開示の実施形態で好適に用いられる基板処理装置のバッファ構造を説明するための横断面拡大図である。(b)本開示の実施形態で好適に用いられる基板処理装置のバッファ構造を説明するための模式図である。 本開示の実施形態で好適に用いられる基板処理装置のコントローラの概略構成図であり、コントローラの制御系をブロック図で示す図である。 本開示の実施形態に係る基板処理工程のフローチャートである。 本開示の実施形態に係る基板処理工程におけるガス供給のタイミングを示す図である。 本開示の実施形態で好適に用いられる基板処理装置の原料ガス供給ラインの概略構成図である。 本開示の実施形態で好適に用いられる基板処理装置の原料ガス供給ラインの変形例1を説明するための概略構成図である。 本開示の実施形態で好適に用いられる基板処理装置の原料ガス供給ラインの変形例2を説明するための概略構成図である。
<本開示の実施形態>
以下、本開示の一実施形態について図1から図7を参照しながら説明する。
(1)基板処理装置の構成(加熱装置)
図1に示すように、処理炉202は基板を垂直方向多段に収容することが可能な、いわゆる縦型炉であり、加熱装置(加熱機構)としてのヒータ207を有する。ヒータ207は円筒形状であり、保持板としてのヒータベース(図示せず)に支持されることにより垂直に据え付けられている。ヒータ207は、後述するようにガスを熱で活性化(励起)させる活性化機構(励起部)としても機能する。
(処理室)
ヒータ207の内側には、ヒータ207と同心円状に反応管203が配設されている。反応管203は、例えば石英(SiO)または炭化シリコン(SiC)等の耐熱性材料により構成され、上端が閉塞し下端が開口した円筒形状に形成されている。反応管203の下方には、反応管203と同心円状に、マニホールド(インレットフランジ)209が配設されている。マニホールド209は、例えばステンレス(SUS)等の金属により構成され、上端および下端が開口した円筒形状に形成されている。マニホールド209の上端部は、反応管203の下端部に係合しており、反応管203を支持するように構成されている。マニホールド209と反応管203との間には、シール部材としてのOリング220aが設けられている。マニホールド209がヒータベースに支持されることにより、反応管203は垂直に据え付けられた状態となる。主に、反応管203とマニホールド209とにより処理容器(反応容器)が構成されている。処理容器の内側である筒中空部には処理室201が形成されている。処理室201は、複数枚の基板としてのウエハ200を収容可能に構成されている。なお、処理容器は上記の構成に限らず、反応管203のみを処理容器と称する場合もある。
処理室201内には、ノズル249a,249bが、マニホールド209の側壁を貫通するように設けられている。ノズル249a,249bには、ガス供給管232a,232bが、それぞれ接続されている。
ガス供給管232a,232bには、ガス流の上流側から順に、流量制御器(流量調整器)であるマスフローコントローラ(MFC)241a,241bおよび開閉弁であるバルブ243a,243bがそれぞれ設けられている。ガス供給管232a,232bのバルブ243a,243bよりも下流側には、不活性ガスを供給するガス供給管232c,232dがそれぞれ接続されている。ガス供給管232c,232dには、ガス流の上流側から順に、MFC241c,241dおよびバルブ243c,243dがそれぞれ設けられている。
図7に示すように、ガス供給管232aの上流側に第1のタンク331aと、第2のタンク331bと、第1のタンク331aの圧力を測定する第1の圧力計332aと、第2のタンク331bの圧力を測定する第2の圧力計332bと、第1のタンク331aからガス供給管232aを介してMFC241aへのガス供給を制御する第1のバルブ333aと、第2のタンク331bからガス供給管232aを介してMFC241aへのガス供給を制御する第2のバルブ333bと、が設けられている。第1のタンク331aの上流側に調圧用レギュレータ335から第1のタンク331aへのガス供給を制御する第1のエアオペレートバルブ334aが設けられ、第2のタンク331bの上流側に調圧用レギュレータ335から第2のタンク331bへのガス供給を制御する第2のエアオペレートバルブ334bが設けられている。なお、第2のエアオペレートバルブ334bと、第2のタンク331bと、第2の圧力計332bと、第2のバルブ333bは小流量の原料ガスを供給する小流量ラインとして設けられている。第1のタンク331aと第2のタンク331bは同一の容積を有しているが、異なる容積にしても構わない。
ノズル249aは、図2に示すように、反応管203の内壁とウエハ200との間における空間に、反応管203の内壁の下部より上部に沿って、ウエハ200の積載方向上方に向かって立ち上がるように設けられている。すなわち、ノズル249aは、ウエハ200が配列(載置)されるウエハ配列領域(載置領域)の側方の、ウエハ配列領域を水平に取り囲む領域に、ウエハ配列領域に沿うように設けられている。すなわち、ノズル249aは、処理室201内へ搬入された各ウエハ200の端部(周縁部)の側方にウエハ200の表面(平坦面)と垂直となる方向に設けられている。ノズル249aの側面には、ガスを供給するガス供給孔250aが設けられている。ガス供給孔250aは、反応管203の中心を向くように開口しており、ウエハ200に向けてガスを供給することが可能となっている。ガス供給孔250aは、反応管203の下部から上部にわたって複数設けられ、それぞれが同一の開口面積を有し、更に同じ開口ピッチで設けられている。
ガス供給管232bの先端部には、ノズル249bが接続されている。ノズル249bは、ガス分散空間であるバッファ室237内に設けられている。バッファ室237は、図2に示すように、反応管203の内壁とウエハ200との間における平面視において円環状の空間に、また、反応管203の内壁の下部より上部にわたる部分に、ウエハ200の積載方向に沿って設けられている。すなわち、バッファ室237は、ウエハ配列領域の側方のウエハ配列領域を水平に取り囲む領域に、ウエハ配列領域に沿うようにバッファ構造300によって形成されている。バッファ構造300は、石英またはSiC等の耐熱性材料である絶縁物によって構成されており、バッファ構造300の円弧状に形成された壁面には、ガスを供給するガス供給口302,304が形成されている。ガス供給口302,304は、図2及び図3に示すように、後述する棒状電極269,270間、棒状電極270,271間のプラズマ生成領域224a,224bに対向する位置にそれぞれ反応管203の中心を向くように開口しており、ウエハ200に向けてガスを供給することが可能となっている。ガス供給口302,304は、反応管203の下部から上部にわたって複数設けられ、それぞれが同一の開口面積を有し、更に同じ開口ピッチで設けられている。
ノズル249bは、反応管203の内壁の下部より上部に沿って、ウエハ200の積載方向上方に向かって立ち上がるように設けられている。すなわち、ノズル249bは、バッファ構造300の内側であって、ウエハ200が配列されるウエハ配列領域の側方の、ウエハ配列領域を水平に取り囲む領域に、ウエハ配列領域に沿うように設けられている。すなわち、ノズル249bは、処理室201内へ搬入されたウエハ200の端部の側方にウエハ200の表面と垂直となる方向に設けられている。ノズル249bの側面には、ガスを供給するガス供給孔250bが設けられている。ガス供給孔250bは、バッファ構造300の円弧状に形成された壁面に対して径方向に形成された壁面に向くように開口しており、壁面に向けてガスを供給することが可能となっている。これにより、反応ガスがバッファ室237内で分散され、棒状電極269〜271に直接吹き付けることがなくなり、パーティクルの発生が抑制される。ガス供給孔250bは、ガス供給孔250aと同様に、反応管203の下部から上部にわたって複数設けられている。
このように、本実施形態では、反応管203の側壁の内壁と、反応管203内に配列された複数枚のウエハ200の端部で定義される平面視において円環状の縦長の空間内、すなわち、円筒状の空間内に配置したノズル249a,249bおよびバッファ室237を経由してガスを搬送している。そして、ノズル249a,249bおよびバッファ室237にそれぞれ開口されたガス供給孔250a,250b,ガス供給口302,304から、ウエハ200の近傍で初めて反応管203内にガスを噴出させている。そして、反応管203内におけるガスの主たる流れを、ウエハ200の表面と平行な方向、すなわち、水平方向としている。このような構成とすることで、各ウエハ200に均一にガスを供給でき、各ウエハ200に形成される膜の膜厚の均一性を向上させることが可能となる。ウエハ200の表面上を流れたガス、すなわち、反応後の残ガスは、排気口、すなわち、後述する排気管231の方向に向かって流れる。但し、この残ガスの流れの方向は、排気口の位置によって適宜特定され、垂直方向に限ったものではない。
ガス供給管232aからは、所定元素を含む原料として、例えば、所定元素としてのシリコン(Si)を含むシラン原料ガス(第1のガス)が、MFC241a、バルブ243a、ノズル249aを介して処理室201内へ供給される。
原料ガスとは、気体状態の原料、例えば、常温常圧下で液体状態である原料を気化することで得られるガスや、常温常圧下で気体状態である原料等のことである。本明細書において「原料」という言葉を用いた場合は、「液体状態である液体原料」を意味する場合、「気体状態である原料ガス」を意味する場合、または、それらの両方を意味する場合がある。
シラン原料ガスとしては、例えば、Siおよびハロゲン元素を含む原料ガス、すなわち、ハロシラン原料ガスを用いることができる。ハロシラン原料とは、ハロゲン基を有するシラン原料のことである。ハロゲン元素は、塩素(Cl)、フッ素(F)、臭素(Br)、ヨウ素(I)からなる群より選択される少なくとも1つを含む。すなわち、ハロシラン原料は、クロロ基、フルオロ基、ブロモ基、ヨード基からなる群より選択される少なくとも1つのハロゲン基を含む。ハロシラン原料は、ハロゲン化物の一種ともいえる。
ハロシラン原料ガスとしては、例えば、SiおよびClを含む原料ガス、すなわち、クロロシラン原料ガスを用いることができる。クロロシラン原料ガスとしては、例えば、ジクロロシラン(SiHCl、略称:DCS)ガスを用いることができる。
ガス供給管232bからは、上述の所定元素とは異なる元素を含むリアクタント(反応体)として、例えば、反応ガスとしての窒素(N)含有ガス(第2のガス)が、MFC241b、バルブ243b、ノズル249bを介して処理室201内へ供給されるように構成されている。N含有ガスとしては、例えば、窒化水素系ガスを用いることができる。窒化水素系ガスは、NおよびHの2元素のみで構成される物質ともいえ、窒化ガス、すなわち、Nソースとして作用する。窒化水素系ガスとしては、例えば、アンモニア(NH)ガスを用いることができる。
ガス供給管232c,232dからは、不活性ガスとして、例えば、窒素(N)ガスが、それぞれMFC241c,241d、バルブ243c,243d、ガス供給管232a,232b、ノズル249a,249bを介して処理室201内へ供給される。
主に、ガス供給管232a、MFC241a、バルブ243aにより、第1のガス供給系としての原料供給系が構成される。主に、ガス供給管232b、MFC241b、バルブ243bにより、第2のガス供給系としての反応体供給系(リアクタント供給系)が構成される。主に、ガス供給管232c,232d、MFC241c,241d、バルブ243c,243dにより、不活性ガス供給系が構成される。原料供給系、反応体供給系および不活性ガス供給系を総称して単にガス供給系(ガス供給部)とも称する。
(プラズマ生成部)
バッファ室237内には、図2及び図3に示すように、導電体により構成され、細長い構造を有する3本の棒状電極269,270,271が、反応管203の下部より上部にわたりウエハ200の積載方向に沿って配設されている。棒状電極269,270,271のそれぞれは、ノズル249bと平行に設けられている。棒状電極269,270,271のそれぞれは、上部より下部にわたって電極保護管275により覆われることで保護されている。棒状電極269,270,271のうち両端に配置される棒状電極269,271は、整合器272を介して高周波電源273に接続され、棒状電極270は、基準電位であるアースに接続され、接地されている。すなわち、高周波電源273に接続される棒状電極と、接地される棒状電極と、が交互に配置され、高周波電源273に接続された棒状電極269,271の間に配置された棒状電極270は、接地された棒状電極として、棒状電極269,271に対して共通して用いられている。換言すると、接地された棒状電極270は、隣り合う高周波電源273に接続された棒状電極269,271に挟まれるように配置され、棒状電極269と棒状電極270、同じく、棒状電極271と棒状電極270がそれぞれ対となるように構成されてプラズマを生成する。つまり、接地された棒状電極270は、棒状電極270に隣り合う2本の高周波電源273に接続された棒状電極269,271に対して共通して用いられている。そして、高周波電源273から棒状電極269,271に高周波(RF)電力を印加することで、棒状電極269,270間のプラズマ生成領域224a、棒状電極270,271間のプラズマ生成領域224bにプラズマが生成される。主に、棒状電極269,270,271、電極保護管275によりプラズマ源としてのプラズマ生成部(プラズマ生成装置)が構成される。整合器272、高周波電源273をプラズマ源に含めて考えてもよい。プラズマ源は、後述するように、ガスをプラズマ励起、すなわち、プラズマ状態に励起(活性化)させるプラズマ励起部(活性化機構)として機能する。
電極保護管275は、棒状電極269,270,271のそれぞれをバッファ室237内の雰囲気と隔離した状態でバッファ室237内へ挿入できる構造となっている。電極保護管275の内部のO濃度が外気(大気)のO濃度と同程度であると、電極保護管275内へそれぞれ挿入された棒状電極269,270,271は、ヒータ207による熱で酸化されてしまう。このため、電極保護管275の内部にNガス等の不活性ガスを充填しておくか、不活性ガスパージ機構を用いて電極保護管275の内部をNガス等の不活性ガスでパージすることで、電極保護管275の内部のO濃度を低減させ、棒状電極269,270,271の酸化を防止することができる。
反応管203には、処理室201内の雰囲気を排気する排気管231が設けられている。排気管231には、処理室201内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ245および排気バルブ(圧力調整部)としてのAPC(Auto Pressure Controller)バルブ244を介して、真空排気装置としての真空ポンプ246が接続されている。APCバルブ244は、真空ポンプ246を作動させた状態で弁を開閉することで、処理室201内の真空排気および真空排気停止を行うことができ、更に、真空ポンプ246を作動させた状態で、圧力センサ245により検出された圧力情報に基づいて弁開度を調節することで、処理室201内の圧力を調整することができるように構成されているバルブである。主に、排気管231、APCバルブ244、圧力センサ245により、排気系が構成される。真空ポンプ246を排気系に含めて考えてもよい。排気管231は、反応管203に設ける場合に限らず、ノズル249a,249bと同様にマニホールド209に設けてもよい。
マニホールド209の下方には、マニホールド209の下端開口を気密に閉塞可能な炉口蓋体としてのシールキャップ219が設けられている。シールキャップ219は、マニホールド209の下端に垂直方向下側から当接されるように構成されている。シールキャップ219は、例えばSUS等の金属により構成され、円盤状に形成されている。シールキャップ219の上面には、マニホールド209の下端と当接するシール部材としてのOリング220bが設けられている。シールキャップ219の処理室201と反対側には、後述するボート217を回転させる回転機構267が設置されている。回転機構267の回転軸255は、シールキャップ219を貫通してボート217に接続されている。回転機構267は、ボート217を回転させることでウエハ200を回転させるように構成されている。シールキャップ219は、反応管203の外部に垂直に設置された昇降機構としてのボートエレベータ115によって垂直方向に昇降されるように構成されている。ボートエレベータ115は、シールキャップ219を昇降させることで、ボート217を処理室201内外に搬入および搬出することが可能なように構成されている。ボートエレベータ115は、ボート217すなわちウエハ200を、処理室201内外に搬送する搬送装置(搬送機構)として構成されている。また、マニホールド209の下方には、ボートエレベータ115によりシールキャップ219を降下させている間、マニホールド209の下端開口を気密に閉塞可能な炉口蓋体としてのシャッタ219sが設けられている。シャッタ219sは、例えばSUS等の金属により構成され、円盤状に形成されている。シャッタ219sの上面には、マニホールド209の下端と当接するシール部材としてのOリング220cが設けられている。シャッタ219sの開閉動作(昇降動作や回動動作等)は、シャッタ開閉機構115sにより制御される。
(基板支持具)
図1に示すように基板支持具としてのボート217は、複数枚、例えば25〜200枚のウエハ200を、水平姿勢で、かつ、互いに中心を揃えた状態で垂直方向に整列させて多段に支持するように、すなわち、所定の間隔を空けて配列させるように構成されている。ボート217は、例えば石英やSiC等の耐熱性材料により構成される。ボート217の下部には、例えば石英やSiC等の耐熱性材料により構成される断熱板218が多段に支持されている。
図2に示すように反応管203の内部には、温度検出器としての温度センサ263が設置されている。温度センサ263により検出された温度情報に基づきヒータ207への通電具合を調整することで、処理室201内の温度を所望の温度分布とする。温度センサ263は、ノズル249a,249bと同様に反応管203の内壁に沿って設けられている。
(制御装置)
次に制御装置について図4を用いて説明する。図4に示すように、制御部(制御装置)であるコントローラ121は、CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶装置121c、I/Oポート121dを備えたコンピュータとして構成されている。RAM121b、記憶装置121c、I/Oポート121dは、内部バス121eを介して、CPU121aとデータ交換可能なように構成されている。コントローラ121には、例えばタッチパネル等として構成された入出力装置122が接続されている。
記憶装置121cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置121c内には、基板処理装置の動作を制御する制御プログラムや、後述する成膜処理の手順や条件等が記載されたプロセスレシピ等が、読み出し可能に格納されている。プロセスレシピは、後述する各種処理(成膜処理)における各手順をコントローラ121に実行させ、所定の結果を得ることが出来るように組み合わされたものであり、プログラムとして機能する。以下、プロセスレシピや制御プログラム等を総称して、単に、プログラムともいう。また、プロセスレシピを、単に、レシピともいう。本明細書においてプログラムという言葉を用いた場合は、レシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、それらの両方を含む場合がある。RAM121bは、CPU121aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。
I/Oポート121dは、上述のMFC241a〜241d、バルブ243a〜243d、圧力センサ245、APCバルブ244、真空ポンプ246、ヒータ207、温度センサ263、整合器272、高周波電源273、回転機構267、ボートエレベータ115、シャッタ開閉機構115s、第1のタンク331a、第2のタンク331b、第1の圧力計332a、第2の圧力計332b、第1のバルブ333a、第2のバルブ333b、第1のエアオペレートバルブ334a、第2のエアオペレートバルブ334b、調圧用レギュレータ345等に接続されている。
CPU121aは、記憶装置121cから制御プログラムを読み出して実行すると共に、入出力装置122からの操作コマンドの入力等に応じて記憶装置121cからレシピを読み出すように構成されている。CPU121aは、読み出したレシピの内容に沿うように、回転機構267の制御、MFC241a〜241dによる各種ガスの流量調整動作、バルブ243a〜243dの開閉動作、インピーダンス監視に基づく高周波電源273の調整動作、APCバルブ244の開閉動作および圧力センサ245に基づくAPCバルブ244による圧力調整動作、真空ポンプ246の起動および停止、温度センサ263に基づくヒータ207の温度調整動作、回転機構267によるボート217の正逆回転、回転角度および回転速度調節動作、ボートエレベータ115によるボート217の昇降動作、第1のタンク331aおよび第2のタンク331bの加熱動作、第1の圧力計332aに基づく第1のバルブ333aの開閉動作、第2の圧力計332bに基づく第2のバルブ333bの開閉動作、第1のエアオペレートバルブ334aおよび第2のエアオペレートバルブ334bの開閉動作、調圧用レギュレータ345の圧力調整動作等を制御するように構成されている。
コントローラ121は、外部記憶装置(例えば、ハードディスク等の磁気ディスク、CD等の光ディスク、MO等の光磁気ディスク、USBメモリ等の半導体メモリ)123に格納された上述のプログラムを、コンピュータにインストールすることにより構成することができる。記憶装置121cや外部記憶装置123は、コンピュータ読み取り可能な記録媒体として構成されている。以下、これらを総称して、単に、記録媒体ともいう。本明細書において記録媒体という言葉を用いた場合は、記憶装置121c単体のみを含む場合、外部記憶装置123単体のみを含む場合、または、それらの両方を含む場合がある。なお、コンピュータへのプログラムの提供は、外部記憶装置123を用いず、インターネットや専用回線等の通信手段を用いて行ってもよい。
(2)基板処理工程
次に、基板処理装置100を使用して、半導体装置の製造工程の一工程として、ウエハ200上に薄膜を形成する工程について、図5及び図6を参照しながら説明する。以下の説明において、基板処理装置を構成する各部の動作はコントローラ121により制御される。
ここでは、原料ガスとしてDCSガスを供給するステップと、反応ガスとしてプラズマ励起させたNHガスを供給するステップとを非同時に、すなわち同期させることなく所定回数(1回以上)行うことで、ウエハ200上に、SiおよびNを含む膜として、シリコン窒化膜(SiN膜)を形成する例について説明する。また、例えば、ウエハ200上には、予め所定の膜が形成されていてもよい。また、ウエハ200または所定の膜には予め所定のパターンが形成されていてもよい。
本明細書では、図6に示す成膜処理のプロセスフローを、便宜上、以下のように示すこともある。
(DCS→NH*)×n ⇒ SiN
本明細書において「ウエハ」という言葉を用いた場合は、ウエハそのものを意味する場合や、ウエハとその表面に形成された所定の層や膜との積層体を意味する場合がある。本明細書において「ウエハの表面」という言葉を用いた場合は、ウエハそのものの表面を意味する場合や、ウエハ上に形成された所定の層等の表面を意味する場合がある。本明細書において「ウエハ上に所定の層を形成する」と記載した場合は、ウエハそのものの表面上に所定の層を直接形成することを意味する場合や、ウエハ上に形成されている層等の上に所定の層を形成することを意味する場合がある。本明細書において「基板」という言葉を用いた場合も、「ウエハ」という言葉を用いた場合と同義である。
(搬入ステップ:S1)
複数枚のウエハ200がボート217に装填(ウエハチャージ)されると、シャッタ開閉機構115sによりシャッタ219sが移動させられて、マニホールド209の下端開口が開放される(シャッタオープン)。その後、図1に示すように、複数枚のウエハ200を支持したボート217は、ボートエレベータ115によって持ち上げられて処理室201内へ搬入(ボートロード)される。この状態で、シールキャップ219は、Oリング220bを介してマニホールド209の下端をシールした状態となる。
(圧力・温度調整ステップ:S2)
処理室201の内部、すなわち、ウエハ200が存在する空間が所望の圧力(真空度)となるように、真空ポンプ246によって真空排気(減圧排気)される。この際、処理室201内の圧力は圧力センサ245で測定され、この測定された圧力情報に基づきAPCバルブ244がフィードバック制御される。真空ポンプ246は、少なくとも後述する成膜ステップが終了するまでの間は常時作動させた状態を維持する。
また、処理室201内のウエハ200が所望の温度となるようにヒータ207によって加熱される。この際、処理室201内が所望の温度分布となるように、温度センサ263が検出した温度情報に基づきヒータ207への通電具合がフィードバック制御される。ヒータ207による処理室201内の加熱は、少なくとも後述する成膜ステップが終了するまでの間は継続して行われる。ただし、成膜ステップを室温以下の温度条件下で行う場合は、ヒータ207による処理室201内の加熱は行わなくてもよい。なお、このような温度下での処理だけを行う場合には、ヒータ207は不要となり、ヒータ207を基板処理装置に設置しなくてもよい。この場合、基板処理装置の構成を簡素化することができる。
続いて、回転機構267によるボート217およびウエハ200の回転を開始する。回転機構267によるボート217およびウエハ200の回転は、少なくとも成膜ステップが終了するまでの間は継続して行われる。
(原料ガス供給ステップ:S3,S4)
ステップS3では、処理室201内のウエハ200に対してDCSガスを供給する。第1のエアオペレートバルブ334aを開けて第1のタンク331aにDCSガスを充填し加熱し、第2のエアオペレートバルブ334bを開けて第2のタンク331bにDCSガスを充填し加熱している。すなわち、ステップS3でDCSガスの供給を開始する際には、第1のタンクおよび第2のタンクいずれもDCSガスが所定の規定量が充填され加熱された状態となっている。そして、所定時間経過後に第1のバルブ333aを開いて第1のタンク331a内のDCSガスをMFC241aへ供給し、第1の圧力計332aで測定した圧力が所定の圧力となると、第1のバルブ333aを閉じて、第2のバルブ333bを開いて第2のタンク331b内のDCSガスをMFC241aへ供給し、第1のエアオペレートバルブ334aを開いて第1のタンク331aにDCSガスを充填し加熱する。また、第2の圧力計332bで測定した圧力が所定の圧力になると、第2のバルブ333bを閉じて、第1のバルブ333aを開いて第1のタンク331内のDCSガスをMFC241aへ供給し、第2のエアオペレートバルブ334bを開いて第2のタンク331bにDCSガスを充填し加熱する。これら動作を繰り返して、MFC241aにDCSガスを大流量で供給する。
バルブ243aを開き、ガス供給管232a内へDCSガスを流す。DCSガスは、MFC241aにより流量調整され、ノズル249aを介してガス供給孔250aから処理室201内へ供給され、排気管231から排気される。このとき同時にバルブ243cを開き、ガス供給管232c内へNガスを流す。Nガスは、MFC241cにより流量調整され、DCSガスと一緒に処理室201内へ供給され、排気管231から排気される。
また、ノズル249b内へのDCSガスの侵入を抑制するため、バルブ243dを開き、ガス供給管232d内へNガスを流す。Nガスは、ガス供給管232b、ノズル249bを介して処理室201内へ供給され、排気管231から排気される。
MFC241aで制御するDCSガスの供給流量は、例えば1sccm以上、6000sccm以下、好ましくは3000sccm以上、5000sccm以下の範囲内の流量とする。MFC241c,241dで制御するNガスの供給流量は、それぞれ例えば100sccm以上、10000sccm以下の範囲内の流量とする。処理室201内の圧力は、例えば1Pa以上、2666Pa以下、好ましくは665Pa以上、1333Paの範囲内の圧力とする。DCSガスにウエハ200を晒す時間は、例えば1サイクルあたり20秒程度の時間とする。なお、DCSガスにウエハ200を晒す時間は膜厚によって異なる。
ヒータ207の温度は、ウエハ200の温度が、例えば0℃以上700℃以下、好ましくは室温(25℃)以上550℃以下、より好ましくは40℃以上500℃以下の範囲内の温度となるような温度に設定する。本実施形態のように、ウエハ200の温度を700℃以下、さらには550℃以下、さらには500℃以下とすることで、ウエハ200に加わる熱量を低減させることができ、ウエハ200が受ける熱履歴の制御を良好に行うことができる。
上述の条件下でウエハ200に対してDCSガスを供給することにより、ウエハ200(表面の下地膜)上に、Si含有層が形成される。Si含有層はSi層の他、ClやHを含み得る。Si含有層は、ウエハ200の最表面に、DCSが物理吸着したり、DCSの一部が分解した物質が化学吸着したり、DCSが熱分解することでSiが堆積したりすること等により形成される。すなわち、Si含有層は、DCSやDCSの一部が分解した物質の吸着層(物理吸着層や化学吸着層)であってもよく、Siの堆積層(Si層)であってもよい。
Si含有層が形成された後、バルブ243aを閉じ、処理室201内へのDCSガスの供給を停止する。このとき、APCバルブ244を開いたままとし、真空ポンプ246により処理室201内を真空排気し、処理室201内に残留する未反応もしくはSi含有層の形成に寄与した後のDCSガスや反応副生成物等を処理室201内から排除する(S4)。また、バルブ243c,243dは開いたままとして、処理室201内へのNガスの供給を維持する。Nガスはパージガスとして作用する。なお、このステップS4を省略してもよい。
原料ガスとしては、DCSガスのほか、テトラキスジメチルアミノシラン(Si[N(CH、略称:4DMAS)ガス、トリスジメチルアミノシラン(Si[N(CHH、略称:3DMAS)ガス、ビスジメチルアミノシラン(Si[N(CH、略称:BDMAS)ガス、ビスジエチルアミノシラン(Si[N(C、略称:BDEAS)、ビスターシャリーブチルアミノシラン(SiH[NH(C)]、略称:BTBAS)ガス、ジメチルアミノシラン(DMAS)ガス、ジエチルアミノシラン(DEAS)ガス、ジプロピルアミノシラン(DPAS)ガス、ジイソプロピルアミノシラン(DIPAS)ガス、ブチルアミノシラン(BAS)ガス、ヘキサメチルジシラザン(HMDS)ガス等の各種アミノシラン原料ガスや、モノクロロシラン(SiHCl、略称:MCS)ガス、トリクロロシラン(SiHCl、略称:TCS)ガス、テトラクロロシラン(SiCl、略称:STC)ガス、ヘキサクロロジシラン(SiCl、略称:HCDS)ガス、オクタクロロトリシラン(SiCl、略称:OCTS)ガス等の無機系ハロシラン原料ガスや、モノシラン(SiH、略称:MS)ガス、ジシラン(Si、略称:DS)ガス、トリシラン(Si、略称:TS)ガス等のハロゲン基非含有の無機系シラン原料ガスを好適に用いることができる。
不活性ガスとしては、Nガスの他、Arガス、Heガス、Neガス、Xeガス等の希ガスを用いることができる。
(反応ガス供給ステップ:S5,S6)
成膜処理が終了した後、処理室201内のウエハ200に対して反応ガスとしてのプラズマ励起させたNHガスを供給する(S5)。
このステップでは、バルブ243b〜243dの開閉制御を、ステップS3におけるバルブ243a,243c,243dの開閉制御と同様の手順で行う。NHガスは、MFC 241bにより流量調整され、ノズル249bを介してバッファ室237内へ供給される。このとき、棒状電極269,270,271間に高周波電力を供給する。バッファ室237内へ供給されたNHガスはプラズマ状態に励起され(プラズマ化して活性化され)、活性種(NH*)として処理室201内へ供給され、排気管231から排気される。
MFC241bで制御するNHガスの供給流量は、例えば100sccm以上、10000sccm以下、好ましくは1000sccm以上、2000sccm以下の範囲内の流量とする。棒状電極269,270,271に印加する高周波電力は、例えば50W以上、600W以下の範囲内の電力とする。処理室201内の圧力は、例えば1Pa以上、500Pa以下の範囲内の圧力とする。プラズマを用いることで、処理室201内の圧力をこのような比較的低い圧力帯としても、NHガスを活性化させることが可能となる。NHガスをプラズマ励起することにより得られた活性種をウエハ200に対して供給する時間、すなわち、ガス供給時間(照射時間)は、例えば1秒以上、180秒以下、好ましくは1秒以上、60秒以下の範囲内の時間とする。その他の処理条件は、上述のS3と同様な処理条件とする。
上述の条件下でウエハ200に対してNHガスを供給することにより、ウエハ200上に形成されたSi含有層がプラズマ窒化される。この際、プラズマ励起されたNHガスのエネルギーにより、Si含有層が有するSi−Cl結合、Si−H結合が切断される。Siとの結合を切り離されたCl、Hは、Si含有層から脱離することとなる。そして、Cl等が脱離することで未結合手(ダングリングボンド)を有することとなったSi含有層中のSiが、NHガスに含まれるNと結合し、Si−N結合が形成されることとなる。この反応が進行することにより、Si含有層は、SiおよびNを含む層、すなわち、シリコン窒化層(SiN層)へと変化させられる(改質される)。
なお、Si含有層をSiN層へと改質させるには、NHガスをプラズマ励起させて供給する必要がある。NHガスをノンプラズマの雰囲気下で供給しても、上述の温度帯では、Si含有層を窒化させるのに必要なエネルギーが不足しており、Si含有層からClやHを充分に脱離させたり、Si含有層を充分に窒化させてSi−N結合を増加させたりすることは、困難なためである。
Si含有層をSiN層へ変化させた後、バルブ243bを閉じ、NHガスの供給を停止する。また、棒状電極269,270,271間への高周波電力の供給を停止する。そして、ステップS4と同様の処理手順、処理条件により、処理室201内に残留するNHガスや反応副生成物を処理室201内から排除する(S6)。なお、このステップS6を省略してもよい。
窒化剤、すなわち、プラズマ励起させるN含有ガスとしては、NHガスの他、ジアゼン(N)ガス、ヒドラジン(N)ガス、Nガス等を用いてもよい。
不活性ガスとしては、Nガスの他、例えば、ステップS4で例示した各種希ガスを用いることができる。
(所定回数実施:S7)
上述したS3,S4,S5,S6をこの順番に沿って非同時に、すなわち、同期させることなく行うことを1サイクルとし、このサイクルを所定回数(n回)、すなわち、1回以上行う(S7)ことにより、ウエハ200上に、所定組成および所定膜厚のSiN膜を形成することができる。上述のサイクルは、複数回繰り返すことが好ましい。すなわち、1サイクルあたりに形成されるSiN層の厚さを所望の膜厚よりも小さくし、SiN層を積層することで形成されるSiN膜の膜厚が所望の膜厚になるまで、上述のサイクルを複数回繰り返すことが好ましい。
(大気圧復帰ステップ:S8)
上述の成膜処理が完了したら、ガス供給管232c,232dのそれぞれから不活性ガスとしてのNガスを処理室201内へ供給し、排気管231から排気する。これにより、処理室201内が不活性ガスでパージされ、処理室201内に残留するガス等が処理室201内から除去される(不活性ガスパージ)。その後、処理室201内の雰囲気が不活性ガスに置換され(不活性ガス置換)、処理室201内の圧力が常圧に復帰される(S8)。
(搬出ステップ:S9)
その後、ボートエレベータ115によりシールキャップ219が下降されて、マニホールド209の下端が開口されるとともに、処理済のウエハ200が、ボート217に支持された状態でマニホールド209の下端から反応管203の外部に搬出(ボートアンロード)される(S9)。ボートアンロードの後は、シャッタ219sが移動させられ、マニホールド209の下端開口がOリング220cを介してシャッタ219sによりシールされる(シャッタクローズ)。処理済のウエハ200は、反応管203の外部に搬出された後、ボート217より取り出されることとなる(ウエハディスチャージ)。なお、ウエハディスチャージの後は、処理室201内へ空のボート217を搬入するようにしてもよい。
(3)本実施形態による効果
本実施形態によれば、以下に示す1つ又は複数の効果が得られる。
(a)本実施形態によれば、加熱式のタンクを設けることにより、ほぼ常温で供給される反応性ガスを閉じ込め、加熱することで昇圧が可能となりMFCの上流側の供給圧力を上げることが可能となる。
(b)MFCの上流側のタンクを2ライン以上設けることにより、MFCの大流量化が可能となり、処理室への安定した大流量供給が可能となる。
(変形例1)
次に、本実施形態の変形例を図8に基づいて説明する。本変形例において、上述した実施形態と異なる部分のみ以下に説明し、同じ部分は説明を省略する。
本変形例1では、MFC241aと調圧用レギュレータ345との間に同一の容積を有するタンクを4つ設け、原料ガス供給ラインを並列に4つ構成する。
実施形態の構成において、さらに、MFC241aの上流側に第3のタンク331cと、第4のタンク331dと、第3のタンク331cの圧力を測定する第3の圧力計332cと、第4のタンク331dの圧力を測定する第4の圧力計332dと、第3のタンク331cからガス供給管232aを介してMFC241aへのガス供給を制御する第3のバルブ333cと、第4のタンク331dからガス供給管232aを介してMFC241aへのガス供給を制御する第4のバルブ333dと、が設けられている。第3のタンク331cの上流側に調圧用レギュレータ345から第3のタンク331cへのガス供給を制御する第3のエアオペレートバルブ334cを設け、第4のタンク331dの上流側に調圧用レギュレータ335から第4のタンク331dへのガス供給を制御する第3のエアオペレートバルブ334dが設けられている。これにより、大流量化が進んでも、MFCの上流側の圧力を高い状態で維持することが可能となる。また、同一容積のタンク331a〜331dを用いることで、タンク331a〜331dからMFC241aへ同一の供給量のDCSガスは同一の供給流量となるため、安定したDCSガスを供給することが可能となる。
(変形例2)
次に、本実施形態の変形例2を図9に基づいて説明する。本変形例において、上述した変形例1と異なる部分のみ以下に説明し、同じ部分は説明を省略する。
本変形例2では、変形例1の4つのタンクの容積を変えて、大きい容積のタンクと小さい容積のタンクで構成する。例えば、第3のタンク331cおよび第4のタンク331dの容積は第1のタンク331aおよび第2のタンク331bの容積よりも小さくする。これにより、さらに長時間の装置稼働が継続する際に、タンク内の加熱が追い付かない状況がなくなり、加熱時間待ちによる不具合の発生を防止することが可能となる。
以上、本開示の実施形態について具体的に説明した。しかしながら、本開示は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
例えば、上述の実施形態では、原料を供給した後に反応ガスを供給する例について説明した。本開示はこのような態様に限定されず、原料、反応ガスの供給順序は逆でもよい。すなわち、反応ガスを供給した後に原料を供給するようにしてもよい。供給順序を変えることにより、形成される膜の膜質や組成比を変化させることが可能となる。
上述の実施形態等では、ウエハ200上にSiN膜を形成する例について説明した。本開示はこのような態様に限定されず、ウエハ200上に、シリコン酸化膜(SiO膜)、シリコン酸炭化膜(SiOC膜)、シリコン酸炭窒化膜(SiOCN膜)、シリコン酸窒化膜(SiON膜)等のSi系酸化膜を形成する場合や、ウエハ200上にシリコン炭窒化膜(SiCN膜)、シリコン硼窒化膜(SiBN膜)、シリコン硼炭窒化膜(SiBCN膜)等のSi系窒化膜を形成する場合にも、好適に適用可能である。これらの場合、反応ガスとしては、O含有ガスの他、C等のC含有ガスや、NH等のN含有ガスや、BCl等のB含有ガスを用いることができる。
また、本開示は、ウエハ200上に、チタン(Ti)、ジルコニウム(Zr)、ハフニウム(Hf)、タンタル(Ta)、ニオブ(Nb)、アルミニウム(Al)、モリブデン(Mo)、タングステン(W)等の金属元素を含む酸化膜や窒化膜、すなわち、金属系酸化膜や金属系窒化膜を形成する場合においても、好適に適用可能である。すなわち、本開示は、ウエハ200上に、TiO膜、TiN膜、TiOC膜、TiOCN膜、TiON膜、TiBN膜、TiBCN膜、ZrO膜、ZrN膜、ZrOC膜、ZrOCN膜、Z
rON膜、ZrBN膜、ZrBCN膜、HfO膜、HfN膜、HfOC膜、HfOCN膜、HfON膜、HfBN膜、HfBCN膜、TaO膜、TaOC膜、TaOCN膜、TaON膜、TaBN膜、TaBCN膜、NbO膜、NbN膜、NbOC膜、NbOCN膜、NbON膜、NbBN膜、NbBCN膜、AlO膜、AlN膜、AlOC膜、AlOCN膜、AlON膜、AlBN膜、AlBCN膜、MoO膜、MoN膜、MoOC膜、MoOCN膜、MoON膜、MoBN膜、MoBCN膜、WO膜、WN膜、WOC膜、WOCN膜、WON膜、MWBN膜、WBCN膜等を形成する場合にも、好適に適用することが可能となる。
これらの場合、例えば、原料ガスとして、テトラキス(ジメチルアミノ)チタン(Ti[N(CH、略称:TDMAT)ガス、テトラキス(エチルメチルアミノ)ハフニウム(Hf[N(C)(CH)]、略称:TEMAH)ガス、テトラキス(エチルメチルアミノ)ジルコニウム(Zr[N(C5)(CH)]、略称:TEMAZ)ガス、トリメチルアルミニウム(Al(CH、略称:TMA)ガス、チタニウムテトラクロライド(TiCl)ガス、ハフニウムテトラクロライド(HfCl)ガス等を用いることができる。反応ガスとしては、上述の反応ガスを用いることができる。
すなわち、本開示は、半金属元素を含む半金属系膜や金属元素を含む金属系膜を形成する場合に、好適に適用することができる。これらの成膜処理の処理手順、処理条件は、上述の実施形態や変形例に示す成膜処理と同様な処理手順、処理条件とすることができる。これらの場合においても、上述の実施形態や変形例と同様の効果が得られる。
成膜処理に用いられるレシピは、処理内容に応じて個別に用意し、電気通信回線や外部記憶装置123を介して記憶装置121c内に格納しておくことが好ましい。そして、各種処理を開始する際、CPU121aが、記憶装置121c内に格納された複数のレシピの中から、処理内容に応じて適正なレシピを適宜選択することが好ましい。これにより、1台の基板処理装置で様々な膜種、組成比、膜質、膜厚の薄膜を汎用的に、かつ、再現性よく形成することができるようになる。また、オペレータの負担を低減でき、操作ミスを回避しつつ、各種処理を迅速に開始できるようになる。
上述のレシピは、新たに作成する場合に限らず、例えば、基板処理装置に既にインストールされていた既存のレシピを変更することで用意してもよい。レシピを変更する場合は、変更後のレシピを、電気通信回線や当該レシピを記録した記録媒体を介して、基板処理装置にインストールしてもよい。また、既存の基板処理装置が備える入出力装置122を操作し、基板処理装置に既にインストールされていた既存のレシピを直接変更するようにしてもよい。
以上述べたように、本開示によれば、基板を均一に処理することが可能な技術を提供することが可能となる。
200:ウエハ、201:処理室、反応管:203、241a:MFC、243a:バルブ、249a:ノズル、331a:第1のタンク、331b:第2のタンク、332a:第1の圧力計、332b:第2の圧力計、333a:第1のバルブ、333b:第2のバルブ、334a:第1のエアオペレートバルブ、334b:第2のエアオペレートバルブ、335:調圧用レギュレータ

Claims (12)

  1. 基板を処理する処理室と、
    第1のガスを充填し加熱する複数のタンクを備え、前記処理室内の基板に対して前記第1のガスを供給する第1のガス供給系と、
    前記複数のタンクを切り替えながら前記処理室内の前記基板に対して前記第1のガスを供給することが可能なように、前記第1のガス供給系を制御するよう構成される制御部と、を有する基板処理装置。
  2. 前記第1のガス供給系は、
    さらに、前記複数のタンク内の圧力を計測する圧力計を備え、
    前記制御部は、
    前記圧力計が測定した圧力値に基づいて、前記複数のタンクを切り替えながら前記処理室内の前記基板に対して前記第1のガスを供給することが可能なように、前記第1のガス供給系を制御するよう構成される請求項1に記載の基板処理装置。
  3. 前記複数のタンクの容積が同一である請求項1に記載の基板処理装置。
  4. 前記複数のタンクの容積が異なる請求項1に記載の基板処理装置。
  5. 前記処理室内の基板に対して第2のガスを供給する第2のガス供給系を備え、
    前記第1のガスは原料ガスであって、前記第2のガスは反応ガスである請求項1に記載の基板処理装置。
  6. 前記反応ガスをプラズマにより活性化させるプラズマ生成部を有する請求項5に記載の基板処理装置。
  7. 基板を処理する処理室と、第1のガスを充填し加熱する複数のタンクを有し、前記処理室内に前記基板に対して前記第1のガスを供給する第1のガス供給系と、前記処理室内の前記基板に対して第2のガスを供給する第2のガス供給系と、を有する基板処理装置の前記処理室内に前記基板を搬入する工程と、
    前記タンクを切り替えながら前記処理室内の前記基板に対して前記第1のガスを供給する工程と、
    前記処理室内の前記基板に対して前記第2のガス供給系から前記第2のガスを供給する工程と、
    を有する半導体装置の製造方法。
  8. 前記基板処理装置は、前記複数のタンク内の圧力を計測する圧力計を有し、
    前記第1のガスを供給する工程では、前記圧力計が測定した圧力値に基づいて、前記複数のタンクを切り替えながら、前記処理室内の前記基板に対して前記第1のガスを供給する請求項7に記載の半導体装置の製造方法。
  9. 前記基板処理装置は、第2のガスをプラズマにより活性化させるプラズマ生成部を有し、
    前記第2のガスを供給する工程では、前記プラズマ生成部により活性化された前記第2のガスを前記基板に対して供給する請求項7に記載の半導体装置の製造方法。
  10. 基板を処理する処理室と、第1のガスを充填し加熱する複数のタンクを有し、前記処理室内の前記基板に対して前記第1のガスを供給する第1のガス供給系と、前記処理室内の前記基板に対して第2のガスを供給する第2のガス供給系と、を有する基板処理装置の前記処理室内に前記基板を搬入する手順と、
    前記タンクを切り替えながら前記処理室内の前記基板に対して前記第1のガスを供給する手順と、
    前記処理室内の前記基板に対して前記第2のガス供給系から前記第2のガスを供給する手順と、をコンピュータによって前記基板処理装置に実行させるプログラム。
  11. 前記基板処理装置は、前記複数のタンク内の圧力を計測する圧力計を有し、
    前記第1のガスを供給する手順では、前記圧力計が測定した圧力値に基づいて、前記複数のタンクを切り替えながら前記処理室内の前記基板に対して前記第1のガスを供給する請求項10に記載のプログラム。
  12. 前記基板処理装置は、第2のガスをプラズマにより活性化させるプラズマ生成部を有し、
    前記第2のガスを供給する手順では、前記プラズマ生成部により活性化された前記第2のガスを前記基板に対して供給する請求項10に記載のプログラム。
JP2020508222A 2018-03-22 2019-03-11 基板処理装置、半導体装置の製造方法及びプログラム Active JP6937894B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2018054663 2018-03-22
JP2018054663 2018-03-22
PCT/JP2019/009657 WO2019181603A1 (ja) 2018-03-22 2019-03-11 基板処理装置、半導体装置の製造方法及びプログラム

Publications (2)

Publication Number Publication Date
JPWO2019181603A1 true JPWO2019181603A1 (ja) 2021-02-04
JP6937894B2 JP6937894B2 (ja) 2021-09-22

Family

ID=67987236

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020508222A Active JP6937894B2 (ja) 2018-03-22 2019-03-11 基板処理装置、半導体装置の製造方法及びプログラム

Country Status (5)

Country Link
US (1) US20200399757A1 (ja)
JP (1) JP6937894B2 (ja)
KR (2) KR20240017968A (ja)
CN (1) CN111837223A (ja)
WO (1) WO2019181603A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112593216B (zh) * 2020-11-24 2022-09-16 北京北方华创微电子装备有限公司 一种气体传输管路升温方法、半导体工艺设备

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006222265A (ja) * 2005-02-10 2006-08-24 Hitachi Kokusai Electric Inc 基板処理装置
EP2006414A2 (en) * 2006-03-30 2008-12-24 Mitsui Engineering & Shipbuilding Co., Ltd. Atomic layer growing apparatus
JP5461786B2 (ja) * 2008-04-01 2014-04-02 株式会社フジキン 気化器を備えたガス供給装置
TWI520177B (zh) * 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
JP5362782B2 (ja) 2011-07-14 2013-12-11 株式会社日立国際電気 基板処埋装置、基板処理方法及び半導体装置の製造方法
WO2014088026A1 (ja) * 2012-12-07 2014-06-12 株式会社日立国際電気 基板処理装置、基板処理方法、半導体装置の製造方法および制御プログラム
JP2015073021A (ja) * 2013-10-03 2015-04-16 三井造船株式会社 原子層堆積装置および原子層堆積方法
JP5859586B2 (ja) * 2013-12-27 2016-02-10 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
JP5888820B2 (ja) * 2014-05-29 2016-03-22 株式会社日立国際電気 基板処理装置、クリーニング方法及び半導体装置の製造方法
JP2017183392A (ja) * 2016-03-29 2017-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体

Also Published As

Publication number Publication date
US20200399757A1 (en) 2020-12-24
CN111837223A (zh) 2020-10-27
WO2019181603A1 (ja) 2019-09-26
JP6937894B2 (ja) 2021-09-22
KR20240017968A (ko) 2024-02-08
KR20200111813A (ko) 2020-09-29

Similar Documents

Publication Publication Date Title
JP6918916B2 (ja) プラズマ生成装置、基板処理装置、半導体装置の製造方法、基板処理装置が実行するプログラム、プラズマ生成方法、プラズマ生成装置が実行するプログラム、電極および反応管
JP6999596B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2017168788A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2017183392A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6867548B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP7027565B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP2023165711A (ja) 基板処理装置、プラズマ生成装置、半導体装置の製造方法およびプログラム
WO2017163314A1 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6937894B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2021181450A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2022054855A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP7058338B2 (ja) 基板処理装置、基板保持部、半導体装置の製造方法およびプログラム
JP7457818B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム、補助プレートおよび基板保持具

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200720

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200720

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210323

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210519

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210720

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210810

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210831

R150 Certificate of patent or registration of utility model

Ref document number: 6937894

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150