JPH1144799A - 光路分割型紫外線照射装置 - Google Patents

光路分割型紫外線照射装置

Info

Publication number
JPH1144799A
JPH1144799A JP10024475A JP2447598A JPH1144799A JP H1144799 A JPH1144799 A JP H1144799A JP 10024475 A JP10024475 A JP 10024475A JP 2447598 A JP2447598 A JP 2447598A JP H1144799 A JPH1144799 A JP H1144799A
Authority
JP
Japan
Prior art keywords
light
mirror
optical path
cold
rod
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10024475A
Other languages
English (en)
Inventor
Kotaro Moroishi
光太郎 諸石
Taro Hayashi
太郎 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ushio Denki KK
Ushio Inc
Original Assignee
Ushio Denki KK
Ushio Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ushio Denki KK, Ushio Inc filed Critical Ushio Denki KK
Priority to JP10024475A priority Critical patent/JPH1144799A/ja
Priority to EP98108819A priority patent/EP0881428A3/en
Priority to US09/079,154 priority patent/US6124600A/en
Publication of JPH1144799A publication Critical patent/JPH1144799A/ja
Pending legal-status Critical Current

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F21LIGHTING
    • F21VFUNCTIONAL FEATURES OR DETAILS OF LIGHTING DEVICES OR SYSTEMS THEREOF; STRUCTURAL COMBINATIONS OF LIGHTING DEVICES WITH OTHER ARTICLES, NOT OTHERWISE PROVIDED FOR
    • F21V13/00Producing particular characteristics or distribution of the light emitted by means of a combination of elements specified in two or more of main groups F21V1/00 - F21V11/00
    • F21V13/02Combinations of only two kinds of elements
    • F21V13/08Combinations of only two kinds of elements the elements being filters or photoluminescent elements and reflectors
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F21LIGHTING
    • F21VFUNCTIONAL FEATURES OR DETAILS OF LIGHTING DEVICES OR SYSTEMS THEREOF; STRUCTURAL COMBINATIONS OF LIGHTING DEVICES WITH OTHER ARTICLES, NOT OTHERWISE PROVIDED FOR
    • F21V7/00Reflectors for light sources
    • F21V7/005Reflectors for light sources with an elongated shape to cooperate with linear light sources

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Coating Apparatus (AREA)
  • Heating, Cooling, Or Curing Plastics Or The Like In General (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

(57)【要約】 【課題】 放射照度分布が良く平均放射照度を高くする
ことができる熱による変形、変色等の起こし易いワーク
処理するための紫外線照射装置を提供すること。 【解決手段】 棒状ランプ1から放出されトイ状コール
ドミラー2で反射した光はコールドミラーから構成され
る第1の光分割ミラー5,5’に入射して2分割され、
全反射ミラー4,4’に入射する。一方、棒状ランプ1
から放出される直接光はコールドミラーから構成される
第2の光分割ミラー6,6’に入射して2分割され、全
反射ミラー4,4’に入射する。全反射ミラー4,4’
による反射光は、コールドフィルタ3,3’に入射し、
コールドフィルタ3,3’を透過した光がワークWに照
射される。ワークW上には2分割した光が部分的に重な
るよう照射されるので放射照度分布が向上する。なお、
上記第2の光分割ミラー6,6’に変え、遮光部材を用
いることもできる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、プラスチック材、
感熱紙、液晶等、熱による変形・変色等の変化を起こし
やすい被処理体の紫外線による接着やインキ等の硬化処
理に用いられる紫外線照射装置に関し、特に本発明は被
処理体上の光照射面における照度分布がよく平均照度が
高い光路分割型紫外線照射装置に関するものである。
【0002】
【従来の技術】熱により変形・変色を起こしやすい被処
理体(以下ワークという)を、冷却手段を用いることな
く処理可能な紫外線照射装置として、先に図9に示すも
のが提案されている(特願平8−72170号)。図9
において、10は紫外線照射装置筐体、11は棒状の高
圧水銀ランプであり、棒状ランプ11から放射された光
の一部はトイ状コールドミラー12に入射し、他の一部
は平板状コールドミラー14,15に入射する。トイ状
コールドミラー12に入射した光の内、一部の可視光と
赤外光はトイ状コールドミラー12を透過し、紫外光
(一部の可視光と赤外光を含む)がトイ状コールドミラ
ー12で反射し、平板状コールドミラー14に入射す
る。そして、その反射光がコールドフィルタ13に入射
し、コールドフィルタ13において可視光の一部が反射
され、他の光がワークWに入射する。
【0003】一方、棒状ランプ11から放射され直接コ
ールドミラー14,15に入射した光の内、一部の可視
光と赤外光はコールドミラー14,15を透過し、紫外
光(一部の可視光と赤外光を含む)が平板状コールドミ
ラー14,15で反射する。平板状コールドミラー1
4,15で反射した上記紫外光は更にコールドフィルタ
13に入射し、コールドフィルタ13において可視光の
一部が反射し、他の光がワークWに入射する。すなわ
ち、トイ状コールドミラー12からの反射光、棒状ラン
プ11からの直接光をコールドミラー14,15で反射
し、コールドミラー14,15からの反射光のみをコー
ルドフィルタ13を介してワークWに照射することによ
り、相対的に赤外光、可視光成分の割合を少なくし紫外
線成分の多い光をワークWに照射することができる。
【0004】
【発明が解決しようとする課題】上記した紫外線照射装
置は次のような問題点を有している。すなわち、棒状ラ
ンプ11からの光を有効に利用するためには、光がコー
ルドミラー14に平行に照射または集光して照射される
必要がある。そのために、トイ状コールドミラー12の
形状は断面が、楕円状もしくは放物線状となるが、断面
が上記形状のミラーによって反射された光は、照射面で
ガウス分布状の放射照度分布となる。このため、棒状ラ
ンプの長手方向に比較して、短手方向の放射照度分布が
悪くなる。
【0005】上記のように放射照度分布が悪く、照射領
域上での放射照度分布が均一でないと、次のような問題
点が生ずる。 (a) ワーク上の照射領域において、最小値の放射照度に
基づいて処理時間を規定するので、ワークの処理時間が
長くなる。オーバーキュアが問題にならないワークの場
合、全体のパワーを上げれば、放射照度分布に関係なく
処理時間を短縮することができるが、ランプに投入する
電力を大きくする必要があり、効率が悪くなる。光のパ
ワーを上げないとすると、ある放射照度以上の照射領域
内でワークを処理することになるが、処理できるワーク
は小さくなる。 (b) 例えばレンズを接着する等に使用する場合、放射照
度分布が不均一であると、接着剤の場所による紫外線吸
収差による熱ひずみ・硬化反応のムラによる応力ひずみ
が生ずる。
【0006】上記問題に対処するため、例えば次の方策
が考えられる。 ランプとワーク照射面との距離を大きくする。 ミラーやフィルタに拡散機能を持たせる。例えば、
コールドフィルタ13の表面/裏面を砂ずり(サンドブ
ラスト)加工したり、トイ状コールドミラー12をゴル
フボールの表面のようなディンプル加工したり、あるい
は、トイ状コールドミラー12/コールドミラー14を
多面体にする。 上記の方法を採った場合、ワーク表面での放射照度が
低くなり、処理時間が長くなる。また、搬送系を含めた
全体のシステムが大きくなり、処理装置の占める空間が
大きくなる。上記のうち、砂ずり(サンドブラスト)
加工をすると放射照度が低下し、効率が低下する。ま
た、光を効率よく取り出し、かつ、放射照度がよくなる
ようにディンプル加工したり、多面体にするにはその形
状・構造の設計が難しい。
【0007】本発明は上記した事情を考慮してなされた
ものであって、その目的とするところは、熱による変
形、変色等の変化を起こし易いワークを冷却手段を用い
ることなく処理することができ、放射照度分布が良く、
光照射面上の平均放射照度を高くすることが可能な光路
分割型紫外線照射装置を提供することである。
【0008】
【課題を解決するための手段】上記課題を本発明におい
ては、次のようにして解決する。 (1)紫外線照射装置を、棒状ランプと、棒状ランプの
長軸方向と平行に配置され、棒状ランプの放射光の一部
を反射するトイ状コールドミラーと、少なくとも2枚の
コールドミラーからなり、上記棒状ランプから放出され
た光を2分割し、2分割された光を互いに異なった方向
に反射する光路分割ミラーと、上記光路分割ミラーによ
り2分割された光をそれぞれ反射する2枚の全反射ミラ
ーと、上記全反射ミラーで反射した光を透過させるコー
ルドフィルタとから構成する。そして、上記棒状ランプ
から放出される光の内、光路分割ミラーにより2分割さ
れコールドフィルタを通過した光のみが、光照射面上に
部分的に重ね合わせて照射されるように上記光路分割ミ
ラー、全反射ミラーおよびコールドフィルタを配置す
る。
【0009】(2)上記(1)において、棒状ランプか
ら放出される光が直接コールドフィルタに照射されない
ように遮光板を配置する。なお、遮光板としては、入射
した光を吸収するものを使用しても、入射した光を反射
するものを使用してもよい。遮光板として、反射板を用
い、入射する光をトイ状コールドミラー方向へ反射させ
ることにより、棒状ランプから放出される光のエネルギ
ーを有効に利用することができる。また、反射板を、棒
状ランプの管軸を中心とする円弧状とすることにより、
反射板に入射した光を棒状ランプの近傍で集光させるこ
とができ、光のエネルギーをさらに有効に利用すること
ができる。 (3)上記(1)(2)において、光路分割ミラーを、
棒状ランプから放出されトイ状コールドミラーで反射さ
れた光を2分割し、互いに異なった方向に反射する第1
の光路分割ミラーと、棒状ランプから直接放出される光
を2分割して互いに異なった方向に反射する第2の光路
分割ミラーから構成し、全反射ミラーを、上記第1の光
路分割ミラーおよび第2の光路分割ミラーで反射された
光を反射するように配置する。 (4)上記(1)(2)(3)において、トイ状コール
ドミラーに通風路を設け、該通風路から流入する冷却風
により少なくとも棒状ランプ、トイ状コールドミラー、
光路分割ミラー、および、コールドフィルタを冷却す
る。 (5)上記(4)において、光路分割ミラーの裏面側に
遮光部材を取り付けて光分割ミラーと遮光部材により通
風路を形成し、該通風路に冷却風を流して、光路分割ミ
ラーを冷却する。
【0010】本発明の請求項1〜7の発明は上記のよう
に、棒状ランプから放出される光をコールドミラーから
構成される光路分割ミラーにより2分割してコールドフ
ィルタを透過させ、2分割された光が光照射面上で部分
的に重ね合わさるようにしたので、光照射面における放
射照度分布を均一化することができる。また、棒状ラン
プから放出される光を2つの光路に分け、2枚の光路分
割ミラーおよび全反射ミラーで反射させており、光の反
射回数が多いので、ランプと光照射面の距離を短くする
ことができ、装置全体の大きさを小さくすることができ
る。さらに、請求項2〜4の発明のように、光を吸収も
しくは反射する遮光板を配置することにより、棒状ラン
プから放出される光が直接コールドフィルタに入射する
のを確実に防止することができる。特に、請求項3,4
の発明のように遮光板として、反射板を用いることによ
り、棒状ランプが放出する光のエネルギーを有効に利用
することができる。また、請求項5の発明のように、光
路分割ミラーを第1の光路分割ミラーと、第2の光路分
割ミラーから構成することにより、棒状ランプから放出
される光のエネルギーを有効に利用することができ、光
照射面における放射照度を強くすることができる。請求
項6,7のように冷却系を構成することにより、効果的
に棒状ランプ、トイ状コールドミラー、光路分割ミラ
ー、および、コールドフィルタ等を冷却することができ
る。
【0011】
【発明の実施形態】図1、図2は本発明の第1の実施例
である紫外線照射装置の構成を示す図であり、図1は本
実施例の紫外線照射装置を棒状の紫外線ランプの管軸に
垂直な平面で切った断面図を示し、図2は本実施例の紫
外線照射装置を上記管軸を通り光軸に沿った平面で切っ
た断面図を示している。図1、図2において、1は高圧
水銀ランプ、メタルハライドランプ等で構成される紫外
光を含む光を放出する棒状ランプ、2はトイ状コールド
ミラーであり、トイ状コールドミラー2は紫外光と一部
の可視光を反射し、その他の光を透過する蒸着膜を施し
たガラス等で形成される。上記トイ状コールドミラー2
には複数の送風路P1が設けられており、送風ダクト7
から送られる冷却風は上記送風路P1を介して図1の矢
印に示す経路でトイ状コールドミラー2内に流入する。
【0012】4,4’は表面が鏡面加工されたアルミニ
ウム板等で形成された紫外光、可視光等のほぼ全ての波
長域の光を反射する全反射ミラーであり、全反射ミラー
4,4’は図1に示すようにトイ状ミラー2の両側に配
置されており、全反射ミラー支持部材4aにより支持さ
れている。また、全反射ミラー4,4’は放射照度分布
の調整ができるように角度が調整できるように取り付け
られている。5,5’は第1の光路分割ミラーであり、
第1の光路分割ミラー5,5’は上記トイ状コールドミ
ラー2と同様、紫外光と一部の可視光を反射しその他の
光を透過する蒸着膜を施したガラス等で形成されてお
り、図1に示すように、それぞれの一辺を鋭角状に突き
合わせた2枚のミラーから構成され、2枚の第1の光路
分割ミラー5,5’は光軸に対して対称に配置されてい
る。
【0013】6,6’は上記第1の光路分割ミラー5,
5’と同様のコールドミラーで形成された第2の光路分
割ミラーであり、図1に示すように、第1の光路分割ミ
ラー5,5’の両側に光軸に対して対称に配置されてい
る。第1、第2の光路分割ミラー5,5’,6,6’
は、上部に突起部を有し中央部に略三角形状の開口部を
有する光路分割ミラー支持部材5aの上側の面に取り付
けられており、光路分割ミラー支持部材5aの下側側面
および下面には、上記第1、第2の光路分割ミラー5,
5’,6,6’を透過した可視光、赤外光を遮光(光を
吸収)するための遮光部材S1が取り付けられている。
すなわち、第1,第2の光路分割ミラー5,5’,6,
6’および遮光部材S1により上部が突起した変形7角
柱を形成し、変形7角柱内に図2に示すように冷却風が
通過できる送風路P3が形成される。
【0014】なお、第1、第2の光路分割ミラー5,
5’,6,6’は、光の利用率が悪くなるのを防ぐた
め、その反射光がトイ状コールドミラー2方向に反射さ
れないような角度で取り付けられている。図3は上記ト
イ状コールドミラー2および光路分割ミラー5,5’,
6,6’の分光反射率の一例を示す図である。上記コー
ルドミラー2,5,5’,6,6’は同図に示すよう
に、略200nm〜500nmの光を反射し、可視光の
一部と、赤外光を透過させる。
【0015】図1、図2に戻り、10は紫外線照射装置
筐体であり、紫外線照射装置筐体10の下面は開口して
おり、該開口部と上記第1,第2の光路分割ミラー5,
5’,6,6’の間に2枚のコールドフィルタ3,3’
が配置されている。コールドフィルタ3,3’は紫外光
を透過し、可視光を反射し赤外光の一部を吸収する、蒸
着膜を施したガラス等で形成されている。また、全反射
ミラー4,4’と第1の光路分割ミラー5,5’の間に
は、入射した光を吸収する遮光板S2が設けられてお
り、棒状ランプ1から放出される光が直接コールドフィ
ルタ3,3’に入射しないように遮光している。なお、
以下の説明では、光を吸収する遮光板を遮光板(光吸収
板)ということとする。図4はコールドフィルタ3,
3’の分光透過率の一例を示す図であり、コールドフィ
ルタ3.3’は、同図に示すように、略200nm〜4
50nmの光を透過させ、略450nm〜600nmの
可視光を反射する。
【0016】図1、図2において、棒状ランプ1、トイ
状コールドミラー2、コールドフィルタ3,3’、第
1,第2の光路分割ミラー5,5’,6,6’等の冷却
は次のように行われる。送風ダクト7から送られる冷却
風は、トイ状コールドミラー2に設けられた送風路P1
を通って直接棒状ランプ1に吹きつけられ棒状ランプ1
を冷却するとともに、トイ状コールドミラー2を冷却す
る。
【0017】上記冷却風はさらに図1、図2に矢印で示
す経路を通って第1,第2の光路分割ミラー5,5’,
6,6’、コールドフィルタ3,3’を冷却し、図1に
示すように、全反射ミラー4,4’と遮光部材S1、お
よび、コールドフィルタ3,3’と遮光部材S1の間隙
等を通って、全反射ミラー4,4’の両側の空間に流出
し、該空間を通って図2に示す排風ダクト8により外部
に排出される。また、送風ダクト7から送られる冷却風
の一部は図2の送風路P3を通って送風路P2に送ら
れ、第1,第2の光路分割ミラー5,5’,6,6’お
よび遮光部材S1を冷却したのち、排風ダクト8から外
部に排出される。
【0018】図5は本実施例の紫外線照射装置におい
て、棒状ランプ1から放出される光の光路を示す図であ
る。同図において、棒状ランプ1から放出される光の一
部はトイ状コールドミラー2に入射し、他の一部は第
1、第2の光路分割ミラー5,5’,6,6’、遮光板
(光吸収板)S2に直接入射し、遮光板(光吸収板)S
2に入射した光は遮光板(光吸収板)S2で吸収され
る。トイ状コールドミラー2は前記図3に示す分光反射
率を有しており、トイ状コールドミラー2に入射した光
の内、一部の可視光と赤外光はトイ状コールドミラー2
を透過し、紫外光(一部の可視光と赤外光を含む)がト
イ状コールドミラー2で反射し、第1の光路分割ミラー
5,5’に入射して2分割される。
【0019】第1の光路分割ミラー5,5’は上記トイ
状コールドミラー2と同様の分光反射率を有しており、
一部の可視光と赤外光は第1の光路分割ミラー5,5’
を透過し、紫外光(一部の可視光と赤外光を含む)は反
射される。第1の光路分割ミラー5,5’により2分割
された光は、それぞれ全反射ミラー4,4’に入射し、
その反射光がそれぞれコールドフィルタ3,3’に入射
する。
【0020】一方、第2の光路分割ミラー6,6’は上
記トイ状コールドミラー2と同様の分光反射率を有して
おり、棒状ランプ1から放射され直接第2の光路分割ミ
ラー6,6’に入射した光のうち一部の可視光と赤外光
は第2の光路分割ミラー6,6’を透過し、紫外光(一
部の可視光と赤外光を含む)が2枚の第2の光路分割ミ
ラー6,6’で反射され、それぞれ全反射ミラー4,
4’に入射し、その反射光がそれぞれコールドフィルタ
3,3’に入射する。コールドフィルタ3,3’は前記
図4に示した分光透過率を有しており、コールドフィル
タ3,3’に入射した光のうち、可視光の一部が反射さ
れ、他の光がコールドフィルタ3を透過してワークWが
載置された照射領域に入射する。
【0021】なお、棒状ランプ1から放出される直接光
の一部は遮光板(光吸収板)S2により遮光されるの
で、棒状ランプ1から放出される直接光がコールドフィ
ルタ3,3’には入射することはない。また、棒状ラン
プ1から直接、第1の光路分割ミラー5,5’に入射し
反射した光は、一部は全反射ミラー4,4’に入射し、
その反射光がコールドフィルタ3,3’を介して照射領
域に入射する。一方、その他の光は全反射ミラー4,
4’とコールドフィルタ3,3’の間の空間に放射され
紫外線照射装置筐体10の壁面で吸収されるとともに、
一部がコールドフィルタ3,3’を通過して紫外線照射
装置筐体10の壁面で吸収される。
【0022】以上のように、本実施例においては、棒状
ランプ1から放出された光が下記の光路を介してワーク
W上に照射される。 棒状ランプ1から放出されトイ状コールドミラー2
で反射した光は第1の光分割ミラー5,5’に入射して
2分割され、全反射ミラー4,4’で反射してコールド
フィルタ3,3’に入射し、コールドフィルタ3,3’
を介して2方向からワークW上に照射される。 棒状ランプ1から放出された直接光は第2の光分割
ミラー6,6’に入射して2分割され、全反射ミラー
4,4’で反射してコールドフィルタ3,3’に入射
し、コールドフィルタ3,3’を介して2方向からワー
クW上に照射される。
【0023】すなわち、本実施例においては、棒状ラン
プ1から放出された光が少なくとも一回コールドミラー
で反射されてコールドフィルタ3,3’に入射し、コー
ルドフィルタ3,3’を透過した光のみがワークW上に
照射されるので、棒状ランプ1から放出される光から可
視光、赤外光をカットし、ワークW上に紫外光のみを照
射することができる。また、ワークW上には2方向から
の光が照射されそれぞれの光がワークW上で部分的に重
ね合わさるので、放射照度分布を良くすることができ
る。
【0024】図6は本実施例の紫外線照射装置による照
射領域上の放射照度分布の一例を示す図である。同図に
おいて、横軸は図5におけるX方向の位置を示し、縦軸
は紫外光の放射照度を示しており、点線で示した放射照
度分布は2分割された光のそれぞれの放射照度を示し、
実線は上記2つの光を重ね合わせたときの放射照度分布
を示している。同図から明らかなように、本実施例の紫
外線照射装置においては、160mmの照射領域内の放
射照度分布の均一度は略±8%であり、従来のガウス分
布状の放射照度分布と比べ、均一度を格段に向上するこ
とができる。
【0025】図7は本発明の第2の実施例を示す図であ
り、本実施例は、第1の実施例に示した第2の光路分割
ミラー6,6’を光を吸収する遮光部材S3に置換し、
第1の光路分割ミラー5,5’からの反射光のみを利用
するようにした実施例を示している。図7において、前
記図1,図2,図5に示したものと同一のものには同一
の符号が付されており、本実施例においては、上記した
ように第2の光路分割ミラー6,6’に換え、遮光部材
S3が設けられている。本実施例において、棒状ランプ
1から放出される光は次の経路でワーク上に照射され
る。棒状ランプ1から放出される光の一部はトイ状コー
ルドミラー2に入射し、他の一部は第1の光路分割ミラ
ー5,5および遮光板(光吸収板)S2、遮光部材S3
に直接入射し、遮光板(光吸収板)S2、遮光部材S3
に入射した光は遮光板(光吸収板)S2、遮光部材S3
により吸収される。
【0026】トイ状コールドミラー2に入射した光の
内、一部の可視光と赤外光はトイ状コールドミラー2を
透過し、紫外光がトイ状コールドミラー2で反射し、コ
ールドミラーから構成される第1の光路分割ミラー5,
5’に入射して2分割される。2分割された光は、それ
ぞれ全反射ミラー4,4’に入射し、その反射光がそれ
ぞれコールドフィルタ3,3’に入射する。また、棒状
ランプ1から放出され直接第1の光路分割ミラー5,
5’に入射して反射した光は、遮光部材S3に吸収され
る。
【0027】すなわち、本実施例においては、棒状ラン
プ1から放出された光がコールドミラーで構成される第
1の光路分割ミラー5,5’で反射されてコールドフィ
ルタ3,3’に入射し、コールドフィルタ3,3’を透
過した光のみがワークW上に照射される。したがって、
前記第1の実施例と同様、ワークW上に可視光、赤外光
をカットした紫外光のみを照射することができ、また、
ワークW上には2方向からの光が照射されそれぞれの光
がワークW上で重ね合わさるので、照度分布を良くする
ことができる。
【0028】なお、本実施例においては、第2の光路分
割ミラー6,6’が設けられていないため、棒状ランプ
1から放出される直接光を利用することができず光の利
用効率は第1の実施例のものと比べ若干低下するが、本
実施例においては、棒状ランプ1から放出される光の全
てがトイ状コールドミラー2と第1の光路分割ミラー
5,5’を介してコールドフィルタ3,3’に入射され
るため、第1の実施例より〔紫外光の放射エネルギー〕
/〔全光放射エネルギー〕の値を増大させることができ
る。
【0029】ところで、前記第1,2の実施例に示した
ものにおいては、遮光板(光吸収板)S2が光を吸収す
るため、棒状ランプから放出される、ある角度の範囲の
光のエネルギーが無駄になる。次に示す第3の実施例
は、遮光板(光吸収板)S2に代えて反射板を用い、遮
光板(光吸収板)S2によって吸収される光をトイ状コ
ールドミラー2側に反射させ、棒状ランプ1から放出さ
れる光のエネルギーを有効に利用するようにしたもので
ある。図8は本発明の第3の実施例を示す図であり、前
記図1,図2,図5に示したものと同一のものには同一
の符号が付されており、本実施例においては、上記した
ように遮光板(光吸収板)S2の代わりに、棒状ランプ
1の管軸を中心にする円弧状の反射板Mが設けられてい
る。なお、反射板Mは、表面が鏡面加工されたアルミニ
ウム板等で形成された紫外光、可視光等のほぼ全ての波
長域の光を反射する全反射ミラーである。
【0030】本実施例において、棒状ランプ1から放出
される光の経路は、反射板Mに入射する光を除き、第1
の実施例と同様であり、次の経路でワーク上に照射され
る。棒状ランプ1から放出される光の一部は、トイ状コ
ールドミラー2に入射し、他の一部は第1、第2の光路
分割ミラー5,5’,6,6’、反射板Mに直接入射す
る。トイ状コールドミラー2に入射した光の内、一部の
可視光と赤外光はトイ状コールドミラー2を透過し、紫
外光がトイ状コールドミラー2で反射し、コールドミラ
ーから構成される第1の光路分割ミラー5,5’に入射
して2分割される。2分割された光は、それぞれ全反射
ミラー4,4’に入射し、その反射光がそれぞれコール
ドフィルタ3,3’に入射する。
【0031】また、棒状ランプ1から放射され直接第2
の光路分割ミラー6,6’に入射した光のうち一部の可
視光と赤外光は、第2の光路分割ミラー6,6’を透過
し、紫外光が2枚の第2の光路分割ミラー6,6’で反
射され、それぞれ全反射ミラー4,4’に入射し、その
反射光がそれぞれコールドフィルタ3,3’に入射す
る。一方、棒状ランプ1から放射され円弧状の反射板M
に入射した光は、図8に示すように反射板Mで反射さ
れ、トイ状コールドミラー2に入射する。ここで、反射
板Mは、ほぼ棒状ランプ1の管軸を中心とした円弧状で
あるので、反射板Mで反射した光は、再び棒状ランプ1
の中心に向かって反射され、棒状ランプ1のほぼ中心を
通過してトイ状コールドミラー2に入射する。トイ状コ
ールドミラー2により反射された光は、前記したように
第1、第2の光路分割ミラー5,5’,6,6’に入射
して、それぞれ反射されコールドフィルタ3,3’に入
射する。
【0032】なお、反射板Mの形状を、平板状とするこ
ともできるが、図8に示すように円弧状とすることによ
り、反射板Mに入射した光を棒状ランプ1の近傍で集光
させることができ、棒状ランプ1が放出する光のエネル
ギーをより効率的に利用することができる。以上のよう
に、本実施例においては、光を吸収する遮光板に代え、
反射板Mを用いているので、棒状ランプ1から放出され
る光のエネルギーを有効に利用することができる。ま
た、第1、第2の実施例と同様、棒状ランプ1から放出
される光から可視光、赤外光をカットし、ワークW上に
紫外光のみを照射することができる。
【0033】
【発明の効果】以上説明したように本発明においては、
以下の効果を得ることができる。 (1)棒状ランプから放出される光をコールドミラーか
ら構成される光路分割ミラーにより2分割してコールド
フィルタを透過させ、2分割された光が光照射面上で部
分的に重ね合わさるようにしたので、光照射面における
放射照度分布を均一化することができ、光照射面上の平
均放射照度を高くすることができる。このため、熱によ
り変形・変色を起こしやすいワークを、冷却手段を用い
ることなく効果的に処理することができ、また、レンズ
等を接着する際、熱ひずみ、硬化反応のむらによる応力
ひずみが生ずることもない。
【0034】(2)棒状ランプから放出される光を2つ
の光路に分け、2枚の光路分割ミラーおよび全反射ミラ
ーで反射させており、光の反射回数が多いので、ランプ
と光照射面の距離を短くすることができ、装置全体の大
きさを小さくすることができる。 (3)光路分割ミラーを第1の光路分割ミラーと、第2
の光路分割ミラーから構成することにより、棒状ランプ
から放出される光を有効に利用することができ、光照射
面における放射照度を強くすることができる。 (4)光を吸収もしくは反射する遮光板を配置すること
により、棒状ランプから放出される光が直接コールドフ
ィルタに入射するのを確実に防止することができる。ま
た、遮光板として、反射板を用いることにより、棒状ラ
ンプが放出する光のエネルギーを有効に利用することが
できる。
【0035】(5)トイ状コールドミラーに通風路を設
け、該通風路から流入する冷却風により少なくとも棒状
ランプ、トイ状コールドミラー、光路分割ミラー、およ
び、コールドフィルタを冷却するとともに、光路分割ミ
ラーの裏面側に遮光部材を取り付けて光分割ミラーと遮
光部材により通風路を形成し、該通風路に冷却風を流し
て、光路分割ミラーを冷却することにより、効果的に棒
状ランプ、トイ状コールドミラー、光路分割ミラー、お
よび、コールドフィルタ等を冷却することができる。
【図面の簡単な説明】
【図1】本発明の第1の実施例の紫外線照射装置の構成
を示す図である。
【図2】本発明の第1の実施例の紫外線照射装置の構成
を示す図である。
【図3】コールドミラーの分光反射率の一例を示す図で
ある。
【図4】コールドフィルタの分光透過率の一例を示す図
である。
【図5】第1の実施例の紫外線照射装置における光路を
説明する図である。
【図6】第1の実施例における照射領域上の照度分布を
示す図である。
【図7】本発明の第2の実施例を示す図である。
【図8】本発明の第3の実施例を示す図である。
【図9】従来例を示す図である。
【符号の説明】
1 棒状ランプ 2 トイ状コールドミラー 3.3’ コールドフィルタ 4,4’ 全反射ミラー 4a 支持部材 5,5’ 第1光路分割ミラー 5a 支持部材 6,6’ 第2光路分割ミラー 7 送風ダクト 8 排風ダクト 10 紫外線照射装置筐体 P1〜P3 送風路 S1,S3 遮光部材 S2 遮光板(光吸収板) M 反射板

Claims (7)

    【特許請求の範囲】
  1. 【請求項1】 棒状ランプと、 上記棒状ランプの長軸方向と平行に配置され、棒状ラン
    プの放射光の一部を反射するトイ状コールドミラーと、 少なくとも2枚のコールドミラーからなり、上記棒状ラ
    ンプから放出された光を2分割し、2分割された光を互
    いに異なった方向に反射する光路分割ミラーと、 上記光路分割ミラーにより2分割された光をそれぞれ反
    射する2枚の全反射ミラーと、 上記全反射ミラーで反射した光を透過させるコールドフ
    ィルタとを備え、 上記棒状ランプから放出される光の内、光路分割ミラー
    により2分割されコールドフィルタを通過した光のみ
    が、光照射面上に部分的に重ね合わせて照射されるよう
    に上記光路分割ミラー、全反射ミラーおよびコールドフ
    ィルタを配置したことを特徴とする光路分割型紫外線照
    射装置。
  2. 【請求項2】 棒状ランプから放出される光が直接コー
    ルドフィルタに照射されないように遮光板を配置したこ
    とを特徴とする請求項1の光路分割型紫外線照射装置。
  3. 【請求項3】 上記遮光板として反射板を用い、該反射
    板に入射する光をトイ状コールドミラー方向へ反射させ
    ることを特徴とする請求項2の光路分割型紫外線照射装
    置。
  4. 【請求項4】 上記反射板を、棒状ランプのほぼ管軸を
    中心とする円弧状としたことを特徴とする請求項3の光
    路分割型紫外線照射装置。
  5. 【請求項5】 光路分割ミラーが、棒状ランプから放出
    されトイ状コールドミラーで反射された光を2分割し、
    互いに異なった方向に反射する第1の光路分割ミラー
    と、棒状ランプから直接放出される光を2分割して互い
    に異なった方向に反射する第2の光路分割ミラーとから
    構成され、 全反射ミラーは、上記第1の光路分割ミラーおよび第2
    の光路分割ミラーで反射された光を反射するように配置
    されていることを特徴とする請求項1,2,3または請
    求項4の光路分割型紫外線照射装置。
  6. 【請求項6】 トイ状コールドミラーに通風路を設け、
    該通風路から流入する冷却風により少なくとも棒状ラン
    プ、トイ状コールドミラー、光路分割ミラー、および、
    コールドフィルタを冷却することを特徴とする請求項
    1,2,3,4または請求項5の光路分割型紫外線照射
    装置。
  7. 【請求項7】 光路分割ミラーの裏面側に遮光部材を取
    り付けて光分割ミラーと遮光部材により通風路を形成
    し、該通風路に冷却風を流して、光路分割ミラーを冷却
    することを特徴とする請求項6の光路分割型紫外線照射
    装置。
JP10024475A 1997-05-27 1998-02-05 光路分割型紫外線照射装置 Pending JPH1144799A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP10024475A JPH1144799A (ja) 1997-05-27 1998-02-05 光路分割型紫外線照射装置
EP98108819A EP0881428A3 (en) 1997-05-27 1998-05-14 Ultraviolet irradiation device of the optical path division type
US09/079,154 US6124600A (en) 1997-05-27 1998-05-15 Ultraviolet irradiation device of the optical path division type

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP9-136671 1997-05-27
JP13667197 1997-05-27
JP10024475A JPH1144799A (ja) 1997-05-27 1998-02-05 光路分割型紫外線照射装置

Publications (1)

Publication Number Publication Date
JPH1144799A true JPH1144799A (ja) 1999-02-16

Family

ID=26361987

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10024475A Pending JPH1144799A (ja) 1997-05-27 1998-02-05 光路分割型紫外線照射装置

Country Status (3)

Country Link
US (1) US6124600A (ja)
EP (1) EP0881428A3 (ja)
JP (1) JPH1144799A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003045211A (ja) * 2001-07-31 2003-02-14 Iwasaki Electric Co Ltd 紫外線照射装置
CN105689227A (zh) * 2016-03-19 2016-06-22 广州市龙珠化工有限公司 一种杆状器具快速涂布涂装线及涂布工艺
JPWO2020022424A1 (ja) * 2018-07-27 2021-08-02 京セラ株式会社 光照射装置および印刷装置

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3591393B2 (ja) * 1999-11-02 2004-11-17 ウシオ電機株式会社 誘電体バリア放電ランプ装置
US6755518B2 (en) * 2001-08-30 2004-06-29 L&P Property Management Company Method and apparatus for ink jet printing on rigid panels
NL1020370C2 (nl) * 2002-04-11 2003-10-14 Testprint Bv Proefdrukinrichting en werkwijze voor het doen van proefdrukken alsmede bestralingssamenstel.
US6797971B2 (en) * 2002-07-18 2004-09-28 Fusion Uv Systems, Inc. Apparatus and method providing substantially two-dimensionally uniform irradiation
US6649921B1 (en) 2002-08-19 2003-11-18 Fusion Uv Systems, Inc. Apparatus and method providing substantially two-dimensionally uniform irradiation
US6883936B2 (en) * 2002-10-15 2005-04-26 Delaware Capital Formation, Inc. Shutter apparatus, curing lamp housing incorporating same, and method of shutter replacement
US6942367B2 (en) 2002-10-15 2005-09-13 Delaware Capital Formation, Inc. Curved and reflective surface for redirecting light to bypass a light source
US7128429B2 (en) * 2002-10-15 2006-10-31 Mark Andy, Inc. Light trap and heat transfer apparatus and method
US6834984B2 (en) 2002-10-15 2004-12-28 Delaware Captial Formation, Inc. Curved reflective surface for redirecting light to bypass a light source coupled with a hot mirror
US6712629B1 (en) 2002-10-15 2004-03-30 Delaware Capital Formation, Inc. Apparatus and method of making an electrical connection in a high voltage/high current lamp
US6717161B1 (en) 2003-04-30 2004-04-06 Fusion Uv Systems, Inc. Apparatus and method providing substantially uniform irradiation of surfaces of elongated objects with a high level of irradiance
CN1977978B (zh) * 2005-12-01 2011-07-06 福建新大陆环保科技有限公司 一种开放式水渠辐射消毒***
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE102008061597B4 (de) * 2008-12-11 2021-06-24 Venjakob Maschinenbau Gmbh & Co. Kg UV-Bestrahlungsvorrichtung
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5717375B2 (ja) * 2010-08-24 2015-05-13 株式会社ミキモト 真珠品質の非破壊判定方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5205498B2 (ja) * 2011-08-08 2013-06-05 株式会社ジャパンディスプレイイースト 光照射装置
US20130068970A1 (en) * 2011-09-21 2013-03-21 Asm Japan K.K. UV Irradiation Apparatus Having UV Lamp-Shared Multiple Process Stations
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
DE102013011066A1 (de) * 2013-07-03 2015-01-08 Oerlikon Trading Ag, Trübbach Wärme-Lichttrennung für eine UV-Strahlungsquelle
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9126434B2 (en) * 2014-01-22 2015-09-08 Ricoh Company, Ltd. Radiant heat control with adjustable reflective element
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2348347A1 (de) * 1972-09-29 1974-04-04 Octagon Med Prod Verfahren zur beleuchtung von gegenstaenden sowie anordnung zur durchfuehrung des verfahrens
US4048490A (en) * 1976-06-11 1977-09-13 Union Carbide Corporation Apparatus for delivering relatively cold UV to a substrate
US4864145A (en) * 1986-10-31 1989-09-05 Burgio Joseph T Jr Apparatus and method for curing photosensitive coatings
DE4318735A1 (de) * 1993-06-05 1994-12-08 Kammann Maschf Werner UV-Strahler zum Bestrahlen von Druckfarben auf Objekten und Verfahren zum Trocknen von mit Druckfarbe versehenen Objekten
JP3094902B2 (ja) * 1996-03-27 2000-10-03 ウシオ電機株式会社 紫外線照射装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003045211A (ja) * 2001-07-31 2003-02-14 Iwasaki Electric Co Ltd 紫外線照射装置
JP4577602B2 (ja) * 2001-07-31 2010-11-10 岩崎電気株式会社 紫外線照射装置
CN105689227A (zh) * 2016-03-19 2016-06-22 广州市龙珠化工有限公司 一种杆状器具快速涂布涂装线及涂布工艺
CN105689227B (zh) * 2016-03-19 2019-01-22 广州市龙珠化工有限公司 一种杆状器具快速涂布涂装线及涂布工艺
JPWO2020022424A1 (ja) * 2018-07-27 2021-08-02 京セラ株式会社 光照射装置および印刷装置

Also Published As

Publication number Publication date
US6124600A (en) 2000-09-26
EP0881428A3 (en) 2000-05-17
EP0881428A2 (en) 1998-12-02

Similar Documents

Publication Publication Date Title
JPH1144799A (ja) 光路分割型紫外線照射装置
US6897459B2 (en) Compact elliptical infrared light unit for a motor vehicle
US6874894B2 (en) DMD equipped projector
US20150308636A1 (en) Lighting apparatus with light generating device and luminescent body
JP2023553723A (ja) 寄生光線の遮断体を有した、集光器の被照射面を映し出す発光モジュール
JP2007531918A (ja) 高出力レーザー・ビーム用の開口絞りアセンブリ
JP3215709B2 (ja) 光照射装置
WO2020052398A1 (zh) 一种车灯
US20170350569A1 (en) Lighting device with a luminescent material
TWM246605U (en) Light pipe bracket
JP2003045211A (ja) 紫外線照射装置
TW445203B (en) Ultraviolet irradiation device of the optical path division type
JPH07104499B2 (ja) 照明用光学系
JP2000122178A (ja) 照明装置
JPH07114993B2 (ja) 光照射装置
JP2001092010A (ja) 光源装置及びそれを用いたプロジェクタ装置
KR100471427B1 (ko) 이중램프 노광장치
US5718503A (en) Illumination apparatus
JP2003228024A (ja) 光学装置およびこの光学装置を用いたプロジェクタ
JP3173925B2 (ja) 光ファイバーに塗布されたコーティング剤の硬化装置
CN114779563A (zh) 一种提升单片液晶屏性能的投影仪
JPS58132212A (ja) 擬似太陽光照射装置
JPH06203603A (ja) 照射装置
JPS5913621Y2 (ja) 原稿照明装置
JPH0545586A (ja) 放光装置