JPH11330403A - トレンチキャパシタの製造方法 - Google Patents

トレンチキャパシタの製造方法

Info

Publication number
JPH11330403A
JPH11330403A JP11098943A JP9894399A JPH11330403A JP H11330403 A JPH11330403 A JP H11330403A JP 11098943 A JP11098943 A JP 11098943A JP 9894399 A JP9894399 A JP 9894399A JP H11330403 A JPH11330403 A JP H11330403A
Authority
JP
Japan
Prior art keywords
trench
layer
poly
oxide
collar
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP11098943A
Other languages
English (en)
Inventor
Martin Schrems
シュレムス マーティン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Publication of JPH11330403A publication Critical patent/JPH11330403A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 減少された電荷の漏れおよび増加されたキャ
パシタンスを有するトレンチキャパシタを提供する。 【解決手段】 基板301中にトレンチを形成させ;こ
のトレンチの下部に半導体材料320を備えさせ;この
半導体材料の上方にあるトレンチの上部中に誘電カラー
部を形成させ;半導体材料をトレンチの底部から除去
し;カラーおよびトレンチ側面に裏打ちされたノード誘
電層364をトレンチの底部に形成させ;トレンチキャ
パシタ360の電極として役立つドープされた半導体材
料でトレンチを充填し、この場合トレンチの底部の直径
は、有利には少なくともトレンチの上部にほぼ等しい。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般にデバイスお
よびデバイスの製造、よりいっそう詳述すれば、トレン
チキャパシタに関する。
【0002】
【従来の技術】集積回路(IC)またはチップは、電荷
を蓄積させる目的のキャパシタに使用される。電荷を蓄
積させるためのキャパシタに使用されるICの例は、メ
モリーIC、例えばダイナミックランダムアクセスメモ
リー(DRAM)チップである。キャパシタ中の電荷の
レベル(”0”または”1”)は、データが1ビットで
あることを表わす。
【0003】DRAMチップは、列と桁によって相互接
続されたメモリーセルのアレーを含む。典型的には、列
および桁の接続は、それぞれワード線およびビット線と
呼称されている。メモリーセルからデーターを読み出す
かまたはメモリーセルにデーターを書き込むことは、適
当なワード線およびビット線を活性化することによって
達成される。
【0004】典型的には、DRAMメモリーセルは、キ
ャパシタに接続されたトランジスターを有している。ト
ランジスタは、チャンネルによって分離された2つの拡
散領域を含み、この場合このチャンネルの上には、ゲー
トが位置している。これらの拡散領域の間での電流の流
れ方向に依存して、一方はドレインと呼称され、他方
は、ソースと呼称される。「ドレイン」と「ソース」の
用語は、本明細書中で拡散領域に関連して相互に変えて
使用することができる。ゲートはワード線に結合され、
拡散領域の一方は、ビット線に結合されている。他方の
拡散領域は、キャパシタに結合されている。適当な電圧
をゲートに印加することにより、トランジスタ回路は入
れられ、キャパシタとビット線との間での接続を形成さ
せるために、拡散領域間のチャンネルに電流を流すこと
ができる。トランジスタの回路を切ることは、この接続
によりチャンネルを通しての電流の流れを阻止するのに
役立つ。
【0005】キャパシタ中に蓄積された電荷は、そこか
らの電流の漏れにより超過時間を過ぎて浪費される。電
荷が不確定なレベル(閾値未満)に消費される前に、ノ
ードはリフレッシュされなければならない。
【0006】デバイスを小さくすることが継続的に要求
されたことにより、より大きな密度ならびに小さな形状
寸法およびセル面積を有するDRAMの設計が簡易化さ
れた。より小さな面積を占めるセルを製造するために、
小さな構成要素、例えばキャパシタが使用される。しか
し、小さなキャパシタの使用は、蓄積容量の減少を生
じ、メモリーデバイスの性能および操作可能性に不利な
影響を及ぼしうる。
【0007】例えば、センス増幅器は、セル中の情報を
確実に分別するために適当な信号レベルを必要とする。
蓄積キャパシタンスとビット線キャパシタンスとの比
は、信号レベルを定める場合には重要である。キャパシ
タンスが小さくなりすぎる場合には、この比も小さくて
よく、適当な信号を提供する。また、蓄積容量が小さい
場合には、リフレッシュの頻度数を高くすることが必要
とされる。
【0008】通常、DRAMに使用されるキャパシタの
1つの型は、トレンチキャパシタである。トレンチキャ
パシタは、シリコン基板中に形成された三次元構造体で
ある。トレンチキャパシタの容量またはキャパシタンス
の増加は、基板中により深くエッチングすることによっ
て達成されうる。例えば、トレンチキャパシタのキャパ
シタンスが増加することにより、セルの表面積が増加す
ることはない。
【0009】常用のトレンチキャパシタは、基板中にエ
ッチングされたトレンチを有している。このトレンチ
は、典型的には(蓄積ノードと呼称される)キャパシタ
の電極として役立つnドープされたポリで充填されて
いる。
【0010】場合によっては、「埋め込み板」と呼称さ
れるキャパシタの第2電極は、nドーパントをドーパ
ント源からトレンチの下部を包囲する基板領域中に拡散
放出させる(outdiffusing)ことによって形成される。
ドープされたケイ酸塩ガラス、例えば砒素ドープさ
れたケイ酸塩ガラス(ASG)は、ドーパント源として
役立つ。窒化物からなるノード誘電層は、キャパシタの
2個の電極を分離するために備えられている。
【0011】トレンチの上部の場合には、誘電カラー
は、ノード接合部から埋め込み板への漏れを回避するた
めに備えられている。カラーが形成されるべきトレンチ
の上部中のノード誘電層は、カラーの形成前に除去され
る。窒化物の除去により、カラーに沿っての垂直方向へ
の漏れが回避される。
【0012】しかし、窒化物層の上部が除去されること
により、カラーの底部とノード誘電層の上端との間の転
移点でピンホールが発生する。ピンホールは、ノード誘
電層の完全さを崩壊させ、かつトレンチからの電荷の漏
れの実質的な源である。これは、トレンチキャパシタの
保持時間を減少させ、性能に不利な影響を与える。
【0013】ピンホールの形成を阻止するために、2工
程のトレンチエッチング方法が提案された。最初に、ト
レンチは、反応性イオンエッチング法(RIE)によっ
てカラーの深さまで部分的にエッチングされる。RIE
は、硬質エッチングマスクに対して選択的である。RI
Eに使用される典型的な化学薬品は、例えばNF/H
Br/He/Oを含む。酸化物層は、析出され、エッ
チングされ、トレンチの側面上にカラーを形成させる。
RIEであるエッチングは、例えばCHF/He/O
、CHF/Ar、C/ArまたはCF化学
薬品を使用することによりシリコンに対して選択的であ
る。トレンチの残りの部分は、カラー形成後にエッチン
グされる。更に、ノード誘電層は、カラー上およびトレ
ンチの側面の下部上に形成される。このような方法は、
ノード誘電層の上部を除去する必要性を排除し、したが
ってノード誘電層の形成の際のピンホールの形成を回避
させる。
【0014】このような2工程のトレンチ形成は、ピン
ホールを回避する際に効果的であるけれども、シリコン
除去のための第2のRIE工程は、カラーの過度の腐食
を引き起こしうる。
【0015】更に、カラーは、第2のRIEトレンチエ
ッチングのための硬質エッチングマスクとして作用し、
この場合には、カラーの内周の直径に等しい直径を有す
るトレンチの下部が製造される。従って、トレンチの下
部は、カラーの外周の場合にほぼ等しい直径を有するト
レンチの上部よりも小さい。これは、キャパシタのキャ
パシタンスを減少させる場合には、望ましくないことで
ある。
【0016】上記の記載から、減少された電荷の漏れお
よび増加されたキャパシタンスを有するトレンチキャパ
シタを提供することが望ましい。
【0017】
【発明が解決しようとする課題】従って、本発明には、
上記に記載されたような課題が課された。
【0018】
【課題を解決するための手段】本発明は、改善されたト
レンチキャパシタ、例えばメモリーセル中に使用される
ものに関する。1つの実施態様の場合、トレンチキャパ
シタは、DRAMのDRAMセルまたは埋め込みDRA
Mチップ中に使用されている。トレンチキャパシタは、
トレンチを基板中に形成させることによって形成され
る。トレンチは、半導体材料で充填されている。1つの
実施態様の場合、半導体材料は、シリコン、例えば多結
晶性シリコン(ポリ)または無定型シリコンを有してい
る。トレンチの上部中の半導体材料は、除去され、、誘
電カラーがその中に形成される。次に、シリコン材料
は、トレンチの底部から除去される。その後に、トレン
チの底部でカラーおよびトレンチ側面と一列に並ぶノー
ド誘電層が形成される。トレンチは、トレンチキャパシ
タの1つの電極として役立つドープされた材料で充填さ
れている。
【0019】
【発明の実施の形態】本発明は、トレンチキャパシタ、
例えばメモリーセル中に装備されるものに関する。説明
の目的のために、本発明には、トレンチキャパシタDR
AMセルの概念が記載されている。しかし、本発明は、
著しく広範囲にわたっており、一般にトレンチキャパシ
タセルにまで及んでいる。このようなセルは、例えばラ
ンダムアセセスメモリー(RAM)、ダイナミックRA
M(DRAM)、同期的DRAM(SDRAM)、スタ
ティックRAM(SRAM)およびリードオンリーメモ
リー(ROM)のような集積回路(IC)に使用されて
いる。他のICは、論理デバイス、例えばプログラミン
グ可能な論理アレイ(PLA)、アプリケーションスペ
スィフィックIC(ASIC)、合併論理/メモリーI
C(埋め込みDRAM)または任意の回路デバイスを含
む。
【0020】典型的には、複数のICは、同時に半導体
基板、例えばシリコンウェファー上に作製される。処理
後、このウェファーは、ICを複数の個々のチップに分
離するために賽の目に切断される。次に、このチップ
は、例えばコンピューターシステム、携帯電話、パーソ
ナルデジタルアシスタント(PDA)および他の製品の
ような消費者向けの製品に使用するために最終製品とし
て包装される。明細書の記載の検討のために、本発明に
は、単一セルを形成させるための概念が記載されてい
る。常用のトレンチキャパシタDRAMセルの記載は、
本発明を記載する前に提供される。
【0021】図1には、常用のトレンチキャパシタDR
AMセル100が示されている。このような常用のトレ
ンチキャパシタDRAMセルは、例えばネスビット(Ne
sbit)他、0.6μm 256Mb Trench DRAM Cell
With Self-Aligned BuriedStrap (BEST), IEDM 93-627
に記載されており、この刊行物は、参考のために本明細
書中に含まれている。典型的には、セルのアレイは、ワ
ード線およびビット線によって相互接続されており、D
RAMチップが形成される。
【0022】DRAMセルは、基板101中に形成され
たトレンチキャパシタ160を有している。基板は、p
型ドーパント(p)、例えば硼素(B)で僅かにドー
プされている。トレンチは、典型的には、n型ドーパン
ト(n)、例えば砒素(As)または燐(P)で著し
くドープされたポリシリコン(ポリ)161で充填され
ている。場合によっては、例えばAsでドープされた埋
め込み板165は、トレンチの下部を包囲する基板中に
備えられている。Asは、トレンチの側面上に形成され
たドーパント源、例えばASGからシリコン基板中に拡
散される。ポリおよび埋め込み板は、キャパシタの電極
として役立つ。ノード誘電層164は、電極を分離して
いる。
【0023】また、DRAMセルは、トランジスタ11
0をも有している。このトランジスタは、ゲート112
および拡散領域113および114を含む。チャンネル
117によって分離されている拡散領域は、n型ドーパ
ント、例えば燐(P)を注入することによって形成され
る。「ノード接合部」と呼称されるノード拡散領域12
5は、キャパシタをトランジスタに結合している。ノー
ド拡散領域は、埋め込みストラップ162を通してトレ
ンチポリからドーパントを拡散放出させることによって
形成される。
【0024】カラー168は、トレンチの上部で形成さ
れる。本明細書中で使用されているように、トレンチの
上部は、カラーを含む部分に言及され、トレンチの下部
は、カラーの下方の部分を含む。カラーは、埋め込み板
へのノード接合部の漏れを回避する。漏れは、セルの保
持時間を崩壊するので、望ましいものではなく、この場
合には、性能に不利な影響を与えるリフレッシュの頻度
を増大させる。
【0025】n型ドーパント、例えばPまたはAsを有
する埋め込みウェル170は、基板の表面の下方に備え
られている。埋め込みn型ウェル中のドーパントのピー
ク濃度は、カラーの底部付近にある。典型的には、ウェ
ルは、埋め込み板と比較して僅かにドープされている。
埋め込みウェルは、DRAMセルの埋め込み板をアレイ
中に接続するのに役立つ。
【0026】適当な電圧をゲートおよびビット線で供給
することによるトランジスタの活性化により、トレンチ
キャパシタはアクセスされる。一般に、ゲートはワード
線を形成し、拡散領域113は、接触子183を介して
DRAMアレイ中でビット線185に結合されている。
ビット線185は、中間レベルの誘電層189によって
拡散領域から絶縁されている。
【0027】浅いトレンチ絶縁部(STI)180は、
DRAMセルを他のセルまたはデバイスから絶縁するた
めに備えられている。図示されているように、ワード線
120は、トレンチの上方に形成されており、STIに
よってこのトレンチから絶縁されている。ワード線12
0は、「パッスィングワード線(passing wordline)」
と呼称されている。このような配置は、フォルデッドビ
ット線アーキテクチャー(folded bitline architectur
e)と呼称される。ライナー(図示されていない)は、
ゲートから絶縁されているビット線接触子を裏打ちして
いる。
【0028】図2〜4には、トレンチキャパシタを形成
させるための2工程のトレンチエッチング法が示されて
いる。図2に関連して、パッドスタック207は、基板
201の表面上に形成されている。基板は、トレンチキ
ャパシタの埋め込み板を接続するために使用されるn型
埋め込みウェルを含む。硬質マスク層206、パッド停
止層205およびパッド酸化物層204を含む種々の層
を有している。硬質マスク層は、領域202を定義する
ために常用のリソグラフィー法を使用することによりパ
ターン化され、この場合この領域中には、1つのトレン
チを形成させることができる。第1のRIEは、カラー
の深さと等しい深さを有するトレンチ209を形成させ
るために実施される。
【0029】酸化物層267、例えばTEOSは、パッ
ドスタックおよびトレンチの側面によって覆われている
ウェファー上に析出される。酸化物層は、カラーとして
役立つ。アニールは、酸化物層の密度を高めるために実
施され、酸化物の品質を改善する。
【0030】図3に関連して、トレンチの底部での酸化
物は、除去される。例えば、酸化物プラズマエッチング
のようなRIEは、過剰の酸化物を除去するために使用
される。第2のRIEは、トレンチの下部を形成させる
ために実施される。第2のRIEは、例えばシリコンプ
ラズマエッチングである。カラーは、RIEの間、エッ
チングマスクとして作用する。1つの結果として、トレ
ンチの下部は、トレンチの上部の幅Wよりも小さいW
を有している。これは、トレンチキャパシタのキャパ
シタンスを減少させるので、望ましいものではない。ま
た、第2のRIEは、カラーの上部を腐食する可能性が
あり、この場合には、キャパシタの保持時間に不利な影
響を与える漏れをまねく。
【0031】トレンチの下部の形成後に、場合によって
はn型埋め込み板265が形成される。この埋め込み板
は、例えばガス相ドーピング、プラズマドーピングまた
はイオン注入によって形成される。また、ドープされた
ケイ酸塩ガラスは、トレンチ側面を裏打ちするために析
出され、ドーパント源を提供し、このドーパント源から
ドーパントは、アニールによって基板中に拡散される。
ドープされたケイ酸塩ガラスの除去は、例えばBHFを
用いての化学的エッチングによって達成される。
【0032】図4に関連して、ノード誘電層264は、
ウェファー上に析出され、トレンチ側面を裏打ちする。
次に、トレンチは、ポリ271で充填される。また、ト
レンチ充填法により、ウェファーの表面は、ポリで被覆
される。ポリは、n型ドーパントで著しくドープされ
る。
【0033】この方法は、継続され、図1に示されたよ
うにDRAMセルの残りの部分が形成される。これは、
トレンチ中へのポリ、カラーおよびノード誘電層の設置
およびストラップの形成、STIを形成させるための絶
縁領域の定義、ゲートスタックを有する種々の層のパタ
ーン化、中間レベルの誘電層の析出、接触開口の形成お
よびビット線の形成を含む。このような方法は、例えば
ネスビット(Nesbit)他、0.6μm 256Mb Tr
ench DRAM Cell With Self-Aligned Buried Strap (BES
T), IEDM 93-627およびエル−カレー(El-Kareh)他、S
olid State Technology, p-89, (May 1997)に記載され
ており、これらの刊行物は、参考のために本明細書中に
含まれている。
【0034】本発明によれば、改善されたトレンチキャ
パシタが備えられている。トレンチキャパシタは、カラ
ーの上方に形成されるノード誘電層を有し、この場合に
は、ノード誘電層の上部を除去する必要性は排除され
る。これは、カラーと誘電層の上端との転移部でのピン
ホールの形成を回避させる。付加的にトレンチの下部
は、少なくともトレンチの上部の幅または直径とほぼ等
しい幅または直径を有している。例えば、減少された漏
れおよび増加されたキャパシタンスが達成される。
【0035】図5は、本発明の1つの実施態様によれ
ば、DRAMセル300中に使用されるトレンチキャパ
シタ360を示す。詳述すれば、DRAMセルは、埋め
込みストラップを有する併合絶縁ノードトレンチ(MI
NT)セルである。他のセル配置、例えば表面ストラッ
プを利用するものも有用である。例えば0.25μmの
設計規則を使用する256メガビットDRAMチップの
場合のトレンチの典型的な寸法は、深さ約7〜8μmお
よび開口約0.25μm×0.50μmである。
【0036】図示されているように、トレンチキャパシ
タは、基板301中に形成されている。この基板は、例
えば第1の電気型を有するドーパントで僅かにドープさ
れている。1つの実施態様の場合、基板は、p型ドーパ
ント(p)、例えばBで僅かにドープされている。著
しくドープされたp型(p)基板の使用も有用であ
る。このような基板は、約1019cm−3のドーパン
ト濃度を有し、この場合pepi層の厚さは、典型的
には2〜3μmである。Bの濃度は、約1.5×10
16cm−3である。p型ウェル351は、アレイデバ
イス110を絶縁するために備えられている。p型ウェ
ルのドーパント濃度は、約5×1017〜8×1017
cm−3である。
【0037】本発明によれば、トレンチの下部は、効果
的にトレンチの上部のWにほぼ等しいかまたはこのW
よりも大きい幅または直径Wを有している。場合に
よっては、埋め込み板365は、トレンチの下部を包囲
している。図示されているように、埋め込み板は、トレ
ンチの上部と部分的に重なっている。埋め込み板は、キ
ャパシタの電極として役立つ。典型的には、トレンチ
は、第2の電気型を有するドーパントで著しくドープさ
れた半導体材料320からなる。この半導体材料は、例
えばポリである。ポリは、例えばn型ドーパント
(n)、例えばAsまたはPで著しくドープされてい
る。1つの実施態様において、ポリは、Asで著しくド
ープされている。Asの濃度は、約1019〜1020
cm−3である。
【0038】ノード誘電層364は、キャパシタの電極
を分離する。本発明によれば、ノード誘電層は、カラー
の内側の側面およびトレンチの下部中のトレンチ側面を
裏打ちしている。誘電層は、例えば窒化物または窒化物
/酸化物からなる。酸化物/窒化物/酸化物または他の
適当な誘電層または層のスタック、例えば酸化物、窒化
酸化物またはNONOも有用である。
【0039】キャパシタの埋め込み板365をDRAM
アレイ中の他のキャパシタと接続することにより、第2
の導電性のドーパントを有する埋め込みウェル370が
存在する。1つの実施態様の場合、埋め込みウェルは、
n型ドーパント、例えばAsまたはPによって形成され
ている。ウェルの濃度は、約1×1017〜1×10
20cm−3である。埋め込みウェルは、n型epi
層で形成されていてもよく、かつ基準電圧に接続されて
いる。DRAMアレイ中のキャパシタの埋め込み板を普
通の基準電圧に接続した場合には、誘電層内の最大電界
は、最小化され、したがって信頼性が改善される。1つ
の実施態様の場合、基準電圧は、通常VDD/2と呼称
されるビット線低レベルと高電圧限界点との中間であ
る。他の基準電圧、例えばアースも有用である。
【0040】ストラップ362は、ドープされたポリ上
に備えられている。ドープされたポリ361からのドー
パントは、シリコン中に拡散放出され、この場合には、
ノード拡散領域325またはノード接合部が形成され、
トランジスタまたはキャパシタを結合させる。実例とな
る実施態様には、埋め込みストラップが記載されている
が、例えば表面ストラップの使用も有用である。
【0041】カラーは、トレンチの上部内に備えられて
おり、埋め込み板の上面付近にまで延在している。図示
されているように、カラーは、埋め込みストラップ36
2に適応させるために基板表面よりも下方に僅かに凹所
を形成している。カラーは、誘電性材料からなる。1つ
の実施態様の場合には、熱的酸化物層がまず形成され、
次にTEOS層がその上に析出される。カラーは、埋め
込み板に対するノード接合部からの漏れを阻止するかま
たは減少させる。1つの実施態様の場合には、カラーは
約1.2μmの深さおよび20〜90nmの厚さであ
る。
【0042】STI380は、トレンチの上端部分内に
備えられており、アレイ中の他のセルからDRAMセル
を絶縁し、かつ隣接したキャパシタ間でのストラップ形
成を回避させる。図示されているように、STIは、ト
レンチの一部分と重なっており、残りの部分はそのまま
であり、トランジスタとキャパシタとの間に電流を流す
ことを可能にする。1つの実施態様においては、STI
は、名目上、トレンチ幅のほぼ半分と重なっている。S
TIは、ストラップでの漏れを回避させるかまたはスト
ラップをストラップでの漏れに余儀なく至らせる。ST
Iの深さは、約0.25μmである。
【0043】トランジスタ310は、ゲートスタック3
12およびドレイン/ソース拡散領域313および31
4を有している。拡散領域は、n型ドーパント、例えば
AsまたはPを有している。拡散領域314は、ノード
接合部325に結合されている。「ワード線」とも呼称
されるゲートスタックは、ポリ315層からなる。典型
的には、ポリは、n型ドーパントまたはp型ドーパント
でドープされている。場合によっては、金属ケイ化物層
(図示されていない)は、ポリ層上に形成され、ゲート
スタックのシート耐性を減少させる。ポリおよびケイ化
物は、ときどき「ポリサイド(polycide)」と呼称され
る。
【0044】図示されているように、ゲートスタック
は、ワード線を絶縁するためのエッチングマスクとして
使用される窒化物層316でキャップされている。更
に、側面酸化物(図示されていない)およびライナー3
17は、ワード線を絶縁するために使用される。ライナ
ーは、例えば窒化物または他の適当な材料からなる。ラ
イナーは、境界なしの接触子383の形成の間にエッチ
ングストップとして役立つ。この境界なしの接触子は、
拡散領域313とビット線385との間の接続を提供す
る。誘電層389、例えばBPSGまたは他の誘電性材
料、例えば酸化物は、ビット線を拡散領域から絶縁して
いる。ライナー(図示されていない)は、接触子をゲー
トから絶縁するために備えさせることができる。
【0045】パッスィングワード線320は、STI3
80の上方に形成されている。パッスィングワード線
は、STIおよび厚手のキャップ酸化物によってトレン
チから絶縁されている。1つの実施態様において、パッ
スィングワード線の端縁は、実質的にトレンチ側面と整
合されている。このような配置は、フォルデッドビット
線アーキテクチャーと呼称される。例えば、開口または
オープンフォルデッドアーキテクチャー(open-folded
architecture)のような他の配置も有用である。
【0046】記載したように、第1の電気型はp型であ
り、第2の電気型はn型である。また、本発明は、n型
基板中に形成されたp型ポリを有するトレンチキャパシ
タに適用することができる。更に、望ましい電気特性を
達成させるために、基板、ウェル、埋め込み板および不
純物原子を有するDRAMセルの他の素子を著しくドー
プすることもできるし、僅かにドープすることもでき
る。
【0047】第1の電気型はp型であり、かつ第2の電
気型はn型であるけれども、p型ポリで充填されたトレ
ンチを有するn型基板内にDRAMセルを形成させるこ
とも有用である。更に、望ましい電気特性を達成させる
ために、基板、ウェル、埋め込み板および不純物原子を
有するDRAMセルの他の素子を著しくドープすること
もできるし、僅かにドープすることもできる。更に、垂
直トランジスタまたはセルレイアウト(cell layout)
の他の型の使用も有用である。
【0048】図6〜12は、本発明によりDRAMセル
を形成させるための1つの方法を示す。図6について云
えば、基板401が準備されており、この基板上にDR
AMセルが製造されている。基板の主要面は重要なもの
ではないが、任意の適当な方位、例えば(100)、
(110)または(111)が有用である。例示的な実
施態様において、基板はp型ドーパント(p)、例え
ばBで僅かにドープされている。Bの濃度は、約1〜2
×1016cm−3である。
【0049】また、基板は、n型埋め込みウェル470
も含む。埋め込みウェルは、PまたはAsドーパントか
らなる。1つの実施態様において、マスクは、埋め込み
ウェル領域を定義するためにパターン化されている。更
に、n型ドーパントは、基板の埋め込みウェル領域中に
注入される。埋め込みウェルは、p型ウェル451を基
板から絶縁するために役立ち、キャパシタの埋め込み板
の間に導電性ブリッジを形成させる。注入材料の濃度お
よびエネルギーは、約1.5MeVで約>1×1013
cm−2である。また、埋め込みウェルは、注入によっ
て形成され、次に基板表面上でepi層を成長させる。
このような技術は、ブロンナー(Bronner)他の米国特
許第5250829号明細書に記載されており、これ
は、参考のために本明細書中に記載されている。
【0050】典型的には、パッドスタック407は、基
板の表面上に形成されている。パッドスタックは、例え
ばパッド酸化物層404および停止層405からなる。
その後の処理のために研磨またはエッチング停止層とし
て役立つ停止層は、例えば窒化物からなる。この停止層
の上方には、硬質マスク層406が存在する。硬質マス
クは、TEOSからなる。他の材料、例えばBSGも有
用であり、硬質マスクとして役立つ。
【0051】付加的に、反射防止被膜(ARC)は、リ
ソグラフィーによる分解を改善するために使用されても
よい。
【0052】硬質マスク層は、常用のリソグラフィー技
術を使用することによりパターン化され、トレンチが形
成されるべき領域を定義する。これは、レジスト層の析
出および望ましいパターンを用いてのレジスト層の選択
的な露光を含む。更に、レジストは、現像され、ポジ型
のレジストを使用するかまたはネガ型のレジストを使用
するかに応じて、露光された部分が除去されるかまたは
未露光の部分が除去される。更に、パッドスタックの露
光された部分は、基板表面に対してエッチングされる。
この場合、反応性イオンエッチング(RIE)は、深い
トレンチ408を形成する。
【0053】半導体層452は、ウェファー上に析出さ
れ、この場合には、トレンチが充填される。半導体層
は、例えばシリコンからなる。1つの実施態様の場合、
半導体層はポリからなる。無定型シリコンも有用であ
る。約1050〜1100℃までの温度安定性を有しか
つ窒化物または酸化物に対して選択的に除去されること
ができる無定型シリコンも有用である。ポリ層414
は、その後に除去されるので犠牲ポリ層と呼称される。
典型的には、天然の酸化物が形成され、トレンチがポリ
で充填される前にトレンチ側面は、裏打ちされる。酸化
物層は、典型的には例えば約0.3〜1nmの厚さであ
る。ポリは、カラーの底面付近にまで埋め込まれてい
る。ポリの埋め込みは、例えばCMP、化学的乾式エッ
チング(CDE)またはRIEによるポリの平面化を含
み、トレンチ内でのポリの上面とパッドスタックの上面
との共通の平面状表面を形成させる。RIEはポリをト
レンチ内に埋め込むために実施される。好ましくは、ポ
リは単一工程でCDEまたはRIEによって平面化され
埋め込まれている。
【0054】次に、誘電層467は、ウェファー上に析
出され、パッドスタックおよびトレンチ側面を被覆す
る。この誘電層は、カラーを形成させるために使用され
る。誘電層は、例えば酸化物からなる。1つの実施態様
において、誘電層は、最初に熱的酸化物層を成長させ、
次にTEOSを使用することにより1つの酸化物層を化
学的蒸着(CVD)、例えばプラズマエンハンスト(pl
asma enhanced)CVD(PECVD)または低圧CV
D(LPCVD)によって析出させることによって形成
させる。CVD酸化物は、アニールによって密度が高め
られてもよい。酸化物層は、垂直方向の漏れを阻止する
のに十分な厚さである。典型的には、この層は、約10
〜50nmの厚さである。また、誘電層は、熱的酸化物
の層からなる。
【0055】別の実施態様の場合、誘電層はCVD酸化
物から形成されている。CVD酸化物の形成後、酸化物
の密度を高めるためにアニールを実施することができ
る。アニールは、例えばAr、N、O、HO、N
O、NOまたはNH環境中で実施される。酸化環
境、例えばOまたはHOは、CVD酸化物に隣接し
て熱的酸化物層を形成させるために使用されることがで
きる。環境からの酸素は、CVD酸化物を通じて拡散
し、基板表面上に熱的酸化物層を形成させる。これは、
必要に応じて、CVD酸化物の析出前に熱的酸化工程を
必要とすることなく熱的酸化物を有利に形成させること
を可能にする。典型的には、アニールは、約0.5〜3
時間約1000〜1100℃の温度で実施される。
【0056】図7について云えば、誘電層は、カラーを
穿孔するために例えばRIEによってエッチングされ
る。カラーを穿孔する化学的RIEは、ポリおよび窒化
物に対して選択的に酸化物をエッチングするために使用
される。RIEは、誘電層をパッドスタックの表面およ
び開口の底部から除去する。誘電層は、シリコン側面上
に留まり、カラー468を形成させる。記載したよう
に、カラーの上面部分は、僅かに浸食され、テーパー上
面部分を形成する。
【0057】図8について云えば、犠牲ポリ層は、トレ
ンチの底部から除去される。犠牲ポリ層の除去は、好ま
しくはCDEによって達成される。薄い天然の酸化物層
は、典型的には露光されたトレンチ側面上に存在する。
この天然の酸化物層は、CDEエッチング停止層として
十分に役立つことができる。例えば、NF+Cl
学薬品を使用してのCDEは、酸化物に対して比較的に
高い選択性をもってシリコンまたはポリをエッチングす
ることができ、エッチング停止層としての薄手の天然の
酸化物層を使用することによるポリの除去を可能にす
る。例えば、約4000:1の選択性は、エッチング停
止層としての天然の酸化物層を使用することにより、ポ
リをトレンチから除去する場合に有効であることが見い
出された。
【0058】1つの実施態様の場合、高度にClを有
するCDEは、酸化物に対するシリコンまたはポリのエ
ッチングの選択性を増大させるために使用される。約1
2sccmの流速は、効果的に零の酸化物エッチング速
度を生じ、他方、ポリのエッチング速度は、約2μm/
分の程度である。これは、天然の酸化物層を犠牲ポリ層
の除去のための有効なエッチング停止層として役立たせ
ることを可能にする。典型的に、天然の酸化物層の厚さ
は、約1nm、好ましくは約1.5nmであるべきであ
る。
【0059】また、例えばKOHまたはHF:HN
:CHCOOHを使用する湿式エッチングもポリ
を除去する場合には、有用である。しかし、KOHの使
用は、トレンチ側面上でのK汚染をまねく可能性があ
り、これは付加的な清浄化工程を必要としうる。また、
RIEは、ポリを除去する場合に有用である。それとい
うのも、RIEは、異方性であるからである。ポリのR
IEによる除去に適当な化学薬品は、SFを含む。酸
化物または窒化物、例えばNF/HBrに対して選択
的にポリをエッチングする他の適当な化学薬品も有用で
ある。酸化物または窒化物に対するポリのRIEエッチ
ングの選択性は、平面状の表面上で約100:1未満で
あるが、しかし、RIEエッチングの間のイオンの動作
方向が主に垂直方向であることにより、約2000:1
を上廻って増大する。垂直方向の表面上での酸化物また
は窒化物に対するポリの高い選択性のために、カラーの
上部のみが浸食される。しかし、これは問題ではない。
それというのも、カラーは、基板の表面の下方で浸食さ
れていないからである。
【0060】ポリの除去後、n型ドーパント、例えばA
sまたはPを有する埋め込み板465は、場合によって
は形成され、第2の電極として役立つ。カラーは、絶縁
マスクとして役立ち、カラーの下方の領域のみをドープ
させることができる。ドーパントの濃度は、約1×10
19〜1020cm−3である。埋め込み板を形成させ
るために、PHまたはAsHを使用してのガス相の
ドーピング、プラズマドーピングまたはプラズマ浸漬イ
オン注入(PIII)が使用される。このような技術
は、例えばランサム(Ransom)他, J. Electrochemica
l. Soc. 第141巻, No.5 (1994)第1378頁;米国
特許第5344381号明細書;米国特許第49372
05号明細書;および1998年2月27日付け出願の
発明の名称が「集積回路内にトレンチキャパシタを形成
させるための改善された技術方法(IMPROVED TECHNIQUE
S FOR FORMING TRENCH CAPACITORS IN AN INTEGRATED C
IRCUIT)」の同時係属の米国特許出願USSN(代理人
覚え書き番号98 P 7430)に記載されており、
これは、参考のために本明細書中に記載されている。カ
ラーを絶縁マスクとして使用するイオン注入も有用であ
る。埋め込み板は、ドープされたケイ酸塩ガラス、例え
ばASGをドーパント源として使用することにより形成
されている。ドーパント源としてのドープされたケイ酸
塩ガラスの使用は、ベッカー(Becker)他, J. Electr
ochemical. Soc. 第136巻(1989)第3033頁に記載
されており、これは、参考のために本明細書中に記載さ
れている。ドープされたケイ酸塩ガラスを使用する場合
には、層は、埋め込み板の形成後に除去される。
【0061】図9について云えば、ノード誘電層464
は、ウェファー上に析出され、パッドスタックの表面お
よびトレンチの内面を被覆している。誘電層は、キャパ
シタの板を分離するために使用されるノード誘電層とし
て役立つ。1つの実施態様において、誘電層は、NO被
膜スタックを有している。NO被膜スタックは、窒化物
層を析出させることによって形成され、この窒化物層
は、さらに再酸化される。窒化物層は、例えば熱的窒化
およびFTP工具中のCVD窒化物によって約6.5n
mの厚さに形成される。窒化物層は、例えば約900℃
の温度で再酸化される。窒化物層の再酸化により、窒化
物の縁部での厚さは増加される。誘電性被膜スタック、
例えば酸化物−窒化物−酸化物(ONO)または酸化物
−窒化物−酸化物−窒化物(ONON)の他の型も有用
である。また、薄手の酸化物、窒化物または窒化酸化物
被膜の使用も有用である。
【0062】ポリ層461は、ウェファーの表面上に析
出され、トレンチを充填し、かつパッドスタックを被覆
する。ポリは、例えばCVDまたは他の公知の技術によ
って析出される。図示されているように、ポリ層は相似
性を有している。ポリは、n型ドーパント、例えばPお
よびAsでドープされている。1つの実施態様の場合、
層はAsでドープされている。Asの濃度は、約1×1
19〜1×1020cm−3である。トレンチは、A
sでドープされたポリで充填されている。ドープされた
ポリは、キャパシタの1つの電極(またはノード電極)
として役立つ。また、層は無定形シリコンを有してい
る。材料は、その場でドープされることもできるし、そ
の後にドープされることもできる。
【0063】図10について云えば、ポリ層461は、
例えば適当な化学薬品、例えばNF /ClまたはN
/HBrまたはSFを使用することによりCDE
またはRIEによって凹所へ埋め込まれる。1つの実施
態様の場合、ポリは、ほぼパッド窒化物のレベルで凹所
へ埋め込まれる。これは、その後の湿式エッチング処理
の間のアンダーカットからパッド酸化物を保護する。ア
ンダーカットが問題でない場合には、ポリは、埋め込み
ストラップの深さにまで凹所へ埋め込まれることができ
る。
【0064】図11について云えば、ポリの上方の残留
ノード誘電層は、例えばDHFおよびHF/グリセロー
ルを用いて湿式エッチングすることによって除去され
る。次に、マスク層は、例えばBHFを使用することに
より湿式エッチングすることによって剥離される。硬質
マスクを使用するためのCDEの使用も有用である。幾
つかの実施態様の場合、硬質マスク層は、処理の流れに
おいて、例えば深いトレンチの形成後に早期に除去され
る。図示されているように、トレンチ内のカラーおよび
誘電層は、十分に僅かに凹所へ埋め込まれている。
【0065】1つの実施態様の場合、図12に図示され
ているように、埋め込みストラップ462が形成されて
いる。埋め込みストラップの形成は、例えばエッチング
によって達成され、ドープされたポリ415がトレンチ
内に埋め込まれる。典型的には、エッチングはRIEで
ある。ポリは、埋め込みストラップを形成させるのに十
分な深さにまで凹所へ埋め込まれる。1つの実施態様の
場合、ポリは、シリコン表面の下方約0.10μmにま
で凹所へ埋め込まれる。次に、カラーおよびノード誘電
層は、ドープされたポリの上面の下方に湿式エッチング
によって凹所へ埋め込まれる。BHFまたは他の酸化物
のエッチングのための化学薬品は、湿式エッチングにと
って有用である。CDEを使用することもできる。典型
的には、カラーの酸化物は、埋め込まれたポリの上面の
下方約50nmで凹所へ埋め込まれる。また、湿式エッ
チングにより、ノード誘電層は凹所へ埋め込まれる。し
かし、エッチングにより、ノード誘電層はカラー酸化物
よりも少なく凹所へ埋め込まれる。これは、より小さな
埋め込みストラップの開口を生じる。例えばHF/グリ
セロールの化学薬品を使用することによる付加的な窒化
物のエッチングは、埋め込みストラップの開口を増大さ
せるために使用されることができる。埋め込みストラッ
プの開口を増大させることにより、有利に埋め込みスト
ラップの耐性は減少される。勿論、凹所の深さは、埋め
込みストラップの設計要件に依存する。
【0066】ポリ層は、基板上に析出され、窒化物層お
よびトレンチの上方部を被覆する。無定形シリコンの使
用も有用である。典型的には、析出された層はドープさ
れていない。この層は、窒化物層405の下方に向かっ
て平面化されている。平面化後、トレンチ内のポリは、
例えば基板の表面の下方約0.05μmにまで凹所へ埋
め込まれ、ドープされたポリ420の上方で約0.10
μmの埋め込みストラップを留めている。また、単独の
RIEまたはCDE工程を使用することによる層の平面
化および凹所への埋め込みは、有用である。
【0067】図13について云えば、DRAMセルの活
性領域(AA)が定義されている。反射防止被膜(AR
C)層は、基板表面上に析出され、窒化物停止層および
埋め込みストラップを被覆する。ARCは、AAを定義
するためのリソグラフィー法による分解を改善するため
に使用される。レジスト層は、ARC層上に形成され、
AAエッチングマスクとして役立つ。更に、活性領域
は、常用のリソグラフィー法によって定義される。次
に、セルの非活性領域は、例えばRIEによって異方的
にエッチングされる。非活性領域は、STI480が形
成される領域である。
【0068】図示されているように、STIは、トレン
チの一部と重なり、ストラップ440の一部を保護して
いる。その後のアニールにおいて、ドープされたポリの
ドーパントは、ストラップを通じて上方および上側に拡
散し、拡散領域425を形成させる。STIの深さは、
約0.25μmである。典型的には、非活性領域は、酸
化物カラーの上面の下方でエッチングされる。1つの実
施態様において、非活性領域は、基板表面の下方約0.
25μmでエッチングされている。
【0069】非活性領域がエッチングされた後、レジス
ト層およびARC層は、除去される。レジストまたはA
RCの残留物が残らないことを保証するために、清浄化
工程を使用することができる。酸素がシリコンおよびポ
リ側面中に拡散することを阻止するために、ライナー
(図示されていない)が設けられており、非活性領域を
保護している。このライナーは、例えば典型的には、窒
化物からなり、不動態化酸化物は、窒化物ライナーの形
成前に露光されたシリコン上で熱的に成長される。窒化
物層は、例えば低圧化学蒸着(LPCVD)によって形
成される。窒化物ライナーは、基板表面上に形成され、
窒化物層および非活性STI領域を被覆する。
【0070】誘電性材料は、基板の表面上に形成され
る。誘電性材料は、例えばSiO2からなる。1つの実
施態様において、誘電性材料は、TEOSである。高密
度プラズマ(HDP)酸化物または他の適当な絶縁材料
も有用である。誘電層の厚さは、非活性領域を充填する
のに十分なものである。誘電性材料は典型的には相似性
を有しているので、CMPのような平面化の概要が使用
される。このような概要は、ネスビット(Nesbit)他,
A 0.6μm2 256Mb Trench DRAM Cell WithSelf-Al
igned Buried Strap (BEST), IEDM 93-627に記載されて
おり;この刊行物は、参考のために本明細書中に含まれ
ている。基板の表面は、STIおよび窒化物層が実質的
に平面状であるような程度に研磨されている。
【0071】更に、パッド停止層は、例えば湿式化学的
エッチングによって除去される。湿式化学的エッチング
は、酸化物に対して選択的である。パッド酸化物もこの
時点でシリコンに対して選択的な湿式化学的エッチング
によって除去される。パッド酸化物の除去後、酸化物層
は、ウェーファーの表面上に形成される。「ゲート犠牲
層」と呼称される酸化物層は、その後に注入のためのス
クリーン酸化物として役立つ。
【0072】DRAMセルのnチャンネルアクセストラ
ンジスタに関連してp型ウェルのために1つの領域を定
義するために、レジスト層は、酸化物層の上面上に析出
され、適当にパターン化され、p型ウェル領域が露光さ
れる。図示されているように、p型ドーパント、例えば
硼素(B)は、ウェル領域中に注入される。ドーパント
は、十分な深さで注入され、パンチスルー(punchthrou
gh)を阻止し、シート耐性を減少させる。ドーパントの
プロフィールは、調整され、望ましい電気的特性、例え
ばゲート閾値電圧(V)を達成する。
【0073】付加的に、nチャンネル支持回路のための
p型ウェルも形成される。コンプリメンタリ(complime
tary)金属酸化物シリコン(CMOS)中のコンプリメ
ンタリ(complimetary)ウェルのために、n型ウェルが
形成される。n型ウェルの形成により、n型ウェルの定
義および形成のために付加的にリソグラフィー工程およ
び注入工程が必要とされる。p型ウェルを用いた場合と
同様に、n型ウェルのプロフィールは、調整され、望ま
しい電気的特性を達成させる。ウェルが形成された後、
ゲート犠牲層は除去される。
【0074】トランジスタのゲートを形成させるための
種々の層が形成される。これは、ゲート酸化物、ポリ層
416およびキャップ窒化物層418として役立つゲー
ト酸化物層415の形成を含む。典型的には、ポリ層
は、金属ケイ化物層417、例えばWSiを含むこと
ができ、ポリサイドを形成させ、シート耐性を減少させ
る。更に、種々のゲート層は、パターン化され、トラン
ジスタ410のゲートスタック412を形成させる。パ
ッスィングゲートスタック(passing gate stack)42
0は、典型的にはトレンチ上に形成され、STIによっ
てトレンチから絶縁される。ソース/ドレイン拡散領域
413および414は、n型ドーパント、例えばPまた
はAsを注入することによって形成される。1つの実施
態様において、Pはソースおよびドレイン領域中に拡散
される。用量およびエネルギーは、望ましい操作特性を
達成させるドーパントプロフィールを得るために選択さ
れる。拡散およびゲートに対するソースおよびドレイン
の整合を改善するために、窒化物スペーサー(図示され
ていない)が使用されてもよい。拡散領域414は、拡
散領域425に接続されており、ノード接合部を形成し
ている。
【0075】誘電層489は、ウェファー表面上に形成
され、ゲートおよび基板表面を被覆している。誘電層
は、例えばBPSGからなる。他の誘電層、例えばTE
OSも有用である。図示されているように、縁部のない
接触開口483は、エッチングされ、拡散領域413が
露光される。更に、接触開口は、導電性材料、例えばn
ドープされたポリシリコンで充填されており、その中
に接触スタッドを形成させる。ビット線を表わす金属層
485は、誘電層上に形成され、接触スタッドを介して
ソースと接触する。
【0076】図14は、本発明の1つの選択的な実施態
様を示す。図示されているように、トレンチキャパシタ
の下部の幅Wまたは直径は、トレンチキャパシタの上
部のWよりも大きい。Wが増大することにより、キ
ャパシタのキャパシタンスは増大する。このような構造
を達成するために、犠牲ポリ層は、例えばNF/Cl
化学薬品を使用することによりCDEによって図7の
記載と同様に清浄化される。シリコンを選択的にエッチ
ングするための他の化学薬品も有用である。また、SF
、NF/HBrを使用するRIEまたはKOH化学
薬品を使用することによる湿式エッチングも有用であ
る。トレンチの底部は、例えばCDEエッチングによっ
て拡大される。トレンチの拡大は、例えばオザキ(T. O
zaki)他,"0.228 μm2 Trench Cell Technologies wi
th Bottle-shaped Capacitor for1 Gigabit DRAMs"に記
載されており、この刊行物は、参考のために本明細書中
に含まれている。CDEエッチングのための腐食液は、
トレンチ側面上の薄手の天然の酸化物をも除去するため
に選択される。これは、酸化物に対するエッチングの選
択性を減少させるためにClの流束を減少させること
によって達成されるかまたは化学薬品を変えることによ
って達成される。
【0077】湿式エッチングまたはCDEは、隣接する
トレンチ中への延在または隣接するトレンチとの接触か
ら拡大を限定するけれども、犠牲ポリ層を除去する程度
に時間を要する。トレンチの底部の拡大は、隣接するト
レンチ間の最小間隔の約50%未満、好ましくは隣接す
るトレンチ間の最小間隔の20〜30%未満である。隣
接するトレンチ間の間隔は、典型的には最低の基本原則
(GR)にほぼ等しいので、拡大はGRの50%未満に
限定されるべきである。これは、例えば最小直径が2G
R未満である瓶形状のトレンチを提供する。好ましく
は、トレンチの拡大率は、GRの約20〜40%であ
る。
【0078】犠牲ポリ層およびエッチング停止層の除去
後、埋め込み板は、場合によっては形成されてよい。埋
め込み板を形成させるための種々の方法、例えば約10
00〜1100℃の温度で例えばAsHまたはPH
を用いての気相ドーピング、AsまたはPのイオン注
入、プラズマドーピング、またはプラズマ浸漬イオン注
入が有用である。更に、ドープされたポリは、析出さ
れ、ノード電極を形成させる。ドープされたポリは、こ
のポリがトレンチの下部を充填する場合に、トレンチ内
にボイド572を形成する。ボイドは、トレンチの下部
内に位置しているので、その後の処理またはデバイスの
機能に影響を及ぼすことはない。トレンチキャパシタを
増大させる他の方法、例えばトレンチ内の半球状シリコ
ン粒子(HSG)の形成またはノード誘電層の析出前の
トレンチ側面の粗面化も有用である。
【0079】図15〜17は、本発明によりDRAMセ
ルを形成させるための選択的な方法の一部を示す。図1
5について云えば、基板601が備えられている。図示
されているように、基板は、n型埋め込みウェル670
を含む。例えば、パッド酸化物層404、研磨停止層4
05および硬質マスク層406を含むパッドスタック4
07は、基板の表面上に形成される。パッドスタック
は、パターン化され、トレンチ領域602を定義し、深
いトレンチ608がRIEによってトレンチ領域内に形
成される。
【0080】トレンチの形成後、エッチング停止層67
6は、トレンチ側面上に析出される。エッチング停止層
は、トレンチ側面上の天然の酸化物層が薄すぎる場合
(約1nm未満)に特に有用であり、エッチング停止層
として十分に役立つ。エッチング停止層は、パッドスタ
ックを被覆し、トレンチ側面を裏打ちする。1つの実施
態様の場合には、エッチング停止層は、それに対して選
択的にポリを除去することができる材料からなる。エッ
チング停止層の厚さは、その後に析出された犠牲材料6
15を、実質的に瓶形状のトレンチを避けるために側面
を拡大することなくトレンチから除去することができる
程度に十分である。必要とされる実際の厚さは、犠牲ポ
リ層の除去のために使用されるエッチングの処理条件に
依存して最適化される。典型的には、層の厚さは、約1
〜20nm、好ましくは約1〜5nmである。
【0081】1つの実施態様において、エッチング停止
層は、種々の方法、例えば熱的成長またはCVDによっ
て形成された誘電性材料、例えば酸化物、窒化物または
オキシニトリドからなる。好ましくは、エッチング停止
層は酸化物からなる。酸化物の使用により、有利には、
カラーの形成前の上部の除去の必要または犠牲ポリ層の
除去後の下部の除去の必要はなくなる。
【0082】犠牲ポリ層652は、ウェファー上に析出
され、トレンチ608を充填する。犠牲ポリ層は、凹所
に設けられ、トレンチの上部からは除去される。ポリ
は、カラーのほぼ底部にまで凹所へ埋め込まれている。
場合によっては、トレンチの上部中のエッチング停止層
の露光された部分は、例えばDHF化学薬品からなる湿
式腐食剤を使用することにより除去される。次に、カラ
ー層667が形成され、トレンチ側面の上部および犠牲
ポリ層の上面を被覆する。カラー酸化物として役立つ誘
電層は、典型的にはCVD酸化物の下で薄手の熱的酸化
物からなる。場合によっては、アニールは、カラー層の
密度を高めるために実施されることができる。また、カ
ラー酸化物は、CVD酸化物を析出させかつ酸化環境中
でCVD酸化物の密度を高めることによって形成され
る。これは、トレンチ/CVD酸化物界面で熱的酸化物
層の形成を簡易化し、カラーの信頼性を改善する。
【0083】図16について云えば、カラー層はRIE
によってエッチングされ、カラー668を形成させる。
次に、犠牲ポリ層651は、RIEまたはCDEを用い
て除去される。犠牲材料を除去する場合には、湿式エッ
チングも有用である。酸化物エッチング停止層により、
エッチングによる犠牲ポリ層の除去の間のトレンチ側面
の拡大が回避される。図17について云えば、この場合
酸化物のエッチング停止層は除去される。更に、埋め込
み板665は、先に記載された方法を使用することによ
り、形成される。誘電層664は、ウェファー上に析出
され、カラーおよびトレンチの下部中のトレンチ側面を
被覆する。誘電層は、トレンチキャパシタのノード誘電
層として役立つ。更に、ドープされたポリ層661が析
出され、トレンチを充填する。トレンチキャパシタおよ
びメモリーセルを形成させる方法は、図9〜13に示さ
れているように連続される。
【0084】図18〜20は、本発明の選択的な実施態
様を示す。図示されているように、例えばパッド酸化物
層704、停止層705および硬質マスク層(図示され
ていない)からなるパッドスタック707は、基板の表
面上に形成される。パッドスタックは、パターン化さ
れ、トレンチ領域を定義する。RIEは、トレンチ領域
内に深いトレンチ708を形成させるために実施され
る。n型埋め込みウェル670は、基板中にも設けられ
ている。
【0085】硬質マスク層は、トレンチの形成後に剥離
され、基板表面上にパッド停止層705およびパッド酸
化物層704を留める。エッチング停止層776が形成
され、トレンチからの犠牲ポリ752の除去のためにエ
ッチング停止層として役立つ。エッチング停止層の形成
後、犠牲ポリ752の層は、析出され、トレンチを充填
する。犠牲ポリは、望ましい深さにまで凹所へ埋め込ま
れ、この場合のこの深さは、ほぼカラーの底部である。
エッチング停止層の露光された部分は、例えば湿式DH
FエッチングまたはCDEによって除去されることがで
きる。また、エッチング停止層の露光された部分の除去
により、DT RIE損傷および汚染が取り除かれ、そ
の後に形成されるカラーの信頼性が改善される。更に、
誘電層767は、析出され、トレンチの表面および側面
を被覆する。誘電層は、カラーを形成させるために使用
される。アニールは、誘電層の密度を高めるために実施
される。また、CVD酸化物は、単一の熱処理工程でC
VD酸化物の密度を高めかつCVD酸化物の下方で熱的
酸化物を形成させるために、析出され、酸化環境中でア
ニールされる。
【0086】図19について云えば、RIEはカラー7
68を形成させるために実施される。RIE後、犠牲ポ
リ層およびエッチング停止層は除去される。図20につ
いて云えば、埋め込み板765は、既述した方法を使用
することにより形成される。ノード電極764が形成さ
れる。更に、Nドープされたポリは、トレンチを充填す
る。この時点で、処理は、図10〜13の記載と同様に
連続される。
【0087】図21について云えば、本発明の選択的な
実施態様によるDRAMセルが示されている。図示され
ているように、DRAMセルは、トランジスタ810に
結合されたトレンチキャパシタ860を含む。トレンチ
キャパシタ860は、トレンチの下部を包囲する埋め込
み板を除いて、図3に記載されたトレンチキャパシタ3
60と同様である。埋め込み板なしのキャパシタの形成
には、図6〜13、図15〜17および図18〜20に
記載された同様の一連の処理が続く。しかし、図8、図
17および図20の例に記載されたような埋め込み板を
形成させる工程は、省略される。これは、犠牲ポリの除
去後にノード誘電層が埋め込み板の形成の代わりに析出
されることを意味する。
【0088】トレンチの下部の拡大を阻止するためのエ
ッチング停止層を使用する実施態様においては、ノード
誘電層は、犠牲ポリおよびエッチング停止層の除去後に
形成される。付加的に、図14の瓶形状のトレンチキャ
パシタは、埋め込み板を形成させる方法を省略すること
によって埋め込み板なしに形成されてもよい。例えば、
ノード誘電層は、犠牲ポリの除去およびトレンチの下部
の拡大の後に形成される。
【0089】本発明は、種々の実施態様に関連して特に
図示されかつ記載されているが、当業者であれば、本発
明の範囲を逸脱することなく本発明を変更し、改変しう
ることが想定されるべきである。従って、本発明の範囲
は、上記の明細書の記載に関連して定められるだけでな
く、上記の全ての記載に基づいて係属する請求項の記載
に関連しても定められるべきである。
【図面の簡単な説明】
【図1】常用のDRAMセルを示す略示断面図。
【図2】常用のDRAMセルを形成させる1つの方法の
第1の過程を示す略示断面図。
【図3】常用のDRAMセルを形成させる1つの方法の
第2の過程を示す略示断面図。
【図4】常用のDRAMセルを形成させる1つの方法の
第3の過程を示す略示断面図。
【図5】本発明の1つの実施態様によるDRAMセルを
示す略示断面図。
【図6】図5のDRAMセルを形成させるための本発明
による方法の1つの実施態様を示す略示断面図。
【図7】図5のDRAMセルを形成させるための本発明
による方法の1つの実施態様を示す略示断面図。
【図8】図5のDRAMセルを形成させるための本発明
による方法の1つの実施態様を示す略示断面図。
【図9】図5のDRAMセルを形成させるための本発明
による方法の1つの実施態様を示す略示断面図。
【図10】図5のDRAMセルを形成させるための本発
明による方法の1つの実施態様を示す略示断面図。
【図11】図5のDRAMセルを形成させるための本発
明による方法の1つの実施態様を示す略示断面図。
【図12】図5のDRAMセルを形成させるための本発
明による方法の1つの実施態様を示す略示断面図。
【図13】図5のDRAMセルを形成させるための本発
明による方法の1つの実施態様を示す略示断面図。
【図14】本発明の選択的な実施態様を示す略示断面
図。
【図15】DRAMセルを形成させるための本発明によ
る方法の別の実施態様を示す略示断面図。
【図16】DRAMセルを形成させるための本発明によ
る方法の別の実施態様を示す略示断面図。
【図17】DRAMセルを形成させるための本発明によ
る方法の別の実施態様を示す略示断面図。
【図18】DRAMセルを形成させるための本発明によ
る方法のさらに別の実施態様を示す略示断面図。
【図19】DRAMセルを形成させるための本発明によ
る方法のさらに別の実施態様を示す略示断面図。
【図20】DRAMセルを形成させるための本発明によ
る方法のさらに別の実施態様を示す略示断面図。
【図21】DRAMセルを形成させるための本発明によ
る方法のさらに別の実施態様を示す略示断面図。
【符号の説明】
301 基板、 320 半導体材料、 360 トレ
ンチキャパシタ、 364 ノード誘電層、 W
レンチの上部の幅または直径、 W トレンチの下部
の幅または直径

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】 トレンチキャパシタの製造方法におい
    て、基板中にトレンチを形成させ;このトレンチの下部
    に半導体材料を備えさせ;この半導体材料の上方にある
    トレンチの上部中に誘電カラー部を形成させ;半導体材
    料をトレンチの底部から除去し;カラーおよびトレンチ
    側面に裏打ちされたノード誘電層をトレンチの底部に形
    成させ;トレンチキャパシタの電極として役立つドープ
    された半導体材料でトレンチを充填し、この場合トレン
    チの底部の直径は、有利には少なくともトレンチの上部
    にほぼ等しいことを特徴とする、トレンチキャパシタの
    製造方法。
JP11098943A 1998-04-06 1999-04-06 トレンチキャパシタの製造方法 Withdrawn JPH11330403A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/055,506 US6008104A (en) 1998-04-06 1998-04-06 Method of fabricating a trench capacitor with a deposited isolation collar
US09/055506 1998-04-06

Publications (1)

Publication Number Publication Date
JPH11330403A true JPH11330403A (ja) 1999-11-30

Family

ID=21998306

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11098943A Withdrawn JPH11330403A (ja) 1998-04-06 1999-04-06 トレンチキャパシタの製造方法

Country Status (6)

Country Link
US (1) US6008104A (ja)
EP (1) EP0949680A3 (ja)
JP (1) JPH11330403A (ja)
KR (1) KR100598301B1 (ja)
CN (1) CN1134845C (ja)
TW (1) TW454341B (ja)

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1140772A (ja) * 1997-07-22 1999-02-12 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP3132435B2 (ja) * 1997-09-22 2001-02-05 日本電気株式会社 半導体装置の製造方法
US5977579A (en) * 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6140175A (en) * 1999-03-03 2000-10-31 International Business Machines Corporation Self-aligned deep trench DRAM array device
US6403412B1 (en) * 1999-05-03 2002-06-11 International Business Machines Corp. Method for in-situ formation of bottle shaped trench by gas phase etching
US6426254B2 (en) * 1999-06-09 2002-07-30 Infineon Technologies Ag Method for expanding trenches by an anisotropic wet etch
FR2795869B1 (fr) * 1999-07-01 2005-05-20 Ibm Procedes ameliores de formation de la connexion enterree et de sa barriere quantique dans des condensateurs de cellules a tranchee profonde
US6066527A (en) * 1999-07-26 2000-05-23 Infineon Technologies North America Corp. Buried strap poly etch back (BSPE) process
US6271142B1 (en) * 1999-07-29 2001-08-07 International Business Machines Corporation Process for manufacture of trench DRAM capacitor buried plates
DE19939589B4 (de) 1999-08-20 2004-08-12 Infineon Technologies Ag Verfahren zur Herstellung eines Grabens mit vergrabener Platte
DE19944012B4 (de) 1999-09-14 2007-07-19 Infineon Technologies Ag Grabenkondensator mit Kondensatorelektroden und entsprechendes Herstellungsverfahren
US6344415B1 (en) * 1999-09-15 2002-02-05 United Microelectronics Corp. Method for forming a shallow trench isolation structure
DE19946719A1 (de) * 1999-09-29 2001-04-19 Infineon Technologies Ag Grabenkondensator und Verfahren zu seiner Herstellung
US6465852B1 (en) 1999-10-20 2002-10-15 Advanced Micro Devices, Inc. Silicon wafer including both bulk and SOI regions and method for forming same on a bulk silicon wafer
US6229187B1 (en) 1999-10-20 2001-05-08 Advanced Micro Devices, Inc. Field effect transistor with non-floating body and method for forming same on a bulk silicon wafer
US6245636B1 (en) 1999-10-20 2001-06-12 Advanced Micro Devices, Inc. Method of formation of pseudo-SOI structures with direct contact of transistor body to the substrate
US6376286B1 (en) * 1999-10-20 2002-04-23 Advanced Micro Devices, Inc. Field effect transistor with non-floating body and method for forming same on a bulk silicon wafer
TW429613B (en) * 1999-10-21 2001-04-11 Mosel Vitelic Inc Dynamic random access memory with trench type capacitor
US6372573B2 (en) * 1999-10-26 2002-04-16 Kabushiki Kaisha Toshiba Self-aligned trench capacitor capping process for high density DRAM cells
US6159874A (en) * 1999-10-27 2000-12-12 Infineon Technologies North America Corp. Method of forming a hemispherical grained capacitor
JP3457236B2 (ja) * 1999-11-05 2003-10-14 茂徳科技股▲ふん▼有限公司 深いトレンチキャパシター蓄積電極の製造方法
DE19956078B4 (de) * 1999-11-22 2006-12-28 Infineon Technologies Ag Verfahren zur Herstellung eines Isolationskragens in einem Grabenkondensators
DE19956978B4 (de) * 1999-11-26 2008-05-15 Promos Technologies, Inc. Verfahren zur Herstellung eines tiefen flaschenförmigen Graben-Kondensators
US6319788B1 (en) * 1999-12-14 2001-11-20 Infineon Technologies North America Corp. Semiconductor structure and manufacturing methods
DE10019090A1 (de) 2000-04-12 2001-10-25 Infineon Technologies Ag Grabenkondensator sowie dazugehöriges Herstellungsverfahren
US6365485B1 (en) * 2000-04-19 2002-04-02 Promos Tech., Inc, DRAM technology of buried plate formation of bottle-shaped deep trench
US6475859B1 (en) * 2000-06-13 2002-11-05 Infineon Technologies Ag Plasma doping for DRAM with deep trenches and hemispherical grains
US6358867B1 (en) 2000-06-16 2002-03-19 Infineon Technologies Ag Orientation independent oxidation of silicon
US6833329B1 (en) 2000-06-22 2004-12-21 Micron Technology, Inc. Methods of forming oxide regions over semiconductor substrates
US6686298B1 (en) 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US6660657B1 (en) * 2000-08-07 2003-12-09 Micron Technology, Inc. Methods of incorporating nitrogen into silicon-oxide-containing layers
DE10045694A1 (de) * 2000-09-15 2002-04-04 Infineon Technologies Ag Halbleiterspeicherzelle mit Grabenkondensator und Auswahltransistor und Verfahren zu ihrer Herstellung
TW483111B (en) * 2001-06-08 2002-04-11 Promos Technologies Inc Method for forming contact of memory device
TWI262561B (en) * 2001-06-12 2006-09-21 Promos Technologies Inc Method of forming ultra-shallow junction devices and its application in a memory device
US6391703B1 (en) * 2001-06-28 2002-05-21 International Business Machines Corporation Buried strap for DRAM using junction isolation technique
US6475906B1 (en) * 2001-07-05 2002-11-05 Promos Technologies, Inc. Gate contact etch sequence and plasma doping method for sub-150 NM DT-based DRAM devices
US6448131B1 (en) * 2001-08-14 2002-09-10 International Business Machines Corporation Method for increasing the capacitance of a trench capacitor
US6878585B2 (en) 2001-08-29 2005-04-12 Micron Technology, Inc. Methods of forming capacitors
US6951822B2 (en) * 2001-09-28 2005-10-04 Infineon Technologies North America Corp. Method for forming inside nitride spacer for deep trench device DRAM cell
US6620699B2 (en) * 2001-09-28 2003-09-16 Infineon Technologies North America Corp. Method for forming inside nitride spacer for deep trench device DRAM cell
US6544855B1 (en) * 2001-10-19 2003-04-08 Infineon Technologies Ag Process flow for sacrificial collar with polysilicon void
US6528367B1 (en) 2001-11-30 2003-03-04 Promos Technologies, Inc. Self-aligned active array along the length direction to form un-biased buried strap formation for sub-150 NM BEST DRAM devices
US6723599B2 (en) 2001-12-03 2004-04-20 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
US20030107111A1 (en) 2001-12-10 2003-06-12 International Business Machines Corporation A 3-d microelectronic structure including a vertical thermal nitride mask
US6759335B2 (en) 2001-12-12 2004-07-06 Promos Technologies, Inc. Buried strap formation method for sub-150 nm best DRAM devices
DE10208774B4 (de) * 2002-02-28 2005-09-15 Infineon Technologies Ag Verfahren zur Herstellung einer Speicherzelle
US7101768B2 (en) * 2002-09-27 2006-09-05 International Business Machines Corporation Self-aligned selective hemispherical grain deposition process and structure for enhanced capacitance trench capacitor
US6849495B2 (en) * 2003-02-28 2005-02-01 Infineon Technologies Ag Selective silicidation scheme for memory devices
TW584931B (en) * 2003-04-10 2004-04-21 Nanya Technology Corp Collar dielectric process for preventing top size of deep trench from enlargement
US6987042B2 (en) * 2003-05-30 2006-01-17 International Business Machines Corporation Method of forming a collar using selective SiGe/Amorphous Si Etch
TW591756B (en) * 2003-06-05 2004-06-11 Nanya Technology Corp Method of fabricating a memory cell with a single sided buried strap
CN1309050C (zh) * 2003-06-11 2007-04-04 南亚科技股份有限公司 具有单边埋入带的存储单元的制造方法
US6967137B2 (en) * 2003-07-07 2005-11-22 International Business Machines Corporation Forming collar structures in deep trench capacitors with thermally stable filler material
TWI223385B (en) * 2003-09-04 2004-11-01 Nanya Technology Corp Trench device structure with single side buried strap and method for fabricating the same
TWI229414B (en) * 2003-10-03 2005-03-11 Promos Technologies Inc Method of fabricating deep trench capacitor
US7012021B2 (en) * 2004-01-29 2006-03-14 Taiwan Semiconductor Mfg Method for end point detection polysilicon chemical mechanical polishing in an anti-fuse memory device
KR100532509B1 (ko) * 2004-03-26 2005-11-30 삼성전자주식회사 SiGe를 이용한 트렌치 커패시터 및 그 형성방법
US20060043066A1 (en) * 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
JP2006310601A (ja) * 2005-04-28 2006-11-09 Toshiba Corp 半導体装置およびその製造方法
US7465642B2 (en) * 2005-10-28 2008-12-16 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars
KR100707803B1 (ko) * 2005-10-28 2007-04-17 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조방법
US7427545B2 (en) * 2005-11-21 2008-09-23 International Business Machines Corporation Trench memory cells with buried isolation collars, and methods of fabricating same
US7385275B2 (en) * 2006-02-15 2008-06-10 International Business Machines Corporation Shallow trench isolation method for shielding trapped charge in a semiconductor device
US7491604B2 (en) * 2006-03-07 2009-02-17 International Business Machines Corporation Trench memory with monolithic conducting material and methods for forming same
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7446036B1 (en) * 2007-12-18 2008-11-04 International Business Machines Corporation Gap free anchored conductor and dielectric structure and method for fabrication thereof
US8008160B2 (en) 2008-01-21 2011-08-30 International Business Machines Corporation Method and structure for forming trench DRAM with asymmetric strap
US7858485B2 (en) 2008-08-14 2010-12-28 International Business Machines Corporation Structure and method for manufacturing trench capacitance
US7977172B2 (en) * 2008-12-08 2011-07-12 Advanced Micro Devices, Inc. Dynamic random access memory (DRAM) cells and methods for fabricating the same
US7999300B2 (en) * 2009-01-28 2011-08-16 Globalfoundries Singapore Pte. Ltd. Memory cell structure and method for fabrication thereof
US8492817B2 (en) * 2009-02-13 2013-07-23 International Business Machines Corporation Highly scalable trench capacitor
US20130043559A1 (en) * 2011-08-17 2013-02-21 International Business Machines Corporation Trench formation in substrate
US8637365B2 (en) 2012-06-06 2014-01-28 International Business Machines Corporation Spacer isolation in deep trench
WO2016178837A1 (en) * 2015-05-07 2016-11-10 Finscale Inc. Semiconductor devices made of vertical planar elements and methods of their fabrication

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910000246B1 (ko) * 1988-02-15 1991-01-23 삼성전자 주식회사 반도체 메모리장치
US5422294A (en) * 1993-05-03 1995-06-06 Noble, Jr.; Wendell P. Method of making a trench capacitor field shield with sidewall contact
US5360758A (en) * 1993-12-03 1994-11-01 International Business Machines Corporation Self-aligned buried strap for trench type DRAM cells
US5593912A (en) * 1994-10-06 1997-01-14 International Business Machines Corporation SOI trench DRAM cell for 256 MB DRAM and beyond
US5658816A (en) * 1995-02-27 1997-08-19 International Business Machines Corporation Method of making DRAM cell with trench under device for 256 Mb DRAM and beyond
EP0735581A1 (en) * 1995-03-30 1996-10-02 Siemens Aktiengesellschaft DRAM trench capacitor with insulating collar
US5656535A (en) * 1996-03-04 1997-08-12 Siemens Aktiengesellschaft Storage node process for deep trench-based DRAM

Also Published As

Publication number Publication date
KR100598301B1 (ko) 2006-07-07
US6008104A (en) 1999-12-28
CN1134845C (zh) 2004-01-14
TW454341B (en) 2001-09-11
KR19990082940A (ko) 1999-11-25
EP0949680A3 (en) 2000-03-29
EP0949680A2 (en) 1999-10-13
CN1240303A (zh) 2000-01-05

Similar Documents

Publication Publication Date Title
JPH11330403A (ja) トレンチキャパシタの製造方法
US6310375B1 (en) Trench capacitor with isolation collar and corresponding manufacturing method
US6018174A (en) Bottle-shaped trench capacitor with epi buried layer
US6200873B1 (en) Production method for a trench capacitor with an insulation collar
US6204112B1 (en) Process for forming a high density semiconductor device
US6319788B1 (en) Semiconductor structure and manufacturing methods
US7262090B2 (en) Random access memory (RAM) capacitor in shallow trench isolation with improved electrical isolation to overlying gate electrodes
US5945704A (en) Trench capacitor with epi buried layer
US6509599B1 (en) Trench capacitor with insulation collar and method for producing the trench capacitor
US6410391B1 (en) Method for producing an EEPROM memory cell with a trench capacitor
US5843820A (en) Method of fabricating a new dynamic random access memory (DRAM) cell having a buried horizontal trench capacitor
US6163045A (en) Reduced parasitic leakage in semiconductor devices
US6080618A (en) Controllability of a buried device layer
US6608341B2 (en) Trench capacitor with capacitor electrodes
US6309924B1 (en) Method of forming self-limiting polysilicon LOCOS for DRAM cell
US6828191B1 (en) Trench capacitor with an insulation collar and method for producing a trench capacitor
JPH11345949A (ja) 半導体集積回路
US6399977B1 (en) Reducing oxidation stress in the fabrication of devices
JP3877997B2 (ja) ダイナミックランダムアクセスメモリセルを形成する方法
JPH11204758A (ja) 半導体基板に埋蔵した水平型トレンチコンデンサの製造方法
US7026210B2 (en) Method for forming a bottle-shaped trench

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060111

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20080219