JPH11315379A - Thin film forming device - Google Patents

Thin film forming device

Info

Publication number
JPH11315379A
JPH11315379A JP13774798A JP13774798A JPH11315379A JP H11315379 A JPH11315379 A JP H11315379A JP 13774798 A JP13774798 A JP 13774798A JP 13774798 A JP13774798 A JP 13774798A JP H11315379 A JPH11315379 A JP H11315379A
Authority
JP
Japan
Prior art keywords
substrate
plasma
thin film
film forming
chamber container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP13774798A
Other languages
Japanese (ja)
Other versions
JP3991446B2 (en
Inventor
Eiji Takahashi
英治 高橋
Hiroya Kirimura
浩哉 桐村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nissin Electric Co Ltd
Original Assignee
Nissin Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nissin Electric Co Ltd filed Critical Nissin Electric Co Ltd
Priority to JP13774798A priority Critical patent/JP3991446B2/en
Publication of JPH11315379A publication Critical patent/JPH11315379A/en
Application granted granted Critical
Publication of JP3991446B2 publication Critical patent/JP3991446B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Chemical Vapour Deposition (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a thin film forming device capable of forming a thin film good in crystallinity even without heating a substrate to a high temp. SOLUTION: A plasma chamber vessel 20 to be introduced with a gas 24 for generating plasma is made adjacent and communicated to a film forming chamber vessel 2 to be introduced with a gaseous starting material 6 with an insulating material interposed. The inside of the film forming chamber vessel 2 is provided with a substrate holder 8 holding a substrate 10, and moreover, the vicinity of the surface of the substrate 10 is provided with a filament 12 activating the gaseous starting material 6 by electrons and heat. In the plasma chamber vessel 20, a gas 24 for generating plasma is ionized by high frequency discharge to generate plasma 32. A high frequency electrode 26 and a high frequency power source 28 are provided therefor. A porous electrode 34 having a potential same as that of the plasma chamber vessel 20 is provided so as to partition the space between both chambers 2 and 20. Moreover, the space among the plasma chamber vessel 20, the porous electrode 34 and the substrate holder 8 is provided with a DC power source 38 applying DC voltage VD with the side of the porous electrode 34 as the straight polarity.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】この発明は、CVD(化学気
相成長)法によって基板上に薄膜を形成する薄膜形成装
置に関し、より具体的には、基板を高温に加熱しなくて
も結晶性の良好な薄膜の形成を可能にする手段に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a thin film forming apparatus for forming a thin film on a substrate by a CVD (Chemical Vapor Deposition) method, and more specifically, to a thin film forming apparatus without heating the substrate to a high temperature. The present invention relates to means for enabling formation of a good thin film.

【0002】[0002]

【従来の技術】液晶ディスプレイを構成する薄膜トラン
ジスタ(TFT)、半導体集積回路、太陽電池等を製造
するために、基板上に結晶性を有する薄膜、例えばシリ
コン薄膜を形成することが行われている。
2. Description of the Related Art In order to manufacture a thin film transistor (TFT), a semiconductor integrated circuit, a solar cell, and the like constituting a liquid crystal display, a crystalline thin film, for example, a silicon thin film is formed on a substrate.

【0003】このような結晶性を有する薄膜の形成に
は、熱によって原料ガスを活性化する熱CVD法、
プラズマによって原料ガスを活性化するプラズマCVD
法、真空蒸着に電子ビーム蒸発源を用いる電子ビーム
蒸発法、ターゲットをスパッタして薄膜を形成するス
パッタ法、等が用いられている。また近年は、上記方法
で成膜したアモルファス状または結晶性の低い薄膜を高
温の熱処理によって再結晶化させることで、結晶性を有
する薄膜を得ることも行われている。
A thin film having such crystallinity is formed by a thermal CVD method in which a source gas is activated by heat.
Plasma CVD for activating source gas by plasma
An electron beam evaporation method using an electron beam evaporation source for vacuum deposition, a sputtering method of forming a thin film by sputtering a target, and the like are used. In recent years, a thin film having crystallinity has also been obtained by recrystallizing an amorphous or low-crystallinity thin film formed by the above method by a high-temperature heat treatment.

【0004】[0004]

【発明が解決しようとする課題】ところが、熱CVD法
では、通常は基板を800℃程度以上の高温に加熱する
必要があるため、軟化点が800℃以下の基板に成膜す
ることはできない。
However, in the thermal CVD method, it is usually necessary to heat the substrate to a high temperature of about 800 ° C. or more, so that a film having a softening point of 800 ° C. or less cannot be formed.

【0005】プラズマCVD法は、熱CVD法よりも基
板温度が低くても良いけれども、それでも600℃程度
以上の基板温度が必要である。しかもプラズマCVD法
では、基板がプラズマに曝されてプラズマ中に存在する
様々なエネルギーを持つ(即ちエネルギーの不揃いな)
イオンが基板に入射するため、しかも成膜中常に入射す
るのでイオン入射が過多になるため、基板上の薄膜の結
晶成長が妨げられたり、膜中にダメージ(欠陥)が発生
したりして、結晶性の良好な薄膜を形成することが困難
である。
[0005] The plasma CVD method may have a lower substrate temperature than the thermal CVD method, but still requires a substrate temperature of about 600 ° C or more. Moreover, in the plasma CVD method, the substrate is exposed to the plasma and has various energies existing in the plasma (that is, the energies are not uniform).
Since the ions are incident on the substrate and are always incident during the film formation, the ion incidence is excessive, so that the crystal growth of the thin film on the substrate is hindered, and damage (defect) occurs in the film. It is difficult to form a thin film having good crystallinity.

【0006】電子ビーム蒸着法やスパッタ法でも、薄膜
の結晶性を良くするためには、700℃程度以上の基板
温度が必要である。
[0006] Even in the electron beam evaporation method and the sputtering method, a substrate temperature of about 700 ° C or more is required to improve the crystallinity of the thin film.

【0007】いずれの方法の場合も、基板は上記のよう
な高温度に耐える必要があるので、基板の種類が限定さ
れる。例えば、低融点ガラス基板のような、軟化点が6
00℃以下の安価な基板に成膜することはできない。
In any of the methods, the substrate must withstand the high temperature as described above, so that the type of the substrate is limited. For example, the softening point is 6 such as a low melting glass substrate.
A film cannot be formed on an inexpensive substrate at a temperature of 00 ° C. or lower.

【0008】成膜後に熱処理を行う場合は、成膜と熱処
理という2段階処理になるため、工程が増えて生産性が
低下する。
In the case where heat treatment is performed after film formation, a two-step process of film formation and heat treatment is performed, so that the number of steps is increased and productivity is reduced.

【0009】また、熱処理は、800℃程度以上の高温
度で比較的短時間の熱処理を行う場合と、600℃程度
で長時間(例えば20時間以上)の熱処理を行う場合と
があるけれども、いずれの場合も基板温度が高いので、
やはり基板の種類が限定される。かつ後者の場合は、熱
処理時間が長くかかるので生産性(スループット)が一
層低下する。
The heat treatment may be performed at a high temperature of about 800 ° C. or more for a relatively short time, or at about 600 ° C. for a long time (eg, 20 hours or more). In the case of, the substrate temperature is high,
Again, the type of substrate is limited. In the latter case, the heat treatment takes a long time, so that the productivity (throughput) is further reduced.

【0010】そこでこの発明は、基板を高温に加熱しな
くても結晶性の良好な薄膜を形成することができる薄膜
形成装置を提供することを主たる目的とする。
Accordingly, it is a primary object of the present invention to provide a thin film forming apparatus capable of forming a thin film having good crystallinity without heating the substrate to a high temperature.

【0011】[0011]

【課題を解決するための手段】この発明の薄膜形成装置
は、真空に排気されるものであって薄膜形成用の原料ガ
スが導入される成膜室容器と、この成膜室容器に絶縁物
を介在させて隣接かつ連通していてプラズマ生成用ガス
が導入されるプラズマ室容器と、このプラズマ室容器内
でプラズマ生成用ガスを電離させてプラズマを生成する
プラズマ生成手段と、前記プラズマ室容器と成膜室容器
との間を仕切るように設けられていてプラズマ室容器と
同電位の多孔電極と、この多孔電極に対向するように前
記成膜室容器内に設けられていて多孔電極に向けて基板
を保持する基板ホルダと、前記プラズマ室容器およびそ
れと同電位の多孔電極と基板ホルダとの間に、多孔電極
側を正極性にして直流電圧を印加する直流電源と、前記
基板ホルダ上の基板の表面近傍に設けられていて電子お
よび熱を放出して前記原料ガスを活性化するフィラメン
トと、このフィラメントを加熱するフィラメント電源と
を備えることを特徴としている。
According to the present invention, there is provided a thin film forming apparatus which is evacuated to a vacuum and into which a raw material gas for forming a thin film is introduced. A plasma chamber container into which a plasma generation gas is introduced adjacent and in communication with a plasma generation gas, plasma generation means for ionizing the plasma generation gas in the plasma chamber container to generate plasma, and the plasma chamber container And a porous electrode provided at the same potential as the plasma chamber container, and provided in the film forming chamber container so as to face the porous electrode and facing the porous electrode. A substrate holder for holding a substrate, a DC power supply for applying a DC voltage with the porous electrode side being positive, and a DC power supply between the plasma chamber container and the porous electrode and the substrate holder at the same potential as the substrate holder; Base Be provided in the vicinity of the surface of being a filament activating said raw material gas to release electrons and heat, characterized in that it comprises a filament power supply for heating the filament.

【0012】上記構成によれば、フィラメントから放出
される電子および熱によって、基板の表面近傍において
原料ガスが励起、活性化されて励起活性種が作られ、こ
れが基板の表面に堆積して薄膜が形成される。
According to the above configuration, the source gas is excited and activated in the vicinity of the surface of the substrate by the electrons and heat emitted from the filament to produce excited active species, which are deposited on the surface of the substrate to form a thin film. It is formed.

【0013】一方、プラズマ室容器および多孔電極に直
流電源から正極性の直流電圧を印加することによって、
プラズマ室容器内のプラズマから多孔電極を通してイオ
ン(正イオン)が引き出されて基板に照射される。しか
もこのイオンのエネルギーは、実質的に上記直流電圧の
大きさで決まるので、エネルギーの揃ったイオンを基板
に入射させることができる。また、基板に入射するイオ
ンの量は、プラズマ室容器内で生成するプラズマ密度を
制御する以外に、上記直流電圧の大きさによっても制御
することができる。これは、多孔電極を通して引き出さ
れるイオンの量は、当該多孔電極に印加する上記直流電
圧の大きさの3/2乗に比例するからである。
On the other hand, by applying a positive DC voltage from a DC power source to the plasma chamber container and the porous electrode,
Ions (positive ions) are extracted from the plasma in the plasma chamber container through the porous electrode and irradiated to the substrate. In addition, since the energy of the ions is substantially determined by the magnitude of the DC voltage, ions having the same energy can be incident on the substrate. The amount of ions incident on the substrate can be controlled not only by controlling the plasma density generated in the plasma chamber container but also by the magnitude of the DC voltage. This is because the amount of ions extracted through the porous electrode is proportional to the 3/2 power of the DC voltage applied to the porous electrode.

【0014】このようにして、基板に入射するイオンの
エネルギーを揃えると共に、当該イオンのエネルギーお
よび基板への入射量の制御が可能になり、これによって
基板上に形成される薄膜の結晶化を促進して、薄膜の結
晶性を向上させることが可能になる。結晶化が促進され
るのは、照射イオンのエネルギーを基板上に堆積した膜
に与えて励起することができるからである。
In this way, the energy of the ions incident on the substrate can be made uniform, and the energy of the ions and the amount of the ions incident on the substrate can be controlled, thereby promoting the crystallization of the thin film formed on the substrate. Thus, the crystallinity of the thin film can be improved. The crystallization is promoted because the energy of irradiation ions can be given to the film deposited on the substrate to excite the film.

【0015】その結果、基板を高温に加熱しなくても、
結晶性の良好な薄膜を形成することができる。
As a result, without heating the substrate to a high temperature,
A thin film having good crystallinity can be formed.

【0016】[0016]

【発明の実施の形態】図1は、この発明に係る薄膜形成
装置の一例を示す断面図である。この薄膜形成装置は、
図示しない真空排気装置によって真空に排気される成膜
室容器2を備えている。この成膜室容器2は、この例で
は上面に開口部3を有している。この成膜室容器2は、
この例では電気的に接地されており、接地電位にある。
FIG. 1 is a sectional view showing an example of a thin film forming apparatus according to the present invention. This thin film forming apparatus
The apparatus includes a film forming chamber container 2 that is evacuated to a vacuum by a vacuum exhaust device (not shown). The film forming chamber container 2 has an opening 3 on the upper surface in this example. This film forming chamber container 2
In this example, it is electrically grounded and is at ground potential.

【0017】成膜室容器2内には、その上記開口部3に
向けて、即ち後述する多孔電極34に対向するように、
基板ホルダ8が設けられている。この基板ホルダ8は、
その上面に、即ち多孔電極34に向くように、基板10
を保持する。この基板ホルダ8は、この例では導体から
成り、かつ電気的に接地されていて、接地電位にある。
基板ホルダ8の内部またはその裏面近傍には、この例で
は基板10を加熱するヒータ9が設けられている。
In the film forming chamber container 2, toward the opening 3, that is, facing the porous electrode 34 described later,
A substrate holder 8 is provided. This substrate holder 8
On the upper surface, that is, toward the porous electrode 34, the substrate 10
Hold. This substrate holder 8 consists of a conductor in this example and is electrically grounded and at ground potential.
In this example, a heater 9 for heating the substrate 10 is provided inside the substrate holder 8 or near the back surface thereof.

【0018】成膜室容器2内には、原料ガス導入手段に
よって、具体的にはこの例ではガス導入管4を通して、
薄膜形成用の原料ガス6が導入される。原料ガス6は、
基板10上に形成しようとする薄膜を構成する元素を含
むガスである。例えば、シリコン薄膜を形成する場合
は、シリコンを含むシリコン系ガスである。より具体的
には、SiH4 、Si26 等の水素化シリコンガス、Si
6 等のフッ化シリコンガス、SiCl4等の塩化シリコ
ンガス、またはこれらの混合ガス、またはこれらの水素
希釈ガスである。
In the film forming chamber container 2, raw material gas introduction means, specifically, in this example, through a gas introduction pipe 4,
A source gas 6 for forming a thin film is introduced. The source gas 6 is
This is a gas containing an element constituting a thin film to be formed on the substrate 10. For example, when a silicon thin film is formed, a silicon-based gas containing silicon is used. More specifically, silicon hydride gas such as SiH 4 , Si 2 H 6 , Si
A silicon fluoride gas such as F 6 , a silicon chloride gas such as SiCl 4 , a mixed gas thereof, or a hydrogen diluent gas thereof.

【0019】原料ガス6は、基板10の表面近傍のガス
圧が1×10-2Torr〜1×10-6Torrの範囲内
になるように導入するのが好ましい。通常のCVD法の
ガス圧は、1Torr〜数百Torrであるから、上記
ガス圧はこれよりもかなり低い。このようなガス圧にす
れば、後述する多孔電極34と基板10との間における
イオン36の平均自由行程を十分に確保して、基板10
に対するイオン照射効果を十分に確保することができ
る。しかも、基板10の表面近傍において生成される励
起活性種が基板表面に沿って均一に分散しやすくなるの
で、膜厚の均一性を高めることができ、より大面積の基
板10への成膜が可能になる。更に、ガス圧が低いの
で、気相中の反応が抑制され、成膜室容器2の内壁に付
着する堆積物(ごみ)を減らすことが可能になり、メン
テナンスが容易になる。
The source gas 6 is preferably introduced such that the gas pressure near the surface of the substrate 10 is in the range of 1 × 10 −2 Torr to 1 × 10 −6 Torr. Since the gas pressure of a normal CVD method is 1 Torr to several hundred Torr, the gas pressure is considerably lower than this. With such a gas pressure, a sufficient mean free path of the ions 36 between the porous electrode 34 and the substrate 10, which will be described later, is sufficiently ensured.
Can sufficiently secure the ion irradiation effect. In addition, the excited active species generated in the vicinity of the surface of the substrate 10 can be easily dispersed uniformly along the surface of the substrate, so that the uniformity of the film thickness can be improved, and the film can be formed on the substrate 10 having a larger area. Will be possible. Furthermore, since the gas pressure is low, the reaction in the gas phase is suppressed, and deposits (dust) adhering to the inner wall of the film forming chamber container 2 can be reduced, and maintenance is facilitated.

【0020】基板ホルダ8上の基板10の表面近傍に
は、電子および熱を放出して基板10の表面近傍で原料
ガス6を励起、活性化するフィラメント12が設けられ
ている。この原料ガス6の活性化を達成するために、フ
ィラメント12は、フィラメント電源16によって、例
えば1600℃〜2000℃に加熱される。14は絶縁
物である。フィラメント電源16は、交流電源でも良い
し、直流電源でも良い。
A filament 12 that emits electrons and heat to excite and activate the source gas 6 near the surface of the substrate 10 is provided near the surface of the substrate 10 on the substrate holder 8. In order to achieve the activation of the source gas 6, the filament 12 is heated by the filament power supply 16 to, for example, 1600 ° C to 2000 ° C. Reference numeral 14 denotes an insulator. The filament power supply 16 may be an AC power supply or a DC power supply.

【0021】フィラメント12は、例えば金属線(金属
ワイヤ)から成る。このフィラメント12は、上記のよ
うな高温に加熱されるため、例えばタングステン
(W)、モリブデン(Mo)、タンタル(Ta)、バナ
ジウム(V)等の高融点金属で形成するのが好ましい。
The filament 12 is made of, for example, a metal wire (metal wire). Since the filament 12 is heated to the high temperature as described above, it is preferable to form the filament 12 from a high melting point metal such as tungsten (W), molybdenum (Mo), tantalum (Ta), and vanadium (V).

【0022】フィラメント12は、例えば図2に示す例
のように、コイル状(螺旋状)に巻いた形状にすると共
に、基板ホルダ8上の基板10の周囲の近くを取り囲む
ように配置するのが好ましい。そのようにすれば、多孔
電極34から引き出されたイオン36が基板10に入射
するのを妨げない。しかも、基板10の表面近傍におい
て原料ガス6を効率良く、かつ均一性良く活性化するこ
とができるので、基板10上に効率良く、かつ均一性良
く薄膜を形成することができる。なお、基板10の形状
は、図2に示す例のように四角形でも良いし、円形でも
良い。いずれにしても、フィラメント12は、当該基板
10に沿って基板10を取り囲むように配置するのが好
ましい。
As shown in FIG. 2, for example, the filament 12 is wound in a coil shape (spiral shape) and arranged so as to surround the substrate holder 8 near the periphery of the substrate 10. preferable. This does not prevent the ions 36 extracted from the porous electrode 34 from being incident on the substrate 10. In addition, since the source gas 6 can be efficiently and uniformly activated near the surface of the substrate 10, a thin film can be efficiently and uniformly formed on the substrate 10. Note that the shape of the substrate 10 may be a square as in the example shown in FIG. 2 or a circle. In any case, the filament 12 is preferably arranged along the substrate 10 so as to surround the substrate 10.

【0023】図1に戻って、成膜室容器2の上記開口部
3には、環状の絶縁物18を介在させて、プラズマ室容
器20が隣接されている。このプラズマ室容器20は、
この例では筒状をしていて、その下面に開口部21を有
しており、この開口部21および上記開口部3を通して
成膜室容器2に連通している。このプラズマ室容器20
内に、ガス導入管22を通して、プラズマ生成用ガス2
4が導入される。プラズマ生成用ガス24は、プラズマ
室容器20内の圧力が例えば10-2〜10-4Torr程
度になるように導入される。
Returning to FIG. 1, a plasma chamber container 20 is adjacent to the opening 3 of the film forming chamber container 2 with an annular insulator 18 interposed therebetween. This plasma chamber container 20
In this example, it is cylindrical and has an opening 21 on its lower surface, and communicates with the film forming chamber container 2 through the opening 21 and the opening 3. This plasma chamber container 20
Inside, the gas for plasma generation 2 is passed through the gas introduction pipe 22.
4 is introduced. The plasma generating gas 24 is introduced so that the pressure in the plasma chamber container 20 becomes, for example, about 10 −2 to 10 −4 Torr.

【0024】プラズマ生成用ガス24には、He、N
e、Ar、Kr、Xe等の不活性ガスや、水素ガス(H
2 )、フッ素ガス(F2 )、フッ化水素(HF)等の反
応性ガス、またはこれらの混合ガスを用いるのが好まし
い。
The plasma generating gas 24 includes He, N
e, Ar, Kr, Xe, etc .;
2 ), a reactive gas such as fluorine gas (F 2 ), hydrogen fluoride (HF), or a mixed gas thereof is preferably used.

【0025】プラズマ生成用ガス24に上記不活性ガス
を用いれば、イオン36として不活性ガスイオンを引き
出して基板10に照射することができ、この不活性ガス
イオンによって、薄膜の結晶化を促進するエネルギー
を、薄膜の組成に影響を与えることなく薄膜に与えるこ
とができる。より具体的には、薄膜がシリコン薄膜の場
合、不活性ガスイオンによって、アモルファスシリコン
を形成するSi−Hの結合を切りつつ、Siに運動エネ
ルギーを与えて結晶化を促進することができる。
If the above-mentioned inert gas is used as the plasma generating gas 24, the inert gas ions can be extracted as the ions 36 and irradiated onto the substrate 10, and the inert gas ions promote the crystallization of the thin film. Energy can be applied to the film without affecting the composition of the film. More specifically, in the case where the thin film is a silicon thin film, crystallization can be promoted by giving kinetic energy to Si while cutting off Si—H bonds forming amorphous silicon by inert gas ions.

【0026】プラズマ生成用ガス24に上記反応性ガス
を用いれば、イオン36として当該反応性ガスイオンを
引き出して基板10に照射することができ、この反応性
ガスイオンによって、基板表面に形成される薄膜中のア
モルファス相のエッチングによる除去と、薄膜中のダン
グリングボンドまたは欠陥の低減とを行うことができ
る。より具体的には、薄膜がシリコン薄膜の場合、反応
性ガスイオンによって、Si−Hの結合を化学反応と運
動エネルギーの両方によって切りつつ、Siに運動エネ
ルギーを与えて結晶化を促進することができる。従っ
て、より結晶性の良好な薄膜の形成が可能になる。プラ
ズマ生成用ガス24に上記不活性ガスと反応性ガスとの
混合ガスを用いれば、両者の上記作用を併用することが
できる。
When the above-mentioned reactive gas is used as the plasma generating gas 24, the reactive gas ions can be extracted as the ions 36 and irradiated on the substrate 10, and formed on the substrate surface by the reactive gas ions. The removal of the amorphous phase in the thin film by etching and the reduction of dangling bonds or defects in the thin film can be performed. More specifically, when the thin film is a silicon thin film, it is possible to promote crystallization by giving kinetic energy to Si while cutting off the bond of Si-H by both a chemical reaction and kinetic energy by reactive gas ions. it can. Therefore, a thin film having better crystallinity can be formed. If a mixed gas of the above-mentioned inert gas and reactive gas is used as the plasma generation gas 24, the above-mentioned actions of both can be used in combination.

【0027】この例では、次のような高周波電極26お
よび高周波電源28等によって、プラズマ室容器20内
でプラズマ生成用ガス24を電離させてプラズマ32を
生成するプラズマ生成手段を構成している。即ち、プラ
ズマ室容器20内に、当該プラズマ室容器20から電気
的に絶縁して、高周波電極26を設けている。31は絶
縁物である。この高周波電極26は、この例では板状を
しており、プラズマ室容器20内の天井近くに配置して
いる。この高周波電極26とプラズマ室容器20との間
に、整合回路30を介して高周波電源28を接続してお
り、この高周波電源28から高周波電極26とプラズマ
室容器20との間に高周波電力が供給される。これによ
って、高周波電極26とプラズマ室容器20間で高周波
放電を生じさせて、プラズマ室容器20内においてプラ
ズマ生成用ガス24を電離させてプラズマ32を生成す
ることができる。高周波電力の周波数は、例えば13.
56MHz、50MHzあるいは60MHz等である。
In this example, a plasma generating means for generating a plasma 32 by ionizing the plasma generating gas 24 in the plasma chamber container 20 by the following high-frequency electrode 26 and high-frequency power supply 28 is constituted. That is, the high-frequency electrode 26 is provided in the plasma chamber container 20 so as to be electrically insulated from the plasma chamber container 20. 31 is an insulator. The high-frequency electrode 26 has a plate shape in this example, and is arranged near the ceiling in the plasma chamber container 20. A high-frequency power supply 28 is connected between the high-frequency electrode 26 and the plasma chamber 20 via a matching circuit 30, and high-frequency power is supplied from the high-frequency power supply 28 between the high-frequency electrode 26 and the plasma chamber 20. Is done. As a result, a high-frequency discharge is generated between the high-frequency electrode 26 and the plasma chamber container 20, and the plasma generating gas 24 is ionized in the plasma chamber container 20 to generate the plasma 32. The frequency of the high-frequency power is, for example, 13.
It is 56 MHz, 50 MHz, 60 MHz or the like.

【0028】但し、プラズマ生成手段は、上記以外に、
プラズマ室容器20内にマイクロ波、紫外線またはレー
ザ光を導入してそれによってプラズマ生成用ガス24を
励起してプラズマ32を生成するものでも良い。
However, the plasma generating means is, in addition to the above,
Microwave, ultraviolet light or laser light may be introduced into the plasma chamber 20 to excite the plasma generating gas 24 to generate the plasma 32.

【0029】プラズマ室容器20の開口部21付近に、
プラズマ室容器20と成膜室容器2との間を仕切るよう
に、多数の孔(小孔)を有する多孔電極34を設けてい
る。この多孔電極34は、プラズマ室容器20に電気的
に接続されていてプラズマ室容器20と同電位にある。
この多孔電極34は、多数の孔を有する板状の電極でも
良いし、多数の孔を有する網状のメッシュ電極でも良
い。メッシュ電極の方が、開口率を上げやすいので好ま
しい。
In the vicinity of the opening 21 of the plasma chamber container 20,
A porous electrode 34 having a large number of holes (small holes) is provided so as to partition between the plasma chamber container 20 and the film forming chamber container 2. This porous electrode 34 is electrically connected to the plasma chamber container 20 and is at the same potential as the plasma chamber container 20.
The porous electrode 34 may be a plate-like electrode having a large number of holes or a mesh-like mesh electrode having a large number of holes. The mesh electrode is preferable because the aperture ratio is easily increased.

【0030】更に、プラズマ室容器20およびそれと同
電位の多孔電極34とアース(接地電位部)との間に直
流電源38を接続して、この直流電源38からプラズマ
室容器20および多孔電極34と基板ホルダ8との間
に、多孔電極34側を正極性にして直流電圧VD を印加
することができるようにしている。この直流電圧VD
大きさは、10V〜1000Vの範囲内が好ましい。1
0V未満だと、引き出すイオン36のエネルギーが小さ
くなり過ぎて、イオン照射による薄膜の結晶化促進効果
が十分に得られない。1000Vを超えると、照射イオ
ンのエネルギーが大きくなり過ぎて、かえって薄膜にダ
メージを与えて結晶性を低下させるようになる。
Further, a DC power supply 38 is connected between the plasma chamber container 20 and the porous electrode 34 having the same potential as the ground electrode and a ground (ground potential portion), and the DC power supply 38 connects the plasma chamber container 20 and the porous electrode 34 to each other. between the substrate holder 8, so that it is possible to apply a DC voltage V D of the porous electrode 34 side in the positive polarity. The magnitude of this DC voltage V D is in the range of 10V~1000V is preferred. 1
If the voltage is less than 0 V, the energy of the extracted ions 36 becomes too small, and the effect of promoting the crystallization of the thin film by the ion irradiation cannot be sufficiently obtained. When the voltage exceeds 1000 V, the energy of the irradiated ions becomes too large, and rather damages the thin film and lowers the crystallinity.

【0031】この薄膜形成装置によれば、フィラメント
12から放出される電子および熱によって、基板ホルダ
8上の基板10の表面近傍において原料ガス6が励起、
活性化されて励起活性種が作られ、これが基板10の表
面に堆積して薄膜が形成される。例えば、原料ガス6が
前述した水素希釈のシラン(SiH4 /H2 )の場合、
SiH2 * 、SiH3 * 等のラジカルが基板10に堆積して
シリコン薄膜を形成する。しかもこのとき、プラズマC
VD法の場合と違って基板10をプラズマに曝す訳では
ないので、プラズマCVD法の場合に問題となってい
た、エネルギーの不揃いなイオンが基板10に過多に入
射するのを防止することができる。
According to the thin film forming apparatus, the source gas 6 is excited near the surface of the substrate 10 on the substrate holder 8 by the electrons and heat emitted from the filament 12.
When activated, excited excited species are produced, which are deposited on the surface of the substrate 10 to form a thin film. For example, when the source gas 6 is the above-described hydrogen-diluted silane (SiH 4 / H 2 ),
Radicals such as SiH 2 * and SiH 3 * are deposited on the substrate 10 to form a silicon thin film. Moreover, at this time, the plasma C
Unlike the case of the VD method, the substrate 10 is not exposed to plasma, so that it is possible to prevent the ions having irregular energy from being excessively incident on the substrate 10 which has been a problem in the case of the plasma CVD method. .

【0032】一方、プラズマ室容器20および多孔電極
34に直流電源38から正極性の直流電圧VD を印加す
ることによって、プラズマ室容器20内のプラズマ32
から多孔電極34を通してイオン(正イオン)36が引
き出されて、基板ホルダ8上の基板10に照射される。
しかもこのイオン36のエネルギーは、実質的に上記直
流電圧VD の大きさで決まるので、エネルギーの揃った
イオン36を基板10に入射させることができる。ま
た、基板10に入射するイオンの量は、プラズマ室容器
20内で生成するプラズマ密度を制御する以外に、上記
直流電圧VD の大きさによっても制御することができ
る。これは、多孔電極34を通して引き出されるイオン
36の量は、当該多孔電極34に印加する上記直流電圧
D の大きさの3/2乗に比例するからである。
On the other hand, by applying a positive DC voltage V D from the DC power supply 38 to the plasma chamber container 20 and the porous electrode 34, the plasma 32 in the plasma chamber container 20 is
(Positive ions) 36 are extracted from the substrate through the porous electrode 34 and irradiated onto the substrate 10 on the substrate holder 8.
Moreover the energy of the ions 36, since substantially determined by the magnitude of the DC voltage V D, the energy of uniform ion 36 can be incident on the substrate 10. The amount of ions incident on the substrate 10, in addition to control the plasma density generated in the plasma chamber container 20 inside, can be controlled by the magnitude of the DC voltage V D. This is the amount of ions 36 to be drawn through the porous electrode 34 is proportional to 3/2 square of the magnitude of the DC voltage V D applied to the porous electrode 34.

【0033】このようにして、基板10に入射するイオ
ン36のエネルギーを揃えると共に、当該イオン36の
エネルギーおよび基板10への入射量の制御が可能にな
り、これによって、基板10上に形成される薄膜の結晶
化を促進して、薄膜の結晶性を向上させることが可能に
なる。結晶化が促進されるのは、照射イオンのエネルギ
ーを基板10上に堆積した膜に与えて励起することがで
きるからである。
In this way, the energy of the ions 36 incident on the substrate 10 can be made uniform, and the energy of the ions 36 and the amount of incident ions on the substrate 10 can be controlled. By promoting crystallization of the thin film, it is possible to improve the crystallinity of the thin film. The crystallization is promoted because the energy of irradiation ions can be applied to the film deposited on the substrate 10 to excite the film.

【0034】その結果、基板10を従来のように高温に
加熱しなくても、結晶性の良好な薄膜を形成することが
できる。例えば、基板10の温度が400℃以下という
低温で、基板10上に結晶性の良好な多結晶シリコン
(p−Si)薄膜を形成することも可能になる。その結
果、基板10の種類の選択範囲が広がり、例えば低融点
ガラス基板のような安価な基板上にも良好な結晶性を有
する薄膜を形成することが可能になる。また、成膜工程
のみによって結晶性の良好な薄膜の形成が可能になるの
で、従来用いられていた、成膜後に薄膜に熱処理を加え
て結晶化させるという2段階処理を省くことが可能にな
り、処理工程の低減および生産性の向上を図ることも可
能になる。また、結晶性を高めるために成膜後に熱処理
を行う場合でも、熱処理の低温化や処理時間の短縮が可
能になる。
As a result, a thin film having good crystallinity can be formed without heating the substrate 10 to a high temperature unlike the related art. For example, a polycrystalline silicon (p-Si) thin film having good crystallinity can be formed on the substrate 10 at a low temperature of 400 ° C. or lower. As a result, the selection range of the type of the substrate 10 is expanded, and a thin film having good crystallinity can be formed on an inexpensive substrate such as a low-melting glass substrate. In addition, since a thin film having good crystallinity can be formed only by the film forming process, it is possible to omit the two-step process of heating and crystallizing the thin film after film formation, which has been conventionally used. In addition, the number of processing steps can be reduced and the productivity can be improved. Further, even when heat treatment is performed after film formation in order to increase crystallinity, the temperature of the heat treatment can be reduced and the processing time can be reduced.

【0035】しかも、通常はイオン照射によって基板1
0の表面が正にチャージアップ(帯電)する可能性があ
るけれども、この装置ではフィラメント12から放出さ
れる熱電子によってイオンの正電荷を中和することがで
きるので、イオン照射に伴う基板10のチャージアップ
を抑制することが可能になる。その結果、基板10に入
射するイオン36を押し戻す(即ち当該イオン36のエ
ネルギーを低下させる)作用を抑えることができるの
で、基板10に目的どおりのエネルギーでイオン36を
入射させることが可能になり、イオン照射による薄膜の
結晶化促進効果をより確実なものにすることが可能にな
る。特に、基板10が絶縁物の場合や、基板10の表面
に絶縁性の薄膜を形成する場合は、イオン照射に伴って
基板10の表面がチャージアップしやすいので、上記の
ようにして熱電子を基板10に入射させる効果は著し
い。
Moreover, the substrate 1 is usually irradiated with ions.
Although the surface of 0 may be positively charged (charged), this device can neutralize the positive charge of ions by thermionic electrons emitted from the filament 12, so that the substrate 10 accompanying the ion irradiation can be neutralized. Charge-up can be suppressed. As a result, the action of pushing back the ions 36 incident on the substrate 10 (that is, reducing the energy of the ions 36) can be suppressed, so that the ions 36 can be incident on the substrate 10 with the intended energy. The effect of promoting crystallization of the thin film by ion irradiation can be further ensured. In particular, when the substrate 10 is an insulator or when an insulating thin film is formed on the surface of the substrate 10, the surface of the substrate 10 is easily charged up with ion irradiation. The effect of making the light incident on the substrate 10 is remarkable.

【0036】また、この薄膜形成装置では、成膜前、成
膜中または成膜後に基板10にイオン36を照射するこ
ともでき、その際に任意にイオン36のエネルギーやイ
オン種等の選択が可能であるので、基板の表面励起、薄
膜中の応力制御、薄膜の結晶性制御、結晶粒径制御、結
晶配向制御、密着力制御等、従来の熱CVD法やプラズ
マCVD法等では不可能な制御も可能になる。
Further, in this thin film forming apparatus, the substrate 10 can be irradiated with the ions 36 before, during or after the film formation. At this time, the energy of the ions 36 and the ion species can be arbitrarily selected. Since it is possible, the surface thermal excitation of the substrate, the control of the stress in the thin film, the control of the crystallinity of the thin film, the control of the crystal grain size, the control of the crystal orientation, the control of the adhesion force, etc. are impossible with the conventional thermal CVD method or plasma CVD method. Control becomes possible.

【0037】[0037]

【実施例】図1に示した装置を用いて、実施例として、
基板10上にシリコン薄膜を表1に示す条件で形成し
た。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Using the apparatus shown in FIG.
A silicon thin film was formed on the substrate 10 under the conditions shown in Table 1.

【0038】[0038]

【表1】 基板:無アルカリガラス基板 基板温度:400℃ 成膜膜厚:100nm フィラメント:タングステンワイヤ その加熱温度:1700℃ 原料ガス:SiH4 (50%)/H2 基板の表面近傍のガス圧:1×10-4Torr 高周波電力の周波数:13.56MHz プラズマ生成用ガス:H2 印加直流電圧:500V[Table 1] Substrate: non-alkali glass substrate Substrate temperature: 400 ° C Film thickness: 100 nm Filament: tungsten wire Its heating temperature: 1700 ° C Source gas: SiH 4 (50%) / gas pressure near the surface of H 2 substrate 1 × 10 −4 Torr High-frequency power frequency: 13.56 MHz Plasma generation gas: H 2 applied DC voltage: 500 V

【0039】また、比較例1として、図1と同様の装置
で、フィラメント12、フィラメント電源16、多孔電
極34および直流電源38を設けずに、プラズマ室容器
20内で生成したプラズマ32を基板ホルダ8上の基板
10の近傍に拡散させてシリコン薄膜を形成した。即
ち、フィラメント12を設けず、かつイオン照射も行わ
ず、通常のプラズマCVD法と同様にして成膜した。こ
のときは、プラズマ室容器20内にプラズマ生成用ガス
24兼原料ガス6としてSiH4 (50%)/H2を、プ
ラズマ室容器20内の圧力が2×10-1Torrになる
ように導入した。その他は上記実施例と同様の条件とし
た。
As a comparative example 1, a plasma 32 generated in the plasma chamber container 20 was placed in a substrate holder using the same apparatus as that shown in FIG. 1 and without providing the filament 12, the filament power supply 16, the porous electrode 34 and the DC power supply 38. 8 was diffused in the vicinity of the substrate 10 to form a silicon thin film. That is, a film was formed in the same manner as in a normal plasma CVD method without providing the filament 12 and without performing ion irradiation. At this time, SiH 4 (50%) / H 2 is introduced into the plasma chamber vessel 20 as the plasma generating gas 24 and the raw material gas 6 so that the pressure in the plasma chamber vessel 20 becomes 2 × 10 −1 Torr. did. Other conditions were the same as those in the above example.

【0040】更に、比較例2として、図1と同様の装置
で、フィラメント12およびフィラメント電源16を設
けずに、プラズマ室容器20内で生成したプラズマ32
から多孔電極34を通してイオン36を引き出してそれ
を基板10に照射した。このときも、プラズマ室容器2
0内にプラズマ生成用ガス24兼原料ガス6としてSi
4 (50%)/H2 を、プラズマ室容器20内の圧力
が2×10-1Torrになるように導入した。その他は
上記実施例と同様の条件とした。この場合に基板10上
にシリコン薄膜が形成されるのは、基板10を多孔電極
34の近くに配置することによって、プラズマ32中で
生成したラジカルが多孔電極34の孔を通して基板10
の表面にまで拡散して堆積するからである。
Further, as a comparative example 2, a plasma 32 generated in the plasma chamber container 20 was provided in the same apparatus as in FIG.
The ion 36 was extracted from the substrate through the porous electrode 34 and was irradiated on the substrate 10. Also at this time, the plasma chamber container 2
In Si, Si is used as the plasma generation gas 24 and the raw material gas 6.
H 4 (50%) / H 2 was introduced such that the pressure in the plasma chamber container 20 became 2 × 10 −1 Torr. Other conditions were the same as those in the above example. In this case, the silicon thin film is formed on the substrate 10 because the radicals generated in the plasma 32 pass through the holes of the porous electrode 34 by disposing the substrate 10 near the porous electrode 34.
This is because it is diffused and deposited on the surface.

【0041】上記条件で形成したシリコン薄膜の結晶性
を、レーザーラマン分光法およびX線回折法(XRD)
によって測定した。
The crystallinity of the silicon thin film formed under the above conditions was measured by laser Raman spectroscopy and X-ray diffraction (XRD).
Was measured by

【0042】ラマン分光法では、図3に示すように、比
較例1で得られた薄膜は、ラマンシフト480cm-1
近がやや高いなだらかなスペクトルが得られており、こ
れはアモルファス構造であることを示している。比較例
2で得られた薄膜は、ラマンシフト515〜520cm
-1付近に、小さいピークが出現しており、これはシリコ
ン薄膜が弱く結晶化していることを示している。これに
対して、実施例で得られた薄膜は、ラマンシフト515
〜520cm-1付近に大きなピークが出現しており、こ
れはシリコン薄膜が強く結晶化していることを示してい
る。このことから、フィラメント12およびイオン照射
を併用することによって、シリコン薄膜の結晶化促進に
大きな効果を奏することが分かる。
According to the Raman spectroscopy, as shown in FIG. 3, the thin film obtained in Comparative Example 1 has a slightly higher gentle spectrum near the Raman shift of 480 cm −1 , which has an amorphous structure. Is shown. The thin film obtained in Comparative Example 2 has a Raman shift of 515 to 520 cm.
A small peak appears near −1 , indicating that the silicon thin film is weakly crystallized. On the other hand, the thin film obtained in the example has a Raman shift of 515
A large peak appears around 5520 cm −1 , indicating that the silicon thin film is strongly crystallized. This indicates that the combined use of the filament 12 and the ion irradiation has a great effect on promoting the crystallization of the silicon thin film.

【0043】XRDでも、上記とほぼ同様の結果が得ら
れた。即ち、比較例1で得られた薄膜がアモルファス構
造であり、比較例2で得られた薄膜の結晶化を示すピー
クが弱かったのに対して、実施例で得られた薄膜は、立
方晶構造のシリコンの(111)面(2θ=28.2
°)および(220)面(2θ=47.2°)を示す明
確なピークを検出し、結晶性を確認した。その結晶サイ
ズは、X線回折線の半値幅から、100Å〜2000Å
の結晶粒であることを確認した。
With XRD, almost the same results as described above were obtained. That is, the thin film obtained in Comparative Example 1 had an amorphous structure and the peak indicating crystallization of the thin film obtained in Comparative Example 2 was weak, whereas the thin film obtained in Example 1 had a cubic crystal structure. (111) plane of silicon (2θ = 28.2)
°) and (220) plane (2θ = 47.2 °), and the crystallinity was confirmed. The crystal size is from 100 ° to 2000 ° from the half width of the X-ray diffraction line.
It was confirmed that these were crystal grains.

【0044】[0044]

【発明の効果】以上のようにこの発明によれば、フィラ
メントから放出させた電子および熱によって原料ガスを
活性化して基板上に薄膜を形成すると共に、プラズマ室
容器内のプラズマから多孔電極を通してイオンを引き出
してそれを上記薄膜に照射することができ、このイオン
照射によって薄膜の結晶化を促進することができるの
で、基板を高温に加熱しなくても結晶性の良好な薄膜を
形成することができる。
As described above, according to the present invention, the source gas is activated by the electrons and heat emitted from the filament to form a thin film on the substrate, and the plasma in the plasma chamber container is ionized through the porous electrode through the porous electrode. Can be extracted and irradiated on the thin film, and crystallization of the thin film can be promoted by the ion irradiation, so that a thin film having good crystallinity can be formed without heating the substrate to a high temperature. it can.

【0045】その結果、基板の種類の選択範囲が広が
り、例えば低融点ガラス基板のような安価な基板上にも
良好な結晶性を有する薄膜を形成することが可能にな
る。また、成膜工程のみによって結晶性の良好な薄膜の
形成が可能になるので、従来用いられていた、成膜後に
薄膜に熱処理を加えて結晶化させるという2段階処理を
省くことが可能になり、処理工程の低減および生産性の
向上を図ることも可能になる。また、より結晶性を高め
るために成膜後に熱処理を行う場合でも、熱処理の低温
化や処理時間の短縮が可能になる。
As a result, the selection range of the type of the substrate is expanded, and a thin film having good crystallinity can be formed on an inexpensive substrate such as a low-melting glass substrate. In addition, since a thin film having good crystallinity can be formed only by the film forming process, it is possible to omit the two-step process of heating and crystallizing the thin film after film formation, which has been conventionally used. In addition, the number of processing steps can be reduced and the productivity can be improved. Further, even when heat treatment is performed after film formation in order to further improve crystallinity, the temperature of the heat treatment can be reduced and the processing time can be reduced.

【0046】しかも、通常はイオン照射によって基板の
表面が正にチャージアップする可能性があるけれども、
この装置ではフィラメントから放出される熱電子によっ
てイオンの正電荷を中和することができるので、イオン
照射に伴う基板のチャージアップを抑制することが可能
になる。その結果、基板に入射するイオンを押し戻す作
用を抑えることができるので、基板に目的どおりのエネ
ルギーでイオンを入射させることが可能になり、イオン
照射による薄膜の結晶化促進効果をより確実なものにす
ることが可能になる。
In addition, although the surface of the substrate may normally be positively charged by ion irradiation,
In this device, the positive charges of ions can be neutralized by thermions emitted from the filament, so that the charge-up of the substrate due to ion irradiation can be suppressed. As a result, the action of pushing back the ions incident on the substrate can be suppressed, so that the ions can be incident on the substrate with the desired energy, and the effect of promoting the crystallization of the thin film by ion irradiation can be further ensured. It becomes possible to do.

【図面の簡単な説明】[Brief description of the drawings]

【図1】この発明に係る薄膜形成装置の一例を示す断面
図である。
FIG. 1 is a sectional view showing an example of a thin film forming apparatus according to the present invention.

【図2】フィラメントの配置の一例を示す平面図であ
る。
FIG. 2 is a plan view showing an example of the arrangement of filaments.

【図3】ガラス基板上に形成したシリコン薄膜のラマン
スペクトルの測定結果の一例を示す図である。
FIG. 3 is a diagram showing an example of a measurement result of a Raman spectrum of a silicon thin film formed on a glass substrate.

【符号の説明】[Explanation of symbols]

2 成膜室容器 6 原料ガス 8 基板ホルダ 10 基板 12 フィラメント 16 フィラメント電源 18 絶縁物 20 プラズマ室容器 24 プラズマ生成用ガス 26 高周波電極 28 高周波電源 32 プラズマ 34 多孔電極 36 イオン 38 直流電源 2 Film forming chamber container 6 Raw material gas 8 Substrate holder 10 Substrate 12 Filament 16 Filament power supply 18 Insulator 20 Plasma chamber container 24 Plasma generating gas 26 High frequency electrode 28 High frequency power supply 32 Plasma 34 Porous electrode 36 Ion 38 DC power supply

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 真空に排気されるものであって薄膜形成
用の原料ガスが導入される成膜室容器と、この成膜室容
器に絶縁物を介在させて隣接かつ連通していてプラズマ
生成用ガスが導入されるプラズマ室容器と、このプラズ
マ室容器内でプラズマ生成用ガスを電離させてプラズマ
を生成するプラズマ生成手段と、前記プラズマ室容器と
成膜室容器との間を仕切るように設けられていてプラズ
マ室容器と同電位の多孔電極と、この多孔電極に対向す
るように前記成膜室容器内に設けられていて多孔電極に
向けて基板を保持する基板ホルダと、前記プラズマ室容
器およびそれと同電位の多孔電極と基板ホルダとの間
に、多孔電極側を正極性にして直流電圧を印加する直流
電源と、前記基板ホルダ上の基板の表面近傍に設けられ
ていて電子および熱を放出して前記原料ガスを活性化す
るフィラメントと、このフィラメントを加熱するフィラ
メント電源とを備えることを特徴とする薄膜形成装置。
1. A film forming chamber container which is evacuated to a vacuum and into which a source gas for forming a thin film is introduced, and which is adjacent to and communicates with the film forming chamber container via an insulator to generate plasma. A plasma chamber container into which a plasma gas is introduced, plasma generating means for generating plasma by ionizing the plasma generating gas in the plasma chamber container, and a partition between the plasma chamber container and the film forming chamber container. A porous electrode provided and having the same potential as the plasma chamber container, a substrate holder provided in the film forming chamber container so as to face the porous electrode and holding a substrate toward the porous electrode, and the plasma chamber A DC power supply for applying a DC voltage with the porous electrode side positive and applying a DC voltage between the container and the porous electrode having the same potential and the substrate holder, and an electron and heat source provided near the surface of the substrate on the substrate holder. To A thin film forming apparatus comprising: a filament for emitting the raw material gas to activate the filament; and a filament power supply for heating the filament.
【請求項2】 前記フィラメントを、コイル状に巻きか
つ前記基板ホルダ上の基板の周囲を取り囲むように配置
している請求項1記載の薄膜形成装置。
2. The thin film forming apparatus according to claim 1, wherein the filament is wound in a coil shape and arranged so as to surround the periphery of the substrate on the substrate holder.
【請求項3】 前記フィラメント電源は、前記フィラメ
ントを1600℃〜2000℃に加熱するものである請
求項1または2記載の薄膜形成装置。
3. The thin film forming apparatus according to claim 1, wherein the filament power source heats the filament to 1600 ° C. to 2000 ° C.
JP13774798A 1998-04-30 1998-04-30 Thin film forming equipment Expired - Fee Related JP3991446B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP13774798A JP3991446B2 (en) 1998-04-30 1998-04-30 Thin film forming equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP13774798A JP3991446B2 (en) 1998-04-30 1998-04-30 Thin film forming equipment

Publications (2)

Publication Number Publication Date
JPH11315379A true JPH11315379A (en) 1999-11-16
JP3991446B2 JP3991446B2 (en) 2007-10-17

Family

ID=15205892

Family Applications (1)

Application Number Title Priority Date Filing Date
JP13774798A Expired - Fee Related JP3991446B2 (en) 1998-04-30 1998-04-30 Thin film forming equipment

Country Status (1)

Country Link
JP (1) JP3991446B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030080574A (en) * 2002-04-09 2003-10-17 주성엔지니어링(주) chamber for semiconductor device manufacturing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030080574A (en) * 2002-04-09 2003-10-17 주성엔지니어링(주) chamber for semiconductor device manufacturing

Also Published As

Publication number Publication date
JP3991446B2 (en) 2007-10-17

Similar Documents

Publication Publication Date Title
US6524662B2 (en) Method of crystallizing amorphous silicon layer and crystallizing apparatus thereof
JP3812232B2 (en) Polycrystalline silicon thin film forming method and thin film forming apparatus
JP4529855B2 (en) Silicon object forming method and apparatus
JP2008124111A (en) Method for forming silicon thin film by plasma cvd method
JP2990668B2 (en) Thin film forming equipment
US20100062585A1 (en) Method for forming silicon thin film
JP2000260721A (en) Cvd system, cvd method and method of cleaning the cvd system
US6818059B2 (en) Method of crystallizing amorphous silicon layer and crystallizing apparatus thereof
JPH10265212A (en) Production of microcrystal and polycrystal silicon thin films
JP3991446B2 (en) Thin film forming equipment
JP3159097B2 (en) Film formation method
JP2009035780A (en) Method for producing hydrogenated amorphous silicon and apparatus for forming film thereof
JP3196632B2 (en) Method and apparatus for forming crystalline silicon film
JP2002241945A (en) Thin film deposition apparatus
JP2000331942A (en) Manufacture of semiconductor thin film, apparatus for the same, and semiconductor device
JP3615919B2 (en) Plasma CVD equipment
JP3259453B2 (en) Electrode used for plasma CVD apparatus and plasma CVD apparatus
JP2001210594A (en) System and method for thin-film deposition
JPS6062113A (en) Plasma cvd equipment
JPH10317150A (en) Formation of coating and coating forming device
JP2697501B2 (en) Thin film formation method
JP3087165B2 (en) Film forming method and apparatus
JP3486292B2 (en) Cleaning method of metal mesh heater
JP2000087232A (en) Formation of silicon carbide crystal film
JPH11145062A (en) Crystalline silicon prestage film, its forming method, crystalline silicon film and its forming method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041206

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070626

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Effective date: 20070703

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070716

R150 Certificate of patent (=grant) or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 3

Free format text: PAYMENT UNTIL: 20100803

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 3

Free format text: PAYMENT UNTIL: 20100803

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 4

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120803

Year of fee payment: 5

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120803

Year of fee payment: 5

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 6

Free format text: PAYMENT UNTIL: 20130803

LAPS Cancellation because of no payment of annual fees