JPH09219346A - ウエハ処理における粒子汚染の低減 - Google Patents

ウエハ処理における粒子汚染の低減

Info

Publication number
JPH09219346A
JPH09219346A JP8356528A JP35652896A JPH09219346A JP H09219346 A JPH09219346 A JP H09219346A JP 8356528 A JP8356528 A JP 8356528A JP 35652896 A JP35652896 A JP 35652896A JP H09219346 A JPH09219346 A JP H09219346A
Authority
JP
Japan
Prior art keywords
flow
fluid
chamber
processing
purge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8356528A
Other languages
English (en)
Inventor
Alfred Mak
マック アルフレッド
Ling Chen
チェン リン
Yu Pei Chen
ペイ チェン ユ
Semyon Sherstinsky
シャースティンスキー セモン
Eric Englhardt
エングルハート エリック
Vincent Wang
ワン ヴィンセント
Joseph F Salfelder
エフ. サルフェルダー ジョセフ
Michael G Chafin
ジー. チャフィン マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24267228&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH09219346(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09219346A publication Critical patent/JPH09219346A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 リアクタがウエハ処理を行っていない間は常
にリアクタの中にパージガスの連続的な層流を与えるこ
とにより、半導体ウエハ処理操作において粒子汚染のレ
ベルを低減するための方法。 【解決手段】 ウエハ処理が行われていない間、ガス流
入口を介して不活性なパージガスによりリアクタは連続
的にパージされる。同時に、真空ポンプがパージガスを
排出する。パージガスの流れが層流の状態になるよう
に、パージガスのタイプ、パージガスの流量、チャンバ
ポンプ輸送の性質やリアクタ内の基本圧等のパラメータ
が調節される。このパージガスの流れにより、粒子汚染
物が掃引され、リアクタから排出される。パージガスの
流れは層流であることが好ましい。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体ウエハの処
理における改善に関する。特に、処理中における半導体
ウエハの粒子汚染を低減するための方法及び装置に関す
る。
【0002】
【従来の技術】マイクロエレクトロニクスデバイスの製
造中においては、半導体ウエハ上に様々な材料の層を堆
積させる必要がある。これは典型的には、処理装置の堆
積チャンバ内で行われる。ウエハ上に材料の層を堆積さ
せる方法は種々あり、例えば、化学気相堆積法(CV
D)、エバポレーション法、エピタキシー法及びスパッ
タリング法等である。堆積する材料は、典型的には、シ
リコン、シリコン酸化物、アルミニウム、タングステ
ン、チタン、窒化チタンその他である。
【0003】また、エッチングも、半導体ウエハ上に所
望の構造を作るために用いられる。これは、ケミカルエ
ッチングやドライエッチングにより行われてもよい。ケ
ミカルエッチングは、三弗化窒素等の反応性の流体をエ
ッチングしようとする表面に適用することによりなされ
る。ドライエッチングでは、表面材料と反応する弗素イ
オンや塩素イオンを含有するプラズマを、ウエハの表面
に衝突させ、あるいは、この表面から原子を物理的に叩
き出して取り去ってもよい。エッチングチャンバの一例
が、標題「磁場−励起プラズマエッチングリアクタ」の
米国特許第4,842,683号に記載されている。
【0004】チップの密度が高くなり半導体デバイスが
縮小化するにつれて、加工の質が重要となってくる。デ
バイスサイズが縮小しデバイス密度が高くなれば、堆積
膜の組成、構造及び安定性を慎重に制御する必要があ
り、また、粒子汚染の低減が特に重要となってくる。デ
バイスが更に小さくなれば、粒子汚染に影響を受けやす
くなり、また、かつては許容できると考えられていた汚
染粒子はここではもう致命的な故障となり得る。薄膜の
堆積工程やエッチングにおいて粒子が存在すれば、ボイ
ド、欠陥、短絡や開回路を引き起こし、これら全てはマ
イクロエレクトロニクスデバイスの収率や性能の信頼性
に悪影響を与える。
【0005】ウエハ表面のクリーニングの改良技術や、
クリーンルームをより清浄にする事や、半導体処理装置
の改善によって、これまで粒子汚染を大きく低減してき
た。しかし、機械的な摩耗とプロセス自体の双方によ
り、処理装置自身の内側から沢山の粒子が発生してい
る。
【0006】
【発明が解決しようとする課題】しばしば、プロセスガ
スは反応を生じて、リアクタの中の基板のそばにある別
の表面に材料を堆積させる。処理チャンバの壁は処理中
に堆積する様々な材料によってコーティングを受け、こ
れら材料には機械的応力及び熱応力が発生して薄片とな
って脱落し、汚染粒子を発生させるだろう。プラズマを
用いる処理ステップでは、多くのイオン、電子、ラジカ
ル、その他の化学的な「フラグメント」が発生する。こ
れらは一緒になって粒子を形成し、やがては基板上やリ
アクタの壁上に堆積する。
【0007】また、粒子汚染は別の発生源から持込まれ
ることもあり、例えば、ウエハ移送の操作中や、処理チ
ャンバの脱気のために用いるポンプ輸送システムからの
逆流汚染等である。
【0008】プラズマ処理においては、汚染粒子は、典
型的には、チャンバ内のウエハとプラズマグロー領域と
に隣接するプラズマシースの間にトラップされるように
なる。このような粒子は、これは特に、プラズマ処理の
終わりでプラズマを維持してきた電力のスイッチを切っ
たときに、汚染の大きなリスクを引き起こす。多くのプ
ラズマ処理装置では、ウエハの上方且つ外周附近にフォ
ーカスリングを配置し、プラズマエッチングプロセスの
最中などにおいて活性プラズマ種の流れを制御すること
により処理の均一性を向上させている。フォーカスリン
グと、これに関連したウエハクランピング機構とは、ガ
スによりトラップされた粒子の除去を妨害する傾向があ
る。従って、ウエハ処理チャンバからこのような粒子を
除去するための、信頼性の高く安価なプロセスを提供す
る必要性が認識されるところである。
【0009】同様に、化学気相堆積法及びエッチングに
おいては、サセプタ、シャワーヘッドやリアクタの壁等
の装置の様々な部分に、処理の副生成物が凝縮し蓄積し
て、材料が堆積する傾向がある。この堆積材料に機械的
な応力が発生して、薄片化して脱落することがある。こ
のような機械的応力は、しばしばウエハ移送の操作によ
り発生するが、ガス流れのオンとオフの切り換えによ
り、また、ガス流れ中の乱流により、引き起こされる急
激な圧力変化によって生じることもある。従って、処理
のステージの最終においてプロセスの副生成物をチャン
バから追い出して、これらがチャンバ内に凝縮し蓄積す
ることを防止する必要がある。
【0010】典型的には、処理ステージの終わりで処理
ガスの流れは遮断され、このとき真空ポンプが可動し続
けているためチャンバ内の圧力は急速にゼロへと降下す
る。アイドルパージを採用してもよく、これは、処理が
行われていない間のインターバルにおいてパージガスを
導入するものである。しかし、ガス流れのサイクルに伴
い圧力の急変化が生じ、粒子の分散を招き、これがやが
てウエハ表面を汚染することになる。このことは、アイ
ドルパージによる粒子低減の利益を制限する。デバイス
欠陥の大部分は、ウエハ搬送中の圧力変化により捕捉さ
れる粒子と前処理されたウエハ表面上の湿気とにより生
じている。
【0011】更に、処理チャンバ中のガスの流れは、概
して非層流である。乱流域が存在することにより、リア
クタからの粒子汚染の追い出しに関する流れの有効性を
低減し、それは、粒子がガスの流れにより外へ運ばれる
よりもむしろチャンバの中に留り易いからである。
【0012】加えて、ポンプ自身により粒子汚染のレベ
ルが増加することもある。ポンプは処理チャンバの脱気
に用いられるものであるが、チャンバ内が低い雰囲気圧
では粒子の逆流を生じることがある。圧力が低い場合
は、分子流れの条件となり、分子の平均自由行程は大き
い。このとき粘性力及び質量移動は、チャンバ内で粒子
の移動を制限するには充分大きくない。このことは、ポ
ンプにより後方散乱したあらゆる粒子が、ガス流れによ
る妨害を受けずにリアクタ内に移動するだろう。このよ
うに、ポンプインペラーの運動により、チャンバ全体に
粒子を容易に推進させる。
【0013】従って、特にウエハが処理されていない際
において、半導体ウエハ処理操作において粒子汚染のレ
ベルを低減するための改良方法及び装置が必要である
【課題を解決するための手段】従って、簡潔に言えば、
本発明は、リアクタがウエハ処理を行っていない際、常
にリアクタの中にパージガスの連続的な層流を与えるこ
とにより、半導体ウエハ処理操作において粒子汚染のレ
ベルを低減するための方法を提供する。
【0014】本発明においては、ウエハ処理が行われて
いない間、ガス流入口を介して不活性なパージガスによ
り連続的にパージが行われる。同時に、真空ポンプがパ
ージガスを排出する。パージガスの流れが層流の状態に
なるように、パージガスのタイプ、パージガスの流量、
チャンバポンプ輸送の性質やリアクタ内の基本圧等のパ
ラメータが調節される。
【0015】このパージガスの流れにより、粒子汚染物
が掃引され、リアクタから排出される。パージガスの流
れは層流であることが好ましい。
【0016】既存の装置を改良し圧力及び流量を適正に
することにより、満足できる層流を形成してもよい。し
かし、リアクタの中に層流を形成することを補助するた
めに、リアクタの構成及び寸法を決めてもよい。このこ
とは、例えば、ウエハ処理キットの形状及び配置だけで
なく、ガス流入口及び排出口の構成を決めることにより
行ってもよい。また、安定な流れを維持するためには充
分なポンプ輸送も必要である。
【0017】本発明の利点は、粒子汚染が著しく低減さ
れることにより、半導体処理の品質も向上することにあ
る。
【0018】本発明の他の利点は、粒子汚染のレベルが
低減することにより、汚染予防のためのチャンバクリー
ニングの間隔を伸ばすことができることである。このこ
とにより、ウエハ処理装置の停止時間を低減し、生産性
が向上する。
【0019】本発明のまた別の利点は、リアクタ内に満
足できる層流を形成するために、既存の装置を簡単に改
造できることである。
【0020】
【発明の実施の形態】本発明は、リアクタがウエハ処理
を行っていない際は常にリアクタの中にパージガスの連
続的な層流を与えることにより、半導体ウエハ処理操作
において粒子汚染のレベルを低減するための方法を提供
する。制限するためのものではない例により、本発明の
用途として、アプライドマテリアルズ 5000 WEB
装置のタングステンエッチバック(WEB)の用途が
見出された。この装置は、ケミカルエッチングとプラズ
マエッチングチャンバのどちらとしても機能できるもの
である。アプライドマテリアルズエッチングチャンバの
詳細は、標題「磁場−励起プラズマエッチングリアク
タ」の米国特許第4,842,683号に記載されてい
る。
【0021】図1には、模式的なウエハ処理リアクタ
が、プロセスチャンバ11を画する10として広く示さ
れ、これは基板支持体を、ウエハ14を上に置くペデス
タル12の形態で収容している。処理のステップの間、
ウエハは、クランプリング16又は静電チャック(図示
せず)のいずれかにより固定されてもよい。
【0022】複数の穴を有するシャワーヘッド18がウ
エハ14の上方に配置され、リアクタ10の外側に配置
されるガス供給システム(22で広く示され「ガスパネ
ル」と称される)に接続されている。ガスパネルはリア
クタ10の操作にMの置居られるガスのためのガス供給
部を数個有している。アルゴンがリモートソース24か
らフィルタ24a及びマニュアル作動バルブ24bを介
して、0〜200sccmとなるよう設定されている質
量流量コントローラ24cに供給される。そして、アル
ゴンは、空気圧による通常閉(NC)バルブ24dの中
を通過するが、このバルブは、コンピュータ制御されて
おりチャンバの方向に流すのみである。窒素及び六弗化
イオウが同様に、別々のソース26、28から、フィル
タ26a、28a、マニュアル作動バルブ26b、28
b、0〜300sccmとなるよう設定されている質量
流量コントローラ26c、28c、空気圧NCバルブ2
6d、28dを介して、供給される。また、塩素ガス
も、ソース30から、フィルタ30a、マニュアル作動
バルブ30b、0〜30sccmとなるよう設定されて
いる質量流量コントローラ30c、空気圧NCバルブ3
0dを介して、供給される。第2のマニュアル作動バル
ブ30eにより、ガスパネルのメンテナンスが必要なと
きには塩素を有効にポンプ輸送できる。
【0023】上述のガスは、それぞれの空気圧NCバル
ブを通過した後、シャワーヘッドに接続する単一の空気
圧NCバルブ32を通過する。これらガスはウエハ処理
に用いられ、まとめて「処理ガス供給源」と称すること
にする。処理ガス供給源からのガスの流れは、バルブ3
2により遮断することもできる。
【0024】更に窒素パージガスも、ソース34から、
フィルタ34a、マニュアル作動バルブ34b、〜50
0sccmとなるよう設定されている質量流量コントロ
ーラ34c、シャワーヘッドに接続される空気圧NCバ
ルブ34dを介して、供給される。窒素パージガスは、
空気圧NCバルブ34には流入しない。また、このパー
ジガスは、アルゴンであってもよいが、コストを低く抑
えるためには窒素ガスが好ましい。また、基板支持体1
2に冷却ガスを供給する独立したヘリウム供給源38も
示されている。
【0025】通常のリアクタの操作中、マニュアル作動
バルブ24b、26b、28b、30b全てをオンの状
態にし、これらの中をガスが流れるようにする。しか
し、これらのバルブはメンテナンス操作中にマニュアル
により遮断することもできる。ガスパネル22及びヘリ
ウム供給源38は、NCバルブを作動させそれぞれのガ
ス流れをオンオフするバルブ制御機構(コンピュータ3
9として図示)からの信号により制御される。ガスパネ
ル22の制御においては、バルブ制御機構39は処理ガ
ス供給バルブ32のための制御信号を発生し、これは、
パージガスバルブ34dにより受容される制御信号と逆
である。このように、常に、一方が供給され他方が遮断
されることとなる。
【0026】ポンプ40はリアクタチャンバ11の底部
に接続され、パージステップ中にチャンバを脱気して3
0〜100ミリトールの圧力にする。ポンプは典型的に
は、5000 WEB 装置を約200sccmの流量で
脱気する。ポンプ40の選択は、チャンバの外へのガス
流れを安定させチャンバ内に層流の状態のガス流れを維
持するように、なされる必要がある。
【0027】このことを実現するため、実際に必要なポ
ンプ輸送よりもキャパシティーの高いポンプを選択する
べきである。従って、流量400sccm以上の流れを
供給することができるポンプを選択すべきである。この
ようなポンプの一例として、ガス600sccmをポン
プ輸送可能な荏原製作所 300WS ポンプが挙げられ
る。ここで重要なことは、チャンバ内へ粒子を逆流させ
る急激な圧力変化を防止して、チャンバから排出する定
常流れを維持することである。
【0028】ウエハ処理中は、コンピュータ39からの
オンの信号を受容したときにプロセスガス供給バルブ3
2を開にする。この信号の反対はオフであるため、コン
ピュータ39は、パージガス供給源をオフにするオフの
信号をパージガスバルブ34dに送る。従って、処理ガ
スのみがシャワーヘッドを流れ、流量は典型的には約2
00sccmである。ウエハの移送を行う際やリアクタ
をアイドリングする際などのウエハ処理の最後では、処
理ガス供給バルブ32はコンピュータ39からオフの信
号を受容し、これに応答して、処理ガスの流れを遮断す
る。同時に、コンピュータ39はパージガス供給バルブ
34dにオンの信号を送り、これを開けてパージガスの
みをシャワーヘッド18及びチャンバ11の中へ流入さ
せる。
【0029】シャワーヘッド18の構成、リアクタ内の
圧力、パージガスの流量、ガスの組成、そしてシステム
のポンプ輸送は、パージガスの追い出し流れが安定且つ
層流の状態であるように選択される。チャンバ内に層流
を維持することは、汚染物の除去に重要である。層流に
より、パージガスの分子同士の衝突を充分に確保し、パ
ージガスの流れにより汚染物をリアクタの外に移動させ
る。本発明を操作するためには、チャンバ内を通過する
1分子当たり平均で100回の衝突を生じさせる層流の
流量で充分であることが見出された。
【0030】層流の場合とは対称的に、パージガスの分
子流れは満足ではない。分子流れにおいては、パージガ
スの平均自由行程は、チャンバ内を通過する際の押出さ
れる分子の行程の長さよりも長くなる。理論的には、こ
のことは、分子間衝突が生じないことを意味し、また、
汚染物はパージガスによりチャンバから「掃引」されな
いだろうことを意味する。
【0031】同様に、乱流により渦巻きが形成され、ま
た、汚染物が「掃引」されるのではなくチャンバ内に分
散する可能性のある予期できない流れが形成される。
【0032】1分子当たり平均で100回の衝突を生じ
させる所望の層流を実現するため、分子の平均自由行程
を計算する必要がある。
【0033】この計算は、ガスの圧力及び温度に依存す
る標準的な式を基礎とし、即ちチャンバ内の圧力及び温
度によって決まる。算出された平均自由行程が、チャン
バの中を移動する分子のとる行程のおよそ100番目の
ものであるように、この圧力及び温度を調節する。これ
が理論的には、およそ100回の分子衝突となる。アプ
ライドマテリアルズ WEB 5000 チャンバに対し
ては、基礎圧30〜100ミリトール、摂氏45゜の雰
囲気温度では、窒素ガスを流量200sccmで用いて
層流を形成し、求められる層流を実現可能であることを
見出した。
【0034】処理ガスを流すときとパージガスを流すと
きの間の移行の時間は非常に短く、また、流量は実質的
に同じである。従って、アイドリングの間だけリアクタ
をパージする従来技術のシステムに比べて、圧力変化は
さほど顕著ではない。このことをグラフにより図2
(a)及び図2(b)に示し、これらは本発明を用いた
場合と用いない場合のそれぞれの、種々の段階でのリア
クタチャンバ11内の雰囲気圧を示すものである。これ
らのグラフ双方では、圧力の経時的変化が示される。3
つの別々の期間50、52及び54はそれぞれ順に、ウ
エハ処理中、ウエハ移送中及びウエハ処理中を示してい
る。
【0035】本発明を用いない典型的なシステム(図2
(a)に示すように)では、チャンバは処理中50では
特定の圧力に維持されている。その後、プロセスガスの
流れを遮断しチャンバ11内にガスを供給しないとき、
ポンプ40がチャンバ11を脱気し続けるため、小領域
56で示されるように圧力が急激に降下する。この圧力
の降下は、リアクタ内の粒子を分解し、これらを巻き上
がらせる。そして遂には、圧力は低圧で安定する。ウエ
ハが移送される際(チャンバ11へロードロックを開け
て)は、小領域58に示されるように圧力が急激に上昇
し、再び粒子はチャンバ11内でかき混ぜられる。その
後、処理の前にロードロックを閉じてポンプがチャンバ
を脱気し続ければ、小領域60で示されるように圧力が
また急激に降下する。処理が始まれば、領域54で示さ
れるように導入される処理ガスにより急変化がまた生じ
る。
【0036】しかし、本発明を包含するリアクタでは、
パージガスはリアクタが稼働していないときも常に流れ
ており、特に、以下の2つの重要な期間において流れて
いる:(1)ウエハ搬送と処理の開始の間で、小領域6
4で示される(2)処理の終わりとウエハ移送との間
で、小領域62で示される。予備処理の粒子及び汚染物
はデバイス欠陥に大きく寄与するため、プロセスの開始
の前に追い出すことにより、プロセスの品質を著しく向
上させる。ウエハ搬送中の圧力変化によるあらゆる分解
粒子及びウエハ表面上に存在するあらゆる汚染物(湿気
等)は、本発明を用いなければ、ウエハ表面上に留り続
けるだろう。更に、ウエハ処理の終わりにパージガスの
層流を採用することにより、リアクタ内の凝縮を防止し
ウエハ表面上をきれいにするため、パージガスにより浮
遊する副生成物を外へ追い出す。
【0037】流れは粘性的であるはずなので、追い出し
ガスの分子は、リアクタ内に存在する粒子と衝突してこ
れらを流れの中へと掃き出し、これはポンプによりリア
クタから排出される。流れが層流特性を有することによ
り、これら粒子がリアクタの外に運び出されることが確
保され、チャンバ内の乱流ないし滞留領域内に留らな
い。更に、正味の定常流れがポンプの上流及びポンプ内
部にまでわたっているため、ポンプからの粒子の逆流が
制限される。
【0038】本発明により粒子汚染が低減する有効性
は、図3(a)及び図3(b)によっても理解すること
ができ、これらの図は、本発明を用いた場合と用いない
場合とで、WEBで処理した200mmウエハ(通常8
インチサイズと称している)に対する粒子の計数を示
す。粒子計数の取得は、クリーンなシリコンウエハに疑
似プロセスを行い、この疑似プロセスを行う前と行った
後の粒子の数を比較することにより行った。この方法
で、プロセスにより加えられた粒子の数を数えることが
できる。このように付加された粒子は一般に「付加物」
と称される。粒子の計数には、Tencor 6200 等の標準的
な試験機器を用いてもよい。VLSIプロセスでは、一
般的に許容される品質は、1平方センチメートル当たり
0.1の欠陥ないし0.1d/cm2 である。これは約
30付加物に相当し、これらグラフの線60が、この限
界を示すために引かれている。
【0039】図3(a)及び図3(b)では、プロセス
により付加された新たな粒子の数は、チャンバのクリー
ニング以降のチャンバ内で処理されたウエハの数の関数
としてグラフに示される。図3(a)でプロットされた
2つの線62及び64はそれぞれ、0.16ミクロンよ
り大きな粒子の数と、0.3ミクロンより大きな粒子の
数とを表している。一般的な傾向としては、ウエハ20
0枚を処理しただけで両方の線が上昇し、粒子汚染の蓄
積効果を例示している。本発明により与えられるパージ
ガスの連続層流を用いない従来技術のチャンバでは、処
理中に発生した粒子はリアクタ内部に留り、次のウエハ
の処理の際に存在することになり、これが次に更に多く
の粒子を発生させる。このように、粒子の数は、ウエハ
が多く処理されるほど増加する。
【0040】この図では、粒子の計数は疑似プロセスを
25回繰り返す毎に取得した。0.16ミクロンよりも
大きな粒子では、平均の計数は20粒子であり、標準偏
差は7粒子であった。0.3ミクロンよりも大きな粒子
では、平均の計数は10粒子であり、標準偏差は4粒子
であった。200枚の計数では、0.16ミクロンより
も大きな粒子で限界としている30個の付加物(0.1
d/cm2)を越えた。これは、システムをラインから
外して、メンテナンス、チャンバクリーニングをする必
要が生じているということであり、生産性を低めること
になる。このグラフは、産業界における多くのプロセス
を代表するものである。
【0041】図3(b)では、本発明を包含するWEB
を用いた結果を示し、プロット66はプラズマプロセス
でのRF(高周波)の印加を用いた場合であり、プロッ
ト68はRF(高周波)の印加を用いずに化学プロセス
で行った場合である。窒素パージガスを流量200sc
cmで用い、0.3ミクロンよりも大きな粒子を計数し
た。ウエハの枚数が200枚に致るまでは粒子の計数は
25〜50枚毎に行い、その後は50枚毎に行った。グ
ラフから理解されるように、一般的な傾向は非常にフラ
ットであり、1000枚目での粒子の計数は、200枚
めよりも実際には低かった。これは、本発明がリアクタ
内の粒子の数を制御し粒子汚染の蓄積効果を打ち消すこ
とに関して有効であることを例証するものである。
【0042】本発明により実現される粒子汚染の低減は
更に図4に示され、この図では、試験ウエハのロットA
〜ロットDに関して、本発明を用いたリアクタと用いな
いリアクタでのエッチング限定収率(エッチングステッ
プのみについての収率のことであり、ウエハ加工におけ
る全デバイス収率ではない)を示す。各ロットの左側の
コラムからわかるように、本発明を用いた方が、用いな
い方よりも収率が高かった。この収率の上昇は数パーセ
ントのオーダーであるかも知れないが、この事は生産性
において実質的な増加となり得る。
【0043】ここまで特定の具体例(タングステンエッ
チバックプロセスに用いる装置の具体例)を用いて本発
明の説明を行ってきたが、いわゆる当業者には変形や修
正が可能であることが予想される。例えば、処理ガスの
停止後にチャンバに供給されるパージガスは、ガスパネ
ルに既にある不活性ガスラインを用いて供給することも
でき、又は、別々のガスラインを付加することにより供
給することもできる。加えて、ここまで本発明を化学的
なウエハ処理のチャンバにに用いるために記載してきた
が、本発明はその他の基板処理操作にも同等に適してい
る。
【0044】従って、上記の特許請求の範囲はこのよう
な変形や修正が本発明の真の思想及び範囲に入るものと
解される。
【図面の簡単な説明】
【図1】図1は、ウエハのケミカルドライエッチングの
ための、従来型の半導体処理装置を例示する模式的な断
面図である。
【図2】図2(a)は、従来技術のリアクタについて、
種々の時点におけるチャンバ内圧力変化を例示するグラ
フである。図2(b)は、本発明に包含されるリアクタ
について、種々の時点におけるチャンバ内圧力変化を例
示するグラフである。
【図3】図3(a)は、従来技術のチャンバにおいて、
プロセスにより加えられる粒子汚染の数を、チャンバ内
で処理されたウエハの数の関数として表すグラフであ
る。図3(b)は、本発明に包含されるチャンバにおい
て、プロセスにより加えられる粒子汚染の数を、チャン
バ内で処理されたウエハの数の関数として表すグラフで
ある。
【図4】本発明を用いる場合と用いない場合での装置の
エッチング限定収率を比較した棒グラフである。
【符号の説明】
10…ウエハ処理リアクタ、11…プロセスチャンバ、
12…ペデスタル、14…ウエハ、16…クランプリン
グ、22…ガスパネル、24…ガスパネル、24,2
6,28,30…ガスソース、24a,26a,28
a,30a…フィルタ、24b,26b,28b,30
b…マニュアル作動バルブ、24c26c,28c,3
9c…質量流量コントローラ、24d,26d,28
d,30d…NCバルブ、30e…マニュアル作動バル
ブ、32…空気圧NCバルブ、34…空気圧NCバル
ブ、38…ヘリウムガス供給源、39…コンピュータ。
フロントページの続き (72)発明者 リン チェン アメリカ合衆国, カリフォルニア州, サニーヴェール, エヌ. マティルダ アヴェニュー 450, ナンバーダブリュ 201 (72)発明者 ユ ペイ チェン アメリカ合衆国, テキサス州, ダラ ス, アデリア ロード 12121, アパ ートメント1001 (72)発明者 セモン シャースティンスキー アメリカ合衆国, カリフォルニア州, サン フランシスコ, 32番 アヴェニュ ー 742 (72)発明者 エリック エングルハート アメリカ合衆国, カリフォルニア州, パロ アルト, ホーマー アヴェニュー 435 (72)発明者 ヴィンセント ワン アメリカ合衆国, カリフォルニア州, キュパティノ, エドミントン ドライヴ 18457 (72)発明者 ジョセフ エフ. サルフェルダー アメリカ合衆国, ヴァーモント州, ウ ィリストン, サウス リッジ ロード 5 (72)発明者 マイケル ジー. チャフィン アメリカ合衆国, ヴアーモント州, ア ンダーヒル, ルーラル ルート 2, ボックス 3623

Claims (22)

    【特許請求の範囲】
  1. 【請求項1】 処理チャンバを画する基板処理リアクタ
    内で基板を処理する方法であって、(i)チャンバ内に基
    板を挿入するステップと、(ii)チャンバ内に処理流体を
    流入させるステップと、(iii)処理流体の流れを停止さ
    せるステップと、(iv)処理流体の流れの停止の後、処理
    のためではないパージ流体の流れを維持するステップ
    と、(v)パージ流体の流れを中断することなく、チャン
    バから基板を取り出すステップとを有する方法。
  2. 【請求項2】 処理流体の流れが層流である請求項1に
    記載の方法。
  3. 【請求項3】 パージ流体の流れを維持する該ステップ
    が、処理流体の流れの停止に際し、パージ流体の流れを
    開始する操作を有する請求項2に記載の方法。
  4. 【請求項4】 パージ流体が、不活性ガスと窒素とから
    成る群から選択される請求項3に記載の方法。
  5. 【請求項5】 チャンバからパージガスを絶え間なく脱
    気するステップを更に有する請求項4に記載の方法。
  6. 【請求項6】 該基板が半導体ウエハである請求項4に
    記載の方法。
  7. 【請求項7】 複数の基板を連続的に処理流体に晒す基
    板処理チャンバの操作方法であって、基板が処理流体に
    晒されていないときに、処理のためではないパージ流体
    をチャンバ内で流動させることを維持するステップを有
    する方法。
  8. 【請求項8】 チャンバから基板を取り出すステップを
    更に有し、基板除去の前、最中及び後において、パージ
    流体の流れが維持される、請求項7に記載の方法。
  9. 【請求項9】 チャンバに基板を挿入するステップを更
    に有し、基板除去の前、最中及び後において、パージ流
    体の流れが維持される、請求項8に記載の方法。
  10. 【請求項10】 パージ流体の流れが層流である請求項
    9に記載の方法。
  11. 【請求項11】 パージ流体の流れを維持する該ステッ
    プが、処理流体の流れの停止に際し、パージ流体の流れ
    を開始する操作を有する請求項10に記載の方法。
  12. 【請求項12】 パージ流体が、不活性ガスと窒素とか
    ら成る群から選択される請求項11に記載の方法。
  13. 【請求項13】 チャンバからパージガスを絶え間なく
    脱気するステップを更に有する請求項12に記載の方
    法。
  14. 【請求項14】 該基板が半導体ウエハである請求項1
    3に記載の方法。
  15. 【請求項15】 ウエハへ処理操作を行うための装置で
    あって、(a)ウエハを収容するように構成された処理
    チャンバと、(b)チャンバと連通する複数の流体供給
    部と、(c)装置がウエハへの処理を行っていない間、
    常にパージ流体が流れるように、流体供給部の少なくと
    も1つからパージ流体の流れを制御するように構成され
    たコントローラとを備える装置。
  16. 【請求項16】 該コントローラが、流体供給部の少な
    くとも1つから処理流体の流れを停止させた後パージ流
    体の流れを維持するよう、構成される請求項15に記載
    の装置。
  17. 【請求項17】 ウエハをチャンバに出し入れするよう
    に構成されたウエハ移送機構を更に備え、該コントロー
    ラは、ウエハ移送中はパージ流体の流れを維持するよう
    に構成される請求項16に記載の装置。
  18. 【請求項18】 該コントローラが、処理流体の流れの
    停止時にパージ流体の流れを開始するよう構成される請
    求項15に記載の装置。
  19. 【請求項19】 パージ流体の流れが層流である請求項
    18に記載の装置。
  20. 【請求項20】 パージ流体が、不活性ガスと窒素とか
    ら成る群から選択される請求項19に記載の装置。
  21. 【請求項21】 パージ流体の流れが粘性的である請求
    項19に記載の装置。
  22. 【請求項22】 チャンバからパージ流体を絶え間なく
    脱気するように構成されたポンプを更に備える請求項1
    9に記載の装置。
JP8356528A 1995-12-05 1996-12-05 ウエハ処理における粒子汚染の低減 Pending JPH09219346A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US56745595A 1995-12-05 1995-12-05
US08/567455 1995-12-05

Publications (1)

Publication Number Publication Date
JPH09219346A true JPH09219346A (ja) 1997-08-19

Family

ID=24267228

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8356528A Pending JPH09219346A (ja) 1995-12-05 1996-12-05 ウエハ処理における粒子汚染の低減

Country Status (4)

Country Link
EP (1) EP0778359B1 (ja)
JP (1) JPH09219346A (ja)
KR (1) KR970052711A (ja)
DE (1) DE69614648T2 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2865314B1 (fr) 2004-01-20 2006-04-28 Cit Alcatel Station de controle et de purge de mini-environnement
JP7357625B2 (ja) * 2018-02-19 2023-10-06 ティーイーエル マニュファクチュアリング アンド エンジニアリング オブ アメリカ,インコーポレイテッド 制御可能なビームサイズの処理噴霧を有する小型電子機器処理システム

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900001666B1 (ko) * 1985-07-19 1990-03-17 후지쓰가부시끼가이샤 화합물 반도체의 에피택셜층 성장용의 화학적 유기 금속 기상 성장장치
EP0254651B1 (en) * 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof

Also Published As

Publication number Publication date
EP0778359A1 (en) 1997-06-11
DE69614648D1 (de) 2001-09-27
KR970052711A (ko) 1997-07-29
DE69614648T2 (de) 2002-06-27
EP0778359B1 (en) 2001-08-22

Similar Documents

Publication Publication Date Title
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
US6060397A (en) Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US6322714B1 (en) Process for etching silicon-containing material on substrates
US7662723B2 (en) Methods and apparatus for in-situ substrate processing
US6899109B1 (en) Method and apparatus for reducing He backside faults during wafer processing
JP2003197615A (ja) プラズマ処理装置およびそのクリーニング方法
US6397861B1 (en) Situ plasma clean gas injection
US20050155625A1 (en) Chamber cleaning method
JP2961000B2 (ja) 反応装置の自己洗浄方法
KR100384907B1 (ko) 진공 장치
US20060157448A1 (en) Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US5902494A (en) Method and apparatus for reducing particle generation by limiting DC bias spike
KR100611612B1 (ko) 스로틀 밸브 위치를 이용한 세척 공정의 종료점 결정 방법 및 장치
JP2001313289A (ja) 半導体ウエーハ処理システムの洗浄方法及び装置
JP4244674B2 (ja) 処理装置及び処理方法
JPH09219346A (ja) ウエハ処理における粒子汚染の低減
JP2002060951A (ja) 気体反応によるcvdチャンバ内の異物の除去
US20020192972A1 (en) Plasma processing
JP3211480B2 (ja) ドライクリーニング方法
JPH0888177A (ja) 薄膜形成装置及びクリーニング方法
CN216585199U (zh) 一种化学气相沉积设备
JP3892744B2 (ja) 半導体素子の製造方法
JP7445043B2 (ja) 減圧システムを洗浄するための方法、基板の減圧処理のための方法、及び基板を減圧処理するための装置
JP2004031970A (ja) 半導体素子の製造方法
JP3314403B2 (ja) 半導体集積回路装置の製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070130

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070626