JPH09205143A - Formation of contact hole - Google Patents

Formation of contact hole

Info

Publication number
JPH09205143A
JPH09205143A JP1126596A JP1126596A JPH09205143A JP H09205143 A JPH09205143 A JP H09205143A JP 1126596 A JP1126596 A JP 1126596A JP 1126596 A JP1126596 A JP 1126596A JP H09205143 A JPH09205143 A JP H09205143A
Authority
JP
Japan
Prior art keywords
film
etching
sioxny
siox
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP1126596A
Other languages
Japanese (ja)
Other versions
JP3700231B2 (en
Inventor
Tetsuji Nagayama
哲治 長山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP01126596A priority Critical patent/JP3700231B2/en
Publication of JPH09205143A publication Critical patent/JPH09205143A/en
Application granted granted Critical
Publication of JP3700231B2 publication Critical patent/JP3700231B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

PROBLEM TO BE SOLVED: To increase the selection ratio between a SiOx layer insulating film and an etching stop film in a self-alignment contact process. SOLUTION: A SiOxNy film is used for an etching stop film to cover an offset oxide film 4 and a side wall 5, instead of a SixNy film, which is conventionally in common use. The atomic composition of SiOxNy is richer in Si than those of SiOx and SixNy, and contains less O than SiOx. For the reason, etching the SiOx layer insulating film 8 on the SiOxNy etching stop film T using a fluorocarbon (FC) gas will hinder carbon from being removed from the exposed surface of the stop film 7, and facilitate FC polymers' tendency to deposit. This makes the etching selection ratio between SiOx and SiOxNy higher than that between SiOx and SixNy. With film thickness optimized, the SiOxNy etching stop film 7 functions as a reflection preventive film in excimer laser wavelength ranges as well.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は半導体製造等の微細
デバイス加工分野に適用される接続孔の形成方法に関
し、特にエッチング停止膜を用いる自己整合コンタクト
・プロセスにおいて、十分なエッチング選択比を容易に
確保する方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of forming a contact hole applied to the field of fine device processing such as semiconductor manufacturing, and particularly, in a self-aligned contact process using an etching stop film, a sufficient etching selection ratio can be easily achieved. Regarding how to secure.

【0002】[0002]

【従来の技術】0.3μm以降のデザイン・ルールが適
用される微細な半導体デバイスの製造プロセスでは、接
続孔の設計余裕を下層配線との位置合わせのバラつきを
考慮して決定すると、接続孔の設計寸法(=ホール径+
設計余裕)が大きくなり過ぎる問題が生じている。この
位置合わせのバラつきは、フォトリソグラフィで用いら
れる縮小投影露光装置のアライメント性能の不足に起因
するものである。しかもこのバラつきは、半導体プロセ
スに含まれる様々なスケーリング・ファクターの中でも
特にスケール・ダウンが困難な項目であり、解像度以上
に露光技術の限界を決定する要因であるとすら言われて
いる。この問題を、図6ないし図8を参照しながら説明
する。
2. Description of the Related Art In a manufacturing process of a fine semiconductor device to which a design rule of 0.3 μm or later is applied, when a design margin of a connection hole is determined in consideration of a variation in alignment with a lower layer wiring, Design dimensions (= hole diameter +
There is a problem that the design margin is too large. This variation in alignment is caused by insufficient alignment performance of a reduction projection exposure apparatus used in photolithography. Moreover, this variation is an item that is particularly difficult to scale down among various scaling factors included in the semiconductor process, and is said to be a factor that determines the limit of the exposure technology beyond the resolution. This problem will be described with reference to FIGS.

【0003】図6は、SRAMのメモリセルにおいて、
隣接するゲート電極(ワード線)の間で上層配線(ビッ
ト線)の基板コンタクトを形成する部分を示している。
すなわち、予めウェル形成や素子分離を行ったシリコン
基板21(Si)の表面には、熱酸化により形成された
ゲート酸化膜22(SiO2 )を介してゲート電極23
(polySi/WSix)が形成されている。これら
ゲート電極23は、いずれもその上面をオフセット酸化
膜24(SiOx)、側面をサイドウォール25(Si
Ox)にそれぞれ被覆されている。また、シリコン基板
21の表層部にはLDD構造を有するソース/ドレイン
領域26が、上記ゲート電極23および上記サイドウォ
ール25に対して自己整合的に形成されている。
FIG. 6 shows an SRAM memory cell.
It shows a portion where a substrate contact of an upper layer wiring (bit line) is formed between adjacent gate electrodes (word lines).
That is, the gate electrode 23 is formed on the surface of the silicon substrate 21 (Si) on which wells have been formed and elements have been separated in advance through the gate oxide film 22 (SiO 2 ) formed by thermal oxidation.
(PolySi / WSix) is formed. Each of these gate electrodes 23 has an offset oxide film 24 (SiOx) on its upper surface and a sidewall 25 (Si) on its side surface.
Ox). Source / drain regions 26 having an LDD structure are formed in the surface layer of the silicon substrate 21 in a self-aligned manner with the gate electrodes 23 and the sidewalls 25.

【0004】いま、上述のようにゲート電極23の形成
された基体を一旦、SiOx層間絶縁膜27でほぼ平坦
化し、形成すべき接続孔(コンタクトホール)とゲート
電極23の電極間スペースとの位置合わせのバラつきを
考慮してフォトリソグラフィを行い、十分に大きな開口
を有するレジスト・パターン28を形成したとする。こ
のとき、ゲート電極間スペースが極度に縮小されている
と、図6に示されるように、レジスト・パターン28の
開口はゲート電極28のエッジと重複してしまう。
Now, the substrate on which the gate electrode 23 is formed as described above is temporarily flattened by the SiOx interlayer insulating film 27, and the position between the connection hole (contact hole) to be formed and the inter-electrode space of the gate electrode 23. It is assumed that the resist pattern 28 having a sufficiently large opening is formed by performing photolithography in consideration of variations in alignment. At this time, if the space between the gate electrodes is extremely reduced, the opening of the resist pattern 28 overlaps with the edge of the gate electrode 28 as shown in FIG.

【0005】この状態で、シリコン系材料に対してエッ
チング選択比を確保できる条件にしたがってSiOx層
間絶縁膜27をエッチングすると、図7に示されるよう
に、配線間スペースがそのまま底面となるようなコンタ
クトホール29が形成される。しかし、この例のように
局部的な膜厚差を有するSiOx層間絶縁膜27にコン
タクトホール29を開口するプロセスでは、シリコン基
板21を完全に露出させようとすると過剰なオーバーエ
ッチングが要求されるので、上記レジスト・パターン2
8の開口に掛かるオフセット酸化膜24やサイドウォー
ル25の一部も当然除去されてしまう。この結果、図7
に示されるように、コンタクトホール29の側壁面に
は、ゲート電極23が一部露出した状態となる。したが
って、このコンタクトホール29を図8に示されるごと
く上層配線30で被覆すると、ゲート電極23と上層配
線30とが短絡してしまう。
In this state, when the SiOx interlayer insulating film 27 is etched under the condition that an etching selection ratio can be secured with respect to the silicon-based material, as shown in FIG. The hole 29 is formed. However, in the process of opening the contact hole 29 in the SiOx interlayer insulating film 27 having a local film thickness difference as in this example, excessive over-etching is required to completely expose the silicon substrate 21. , The above resist pattern 2
Of course, the offset oxide film 24 and the side wall 25 that partially cover the opening 8 are also removed. As a result, FIG.
As shown in FIG. 5, the gate electrode 23 is partially exposed on the side wall surface of the contact hole 29. Therefore, if the contact hole 29 is covered with the upper layer wiring 30 as shown in FIG. 8, the gate electrode 23 and the upper layer wiring 30 are short-circuited.

【0006】この短絡を防止するひとつの方法として、
過剰なオーバーエッチングを行わなくともコンタクトホ
ールが開口できるよう、層間絶縁膜の形状を基体の凹凸
にならったコンフォーマル形状としておく方法が考えら
れるが、これでは基体の平坦化が不可能となる。このこ
とは、平坦化の重要性がますます高まる今後の半導体デ
バイス製造において、大きなデメリットとなる。
As one method of preventing this short circuit,
A method is conceivable in which the interlayer insulating film has a conformal shape that conforms to the irregularities of the base so that the contact hole can be opened without excessive overetching, but this makes planarization of the base impossible. This will be a major disadvantage in future semiconductor device manufacturing, where planarization becomes more important.

【0007】このような背景から、位置合わせのための
設計余裕をフォトマスク上で不要にできる自己整合コン
タクト(SAC)プロセスが関心を集めている。このプ
ロセスには色々な種類があるが、露光工程が増えないこ
とから最もよく検討されているのは、窒化シリコン膜
(SixNy)をエッチング停止層として用いる方法で
ある。上述のSRAMのメモリセルの例では、オフセッ
ト酸化膜24とサイドウォール25の表面をSixNy
からなるエッチング停止膜で被覆した後に、SiOx層
間絶縁膜27を形成する。
From such a background, a self-aligned contact (SAC) process capable of eliminating a design margin for alignment on a photomask is attracting attention. There are various kinds of this process, but the method most often studied is the method using a silicon nitride film (SixNy) as an etching stop layer because the number of exposure steps does not increase. In the example of the SRAM memory cell described above, the surfaces of the offset oxide film 24 and the sidewalls 25 are set to SixNy.
After being covered with an etching stop film made of, a SiOx interlayer insulating film 27 is formed.

【0008】かかる構成によれば、SiOx層間絶縁膜
27のエッチングはエッチング停止膜が露出するとそこ
から先へは進まないため、オーバーエッチング時にもオ
フセット酸化膜24やサイドウォール25が保護され
る。被エッチング領域の全面にエッチング停止膜が露出
したら、今度はSixNy用のエッチング条件に切り替
えて、エッチング停止膜を選択的に除去することで、コ
ンタクトホールが完成される。したがって、層間絶縁膜
を平坦化しながらも良好なコンタクト形成が可能とな
る。
According to this structure, when the etching stop film is exposed, the etching of the SiOx interlayer insulating film 27 does not proceed further, so that the offset oxide film 24 and the sidewall 25 are protected even during overetching. When the etching stopper film is exposed on the entire surface of the region to be etched, the contact hole is completed by switching to the etching conditions for SixNy and selectively removing the etching stopper film. Therefore, it is possible to form a good contact while flattening the interlayer insulating film.

【0009】[0009]

【発明が解決しようとする課題】しかしながら、Six
Ny膜を用いたSACプロセスを実用化するためには、
SiOx層間絶縁膜のドライエッチングをSixNyエ
ッチング停止膜の上で精度良く停止させるという、難度
の高い技術をクリアしなければならない。SiOx膜と
SixNy膜とを比べると、各々を構成するSi−O結
合(原子間結合エネルギー=465kJ/mol)とS
i−N結合(同440kJ/mol)とがエネルギー的
に接近しており、エッチング・ガスも基本的に同じであ
ることから、互いに選択性を確保することは本質的に難
しいのである。
However, Six
In order to put the SAC process using the Ny film into practical use,
A highly difficult technique of precisely stopping the dry etching of the SiOx interlayer insulating film on the SixNy etching stop film must be cleared. Comparing the SiOx film and the SixNy film, the Si—O bond (interatomic bond energy = 465 kJ / mol) and S that constitute each are compared.
Since the i-N bond (440 kJ / mol in the same) is energetically close to each other and the etching gas is basically the same, it is essentially difficult to secure the selectivity to each other.

【0010】ただし、SiOx膜がイオン・アシスト反
応を主体とする機構によりエッチングされるのに対し、
SixNy膜はF* を主エッチング種とするラジカル反
応機構にもとづいてエッチングされ、エッチング速度も
SiOx膜より若干速い。このため、SiOx膜上にお
けるSixNy膜のドライエッチングについては、エッ
チング反応系のラジカル性を高めることで対処可能であ
り、これまでにも幾つかのプロセスが提案されてきた。
しかし、その逆のSixNy膜上におけるSiOx膜の
エッチングでは、選択性の確保はより困難である。なぜ
なら、イオン・アシスト反応を主体とする機構によりS
iOx膜をエッチングしていても、その反応系中には必
ずラジカルが生成しており、SixNy膜が露出した時
点でこのラジカルにより該SixNy膜のエッチング速
度が上昇してしまうからである。近年では、SixNy
膜上におけるSiOx膜のエッチングをフルオロカーボ
ン系ガスのプラズマを用いて行い、このとき生成するフ
ルオロカーボン系ポリマーを利用して選択比を確保する
方法が主流となっている。また、このポリマー生成によ
るエッチング速度の低下は、ECRプラズマ,誘導結合
プラズマ,ヘリコン波プラズマといった、イオン電流密
度5mA/cm2 以上を達成可能ないわゆる高密度プラ
ズマを用いることで解決しようとする傾向にある。しか
しながらこの方法には、続くSixNy膜のエッチング
に際して表面に堆積したポリマーの完全除去が難しいと
いう問題があり、技術の選択肢も少ないのが現状であ
る。
However, while the SiOx film is etched by a mechanism mainly composed of ion-assisted reaction,
The SixNy film is etched based on the radical reaction mechanism using F * as the main etching species, and the etching rate is slightly higher than that of the SiOx film. Therefore, the dry etching of the SixNy film on the SiOx film can be dealt with by increasing the radical property of the etching reaction system, and several processes have been proposed so far.
However, it is more difficult to secure the selectivity by the opposite etching of the SiOx film on the SixNy film. Because the mechanism mainly composed of ion-assisted reaction causes S
This is because even if the iOx film is etched, radicals are always generated in the reaction system, and when the SixNy film is exposed, the radicals increase the etching rate of the SixNy film. In recent years, SixNy
The mainstream method is to perform etching of the SiOx film on the film using plasma of fluorocarbon-based gas and to secure the selection ratio by utilizing the fluorocarbon-based polymer generated at this time. In addition, this decrease in etching rate due to polymer formation tends to be solved by using so-called high-density plasma that can achieve an ion current density of 5 mA / cm 2 or more, such as ECR plasma, inductively coupled plasma, and helicon wave plasma. is there. However, this method has a problem that it is difficult to completely remove the polymer deposited on the surface during the subsequent etching of the SixNy film, and there are currently few technical options.

【0011】そこで本発明は、上述の問題を解決し、S
ACプロセスの新たな選択肢となり得る接続孔の形成方
法を提供することを目的とする。
Therefore, the present invention solves the above-mentioned problems by using S
It is an object of the present invention to provide a method for forming a connection hole which can be a new option for an AC process.

【0012】[0012]

【課題を解決するための手段】本発明の接続孔の形成方
法は、基板上に形成された電極パターンの隣接部位にお
いて該基板に臨む接続孔をSACプロセスにより形成す
る際に、従来のSixNy膜に代わりSiOxNy系膜
をエッチング停止膜として用いることで、上述の目的を
達成しようとするものである。
According to the method of forming a connection hole of the present invention, a conventional SixNy film is used when a connection hole facing the substrate is formed by an SAC process at a portion adjacent to an electrode pattern formed on the substrate. Instead of this, a SiOxNy-based film is used as an etching stop film to achieve the above-mentioned object.

【0013】[0013]

【発明の実施の形態】本発明では、SiOx/SiOx
Ny間で選択比を確保したエッチングが重要なポイント
となる。SiOxNy膜は、原子組成比がおおよそS
i:O:N=2:1:1であり、50%程度がSiで占
められるシリコン・リッチな組成を有し、このことから
も推察されるように、ドライエッチングに際してSiと
SiOxの中間的なエッチング特性を示す。このSiO
xNy膜の上でSiOx膜をフルオロカーボン系ガスを
用いてエッチングすると、SiOxNy膜は膜中のO原
子がSiOx膜に比べて少ないため、その露出面ではC
原子の除去が進行せず、結果的にカーボン系ポリマーの
堆積が促進されてエッチング速度が低下する。つまり、
SiOxNy膜の表面における選択性の達成機構は、S
i膜上におけるそれと類似している。これに対し、従来
からエッチング停止膜として多く用いられてきたSix
Ny膜は、Si組成比がSiOxに近く、前述したとお
りエッチング特性も元来SiOxに類似しており、本質
的に高い選択比を望むことができない。本発明ではSi
OxNy膜をエッチング停止膜として用いることによ
り、Si/SiOx間の選択比には及ばないものの、S
ixNy/SiOx間に比べれば2倍程度高い選択比を
達成することができ、エッチングが容易となる。
BEST MODE FOR CARRYING OUT THE INVENTION In the present invention, SiOx / SiOx
Etching that secures the selection ratio between Ny is an important point. The SiOxNy film has an atomic composition ratio of approximately S.
i: O: N = 2: 1: 1, and has a silicon-rich composition in which about 50% is occupied by Si. As can be inferred from this, an intermediate between Si and SiOx is obtained during dry etching. Shows excellent etching characteristics. This SiO
When the SiOx film is etched on the xNy film using a fluorocarbon-based gas, the SiOxNy film has a smaller number of O atoms than the SiOx film.
The removal of atoms does not proceed, and as a result, the deposition of carbon-based polymer is promoted and the etching rate is reduced. That is,
The mechanism for achieving the selectivity on the surface of the SiOxNy film is S
It is similar to that on the i-membrane. On the other hand, Six, which has been often used as an etching stop film, has been used.
The Si composition ratio of the Ny film is close to that of SiOx, and as described above, the etching characteristic is originally similar to that of SiOx, and it is essentially impossible to expect a high selection ratio. In the present invention, Si
By using the OxNy film as the etching stop film, the selectivity ratio between Si / SiOx is not reached, but S
A selection ratio about twice as high as that of ixNy / SiOx can be achieved, and etching becomes easy.

【0014】本発明ではこのようなSiOx膜とSiO
xNy膜との本来的なエッチング特性の差を利用するの
で、SiOx膜のエッチング時にフルオロカーボン系化
合物を含むエッチング・ガスを用いたとしても、従来の
ように過剰量のカーボン系ポリマーを発生させる必要が
ない。このため、SiOxNyエッチング停止膜自身の
除去も容易となる。このSiOxNyエッチング停止膜
の除去は、典型的にはSi用のエッチング条件にしたが
ってハロゲン系エッチング・ガスを用いて行うことがで
きるので、下地のSiOx膜、すなわちオフセット酸化
膜やサイドウォールに対して高選択比を達成することが
できる。ただし、SiOx膜のエッチングに用いられる
フルオロカーボン系ガスを用いた場合にも、堆積性をや
や抑え、フッ素ラジカルの生成を促進するような条件に
調整すれば、良好なエッチングは十分に可能である。
In the present invention, such a SiOx film and SiO
Since the inherent difference in etching characteristics from the xNy film is utilized, it is necessary to generate an excessive amount of carbon-based polymer as in the conventional case even when an etching gas containing a fluorocarbon compound is used when etching the SiOx film. Absent. Therefore, the SiOxNy etching stop film itself can be easily removed. Since the removal of the SiOxNy etching stop film can be typically performed using a halogen-based etching gas according to the etching conditions for Si, it is possible to remove the SiOxNy etching stop film against the underlying SiOx film, that is, the offset oxide film and the sidewalls. Selectivity can be achieved. However, even when the fluorocarbon-based gas used for etching the SiOx film is used, good etching can be sufficiently performed by adjusting the conditions such that the deposition property is slightly suppressed and the production of fluorine radicals is promoted.

【0015】本発明におけるSiOxNy系エッチング
停止膜は、オフセット絶縁膜と前記サイドウォールとに
接して設けられるのが一般的である。この場合、SiO
x系絶縁膜のエッチングをSiOxNy系エッチング停
止膜に対して十分に高い選択比を確保できる条件で行っ
た後、SiOxNy系エッチング停止膜のエッチングを
今度はオフセット絶縁膜やサイドウォールに対して十分
に高い選択比を確保できる条件で行うことになる。ここ
で、エッチング停止膜は一般に数十nmの薄い膜である
ため、このエッチングを単極式静電チャックの残留電荷
除去放電を兼ねて行うことも可能である。単極式静電チ
ャックとは、絶縁ステージに埋設された単一の内部電極
に所定の極性の直流電圧を印加してウェハを吸着させる
機構である。この方式において、対向アースはプラズマ
を経由してプラズマ・チャンバの壁でとられるため、ウ
ェハをステージから脱着させる際にも何らかのガスを放
電させてプラズマを励起しなければならない。本発明で
は、このときに放電させるガスとしてSiOxNy膜用
のエッチング・ガスを導入することにより、残留電荷の
除去とSiOxNy系エッチング停止膜のエッチングと
を同時に行い、これによりスループットの向上を図るこ
とができる。
The SiOxNy type etching stop film in the present invention is generally provided in contact with the offset insulating film and the sidewall. In this case, SiO
After the x-based insulating film is etched under the condition that a sufficiently high selection ratio can be secured with respect to the SiOxNy-based etching stop film, the etching of the SiOxNy-based etching stop film is performed sufficiently for the offset insulating film and the sidewall. It will be performed under the condition that a high selection ratio can be secured. Here, since the etching stop film is generally a thin film with a thickness of several tens of nm, it is possible to perform this etching also as the residual charge removing discharge of the monopolar electrostatic chuck. The monopolar electrostatic chuck is a mechanism for applying a DC voltage of a predetermined polarity to a single internal electrode buried in an insulating stage to attract a wafer. In this method, the opposite earth is taken at the wall of the plasma chamber via the plasma, so some gas must be discharged to excite the plasma even when the wafer is being removed from the stage. In the present invention, by introducing an etching gas for the SiOxNy film as a gas to be discharged at this time, the residual charges are removed and the SiOxNy-based etching stop film is etched at the same time, thereby improving the throughput. it can.

【0016】なお、本発明におけるSiOxNy系エッ
チング停止膜は、SiOx系絶縁膜の膜厚方向の一部に
介在される形で設けても良い。つまり、オフセット絶縁
膜とSiOxNy系エッチング停止膜との間に、適当な
膜厚のSiOx系絶縁膜を介在させる形式である。しか
し、エッチング停止膜の本来の役目は、平坦化により局
部的に大きな膜厚差を生じた層間絶縁膜のオーバーエッ
チングから下地パターンを保護することであるから、こ
のエッチング停止膜が層間絶縁膜の余りにも表層近くに
あったのでは意味がない。したがって、介在されるSi
Ox系絶縁膜は十分に薄く、その上のSiOxNy系エ
ッチング停止膜が下地パターンのプロファイルを十分に
反映できる様でなければならない。
The SiOxNy-based etching stop film in the present invention may be provided so as to be interposed in a part of the SiOx-based insulating film in the film thickness direction. That is, the SiOx-based insulating film having an appropriate thickness is interposed between the offset insulating film and the SiOxNy-based etching stop film. However, since the original function of the etching stopper film is to protect the underlying pattern from over-etching of the interlayer insulating film that has caused a large local difference in film thickness due to flattening, this etching stopper film serves as an interlayer insulating film. There is no point in being too close to the surface. Therefore, the intervening Si
The Ox-based insulating film must be sufficiently thin so that the SiOxNy-based etching stop film thereon can sufficiently reflect the profile of the underlying pattern.

【0017】このように、SiOxNy系エッチング停
止膜の下にも薄いSiOx系絶縁膜を介在させた場合、
SiOxNy系エッチング停止膜のエッチングを終了し
た後に再度SiOx系絶縁膜のエッチングを行わなけれ
ばならないので、エッチングの手間は必然的に増える。
しかし、SiOxNy膜はH含有量によっては耐湿性が
若干不足することもあるので、このような場合に耐湿性
に優れるSiOx系絶縁膜を介在させておくことは、半
導体デバイスの信頼性を向上させる観点から有効であ
る。
As described above, when the thin SiOx insulating film is interposed below the SiOxNy etching stop film,
Since the etching of the SiOx-based insulating film has to be performed again after the etching of the SiOxNy-based etching stop film has been completed, the time and effort required for the etching inevitably increase.
However, the moisture resistance of the SiOxNy film may be slightly insufficient depending on the H content. Therefore, in such a case, interposing the SiOx insulating film having excellent moisture resistance improves the reliability of the semiconductor device. It is effective from the viewpoint.

【0018】ところで、上記SiOxNy膜は、エキシ
マ・レーザ波長域において適度な光学定数(n,k)
(ただし、nは複素振幅屈折率の実数部,kは虚数部係
数である。)を示すことから、本願出願人が以前に反射
防止膜として提案した材料膜である。したがって、これ
をフォトリソグラフィの露光波長λに対してλ/4nの
奇数倍の膜厚dに成膜すれば、最も効果的な反射防止効
果を発揮する。この膜の光学定数(n,k)は原子組成
に依存するが、実際には、複素振幅屈折率の実数部nの
値はKrFエキシマ・レーザ波長(=248nm)にお
いてほぼ2.1で一定であり、膜の光吸収に関連する虚
数部係数kの値がO原子の組成比の増大(すなわち組成
式中のxの値の上昇)に伴って小さくなる。このような
光学特性は、反射防止膜の設計上、好都合である。それ
は、複素屈折率の実数部nがほぼ一定であるゆえ、膜厚
dの選択次第で多重干渉の位相を制御することができ、
膜の光吸収で反射光の振幅(すなわち反射光の強さ)が
制御可能となるからである。
By the way, the SiOxNy film has an appropriate optical constant (n, k) in the excimer laser wavelength range.
(However, n is the real part of the complex amplitude refractive index, and k is the imaginary part coefficient.) Therefore, the applicant of the present invention has proposed the antireflection film before. Therefore, the most effective antireflection effect is exhibited by forming the film with a film thickness d that is an odd multiple of λ / 4n with respect to the exposure wavelength λ of photolithography. The optical constant (n, k) of this film depends on the atomic composition, but in reality, the value of the real part n of the complex amplitude refractive index is constant at about 2.1 at the KrF excimer laser wavelength (= 248 nm). Then, the value of the imaginary part coefficient k related to the light absorption of the film becomes smaller as the composition ratio of O atoms increases (that is, the value of x in the composition formula increases). Such optical characteristics are convenient in designing the antireflection film. Since the real part n of the complex refractive index is almost constant, the phase of multiple interference can be controlled depending on the selection of the film thickness d.
This is because the light absorption of the film makes it possible to control the amplitude of reflected light (that is, the intensity of reflected light).

【0019】本発明のSiOxNy系エッチング停止膜
は、典型的にはCVD法、または成膜後のイオン注入に
より成膜または形成することができる。CVDにはSi
4とN2 Oとの混合ガスを用い、好ましくはプラズマ
CVDを行う。このとき使用可能なCVD装置として
は、たとえば平行平板型プラズマCVD装置、ECRプ
ラズマCVD装置、誘導結合プラズマCVD装置、ヘリ
コン波プラズマCVD装置を挙げることができる。この
膜の原子組成比は原料ガスの流量比にもとづいて変化さ
せることができ、これによって特にkの値が変化する。
なお、SiOxNy膜には通常、SiH4 ガスに由来す
る若干量の水素(H)原子が含まれるので、この膜の組
成をSiOxNy:Hと表記することもあるが、本明細
書ではこのことを認識した上で、簡単のためにSiOx
Nyと表記する。
The SiOxNy-based etching stop film of the present invention can be typically formed or formed by the CVD method or by ion implantation after the film formation. Si for CVD
Plasma CVD is preferably performed using a mixed gas of H 4 and N 2 O. Examples of the CVD apparatus that can be used at this time include a parallel plate plasma CVD apparatus, an ECR plasma CVD apparatus, an inductively coupled plasma CVD apparatus, and a helicon wave plasma CVD apparatus. The atomic composition ratio of this film can be changed based on the flow rate ratio of the raw material gas, and in particular, the value of k changes.
Since the SiOxNy film usually contains a small amount of hydrogen (H) atoms derived from SiH 4 gas, the composition of this film may be referred to as SiOxNy: H, but this is referred to in this specification. Recognize, and for simplicity, SiOx
Notated as Ny.

【0020】一方、本発明のSiOxNy系エッチング
停止膜をイオン注入により形成する場合には、最初にS
i膜,SiOx膜,SixNy膜から選ばれるいずれか
の膜を多結晶膜ないしアモルファス膜として成膜してお
き、不足する元素をイオン注入により導入する。たとえ
ば、最初にSi膜を成膜した場合には、この膜に酸素
(O)と窒素(N)のイオン注入を行い、また最初にS
iOx膜を成膜した場合には、この膜にNをイオン注入
すれば良い。
On the other hand, when the SiOxNy-based etching stop film of the present invention is formed by ion implantation, first, S
Any film selected from the i film, the SiOx film, and the SixNy film is formed as a polycrystalline film or an amorphous film, and the deficient element is introduced by ion implantation. For example, when a Si film is first formed, oxygen (O) and nitrogen (N) ions are implanted into this film, and S
When the iOx film is formed, N may be ion-implanted into this film.

【0021】[0021]

【実施例】以下、本発明の具体的な実施例について説明
する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, specific embodiments of the present invention will be described.

【0022】実施例1 本実施例は、2本のワード線の間でSRAMのビット線
引出し電極を基板にコンタクトさせるSACプロセスに
関するものであり、ポリサイド・ゲート電極を覆うオフ
セット酸化膜(SiOx)とサイドウォール(SiO
x)の表面をSiOxNyエッチング停止膜で被覆し
た。このプロセスを、図1ないし図4を参照しながら説
明する。
Embodiment 1 This embodiment relates to the SAC process of contacting the substrate of the bit line extraction electrode of the SRAM between two word lines with an offset oxide film (SiOx) covering the polycide gate electrode. Sidewall (SiO
The surface of x) was covered with a SiOxNy etch stop film. This process will be described with reference to FIGS.

【0023】まず、予めウェル形成や素子分離を行った
Si基板1の表面をたとえばパイロジェニック酸化法で
熱酸化することにより、厚さ約8nmのゲート酸化膜2
を形成した。続いて、たとえば減圧CVD法により厚さ
約140nmのタングステン・ポリサイド膜と厚さ約5
0nmのSiOx膜と順次成膜し、これらの膜を共通の
レジスト・マスクを介してドライエッチングすることに
より、ゲート電極3(polySi/WSix)とオフ
セット酸化膜からなる積層パターンを形成した。ここ
で、上記ゲート電極3は、下層側から順に厚さ約70n
mのn+ 型ポリシリコン膜(polySi)と、厚さ約
70nmのタングステン・シリサイド膜(WSix )と
の積層構造を有する。また、ゲート電極3の線幅および
配線間スペースは、共に約0.25μmとした。
First, the gate oxide film 2 having a thickness of about 8 nm is formed by thermally oxidizing the surface of the Si substrate 1 on which wells have been formed and elements have been separated in advance by, for example, a pyrogenic oxidation method.
Was formed. Subsequently, for example, by a low pressure CVD method, a tungsten polycide film having a thickness of about 140 nm and a thickness of about 5 are formed.
A 0 nm SiOx film was sequentially formed, and these films were dry-etched through a common resist mask to form a laminated pattern of the gate electrode 3 (polySi / WSix) and the offset oxide film. Here, the gate electrode 3 has a thickness of about 70 n in order from the lower layer side.
having a m of the n + -type polysilicon film (polySi), a layered structure of a tungsten silicide film having a thickness of about 70nm (WSi x). The line width of the gate electrode 3 and the space between the wirings were both about 0.25 μm.

【0024】次に、上記積層パターンをマスクとしてA
+ の低濃度イオン注入を行い、シリコン基板1の表層
部にLDD領域を形成した。このときのイオン注入条件
は、たとえばイオン加速エネルギー20keV,ドース
量6×1012/cm2 とした。次に、基体の全面に厚さ
約150nmのSiOx膜を堆積させた後これを等方的
にエッチバックし、上記積層パターンの側壁面にサイド
ウォール5を形成した。さらに、先の積層パターンとこ
のサイドウォール5の双方をマスクとしてAs+ の高濃
度イオン注入を行った。このときのイオン注入条件は、
たとえばイオン加速エネルギー20keV,ドース量3
×1015/cm2 とした。さらに1050℃,10秒間
のRTA(ラピッド・サーマル・アニール)を行って不
純物(As)を活性化させ、LDD構造を有するソース
/ドレイン領域6を形成した。
Next, using the above laminated pattern as a mask, A
Low concentration ion implantation of s + was performed to form an LDD region in the surface layer of the silicon substrate 1. The ion implantation conditions at this time were, for example, an ion acceleration energy of 20 keV and a dose of 6 × 10 12 / cm 2 . Next, a SiOx film having a thickness of about 150 nm was deposited on the entire surface of the substrate and then isotropically etched back to form a sidewall 5 on the sidewall surface of the above-mentioned laminated pattern. Further, high-concentration ion implantation of As + was performed using both the above-mentioned laminated pattern and this sidewall 5 as a mask. The ion implantation conditions at this time are
For example, ion acceleration energy of 20 keV and dose of 3
It was set to × 10 15 / cm 2 . Further, RTA (Rapid Thermal Annealing) was performed at 1050 ° C. for 10 seconds to activate the impurities (As) to form the source / drain regions 6 having the LDD structure.

【0025】次に、この基体の全面をコンフォーマルに
覆うSiOxNyエッチング停止膜を約30nmの厚さ
に成膜した。このときの成膜条件は、たとえば 装置 平行平板型プラズマCVD装置 ウェハ・サイズ 6 インチ SiH4 流量 50 SCCM N2 O流量 50 SCCM 圧力 10 Pa RFパワー 1000 W(13.56 MHz) 成膜温度 300 ℃ 電極間距離 400 mils(約1cm) とした。
Next, a SiOxNy etching stopper film conformally covering the entire surface of this substrate was formed to a thickness of about 30 nm. The film forming conditions at this time are, for example, a parallel plate plasma CVD apparatus, a wafer size, 6 inches, a SiH 4 flow rate, 50 SCCM N 2 O flow rate, 50 SCCM pressure, 10 Pa, RF power, 1000 W (13.56 MHz), and a film forming temperature, 300 ° C. The distance between the electrodes was 400 mils (about 1 cm).

【0026】次に、たとえばO3 −TEOS常圧CVD
法によりSiOx層間絶縁膜8を約500nmの厚さに
成膜して、基体の表面をほぼ平坦化した。この後、Si
Ox層間絶縁膜8の上にレジスト・パターン9を形成し
た。このときのレジスト・パターニングは、化学増幅系
ポジ型フォトレジスト材料(和光純薬社製,商品名WK
R−PT1)とKrFエキシマ・レーザ・ステッパを用
いて行い、配線間スペースをカバーする直径約0.3μ
mの開口を設けた。このときのパターニングは、定在波
効果を抑えた良好な状態で行われた。これは、先に形成
されたSiOxNyエッチング停止膜7の膜厚をほぼλ
/4n(ただし、λ=248nm,n=2.1)に等し
く設定したために、反射防止効果が得られたからであ
る。図1には、ここまでのプロセスを終了した状態を示
した。
Next, for example, O 3 -TEOS atmospheric pressure CVD
A SiOx interlayer insulating film 8 was formed to a thickness of about 500 nm by the method to flatten the surface of the substrate. After this, Si
A resist pattern 9 was formed on the Ox interlayer insulating film 8. The resist patterning at this time was performed using a chemically amplified positive photoresist material (Wako Pure Chemical Industries, Ltd., trade name WK
R-PT1) and KrF excimer laser stepper, diameter of about 0.3μ to cover the space between wires
m openings were provided. The patterning at this time was performed in a good state in which the standing wave effect was suppressed. This is because the thickness of the SiOxNy etching stop film 7 formed earlier is approximately λ.
This is because the antireflection effect was obtained because the value was set equal to / 4n (where λ = 248 nm, n = 2.1). FIG. 1 shows a state in which the processes up to this point have been completed.

【0027】次に、市販の酸化シリコン膜エッチング用
ECR型プラズマ・エッチング装置(以下、ECR型酸
化膜エッチャーと称する。)を用い、上記SiOx層間
絶縁膜8を選択的にエッチングした。このときのエッチ
ング条件は、たとえば CHF3 流量 35 SCCM CH22 流量 15 SCCM 圧力 0.27 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 200 W(800 kHz) ウェハ温度 20 ℃ オーバーエッチング率 30 % とした。この結果、図2に示されるように、コンタクト
ホール10が途中まで形成され、その底面にSiOxN
yエッチング停止膜7が露出した状態となった。上記の
エッチングでは、高密度プラズマ中で豊富に発生するイ
オンを利用して実用的な速度でエッチングが進行する。
またこれと共に、プラズマ中に生成する適度な量のフル
オロカーボン系ポリマーがSiOxNy膜に対する選択
比を確保する役目を果たすので、エッチングは図2に示
されるように、SiOxNyエッチング停止膜7が露出
したところで停止する。このときの対SiOxNy選択
比は平坦部で約30、イオン衝撃に弱いコーナー部で約
25であり、従来の対SixNy選択比の値(平坦部で
約5,肩部で約2)よりも高い値であった。
Next, the SiOx interlayer insulating film 8 was selectively etched using a commercially available ECR type plasma etching apparatus for etching a silicon oxide film (hereinafter referred to as an ECR type oxide film etcher). The etching conditions at this time are, for example, CHF 3 flow rate 35 SCCM CH 2 F 2 flow rate 15 SCCM pressure 0.27 Pa microwave power 1200 W (2.45 GHz) RF bias power 200 W (800 kHz) wafer temperature 20 ° C. The overetching rate was set to 30%. As a result, as shown in FIG. 2, the contact hole 10 is formed halfway and SiOxN is formed on the bottom surface thereof.
y The etching stop film 7 was exposed. In the above-mentioned etching, the etching proceeds at a practical rate by utilizing the ions generated abundantly in the high-density plasma.
Along with this, an appropriate amount of fluorocarbon-based polymer generated in plasma plays a role of ensuring a selection ratio with respect to the SiOxNy film, so that the etching stops when the SiOxNy etching stop film 7 is exposed, as shown in FIG. To do. At this time, the selection ratio to SiOxNy is about 30 at the flat portion and about 25 at the corner portion which is weak against ion bombardment, which is higher than the conventional selection ratio to SixNy (about 5 at the flat portion and about 2 at the shoulder portion). It was a value.

【0028】次に、同じくECR型酸化膜エッチャーを
用いて、上記のSiOxNyエッチング停止膜7の露出
部分を選択的に除去した。このときのエッチング条件
は、たとえば CHF3 流量 50 SCCM O2 流量 10 SCCM 圧力 0.27 Pa マイクロ波パワー 1000 W(2.45 GHz) RFバイアス・パワー 150 W(800 kHz) ウェハ温度 30 ℃ オーバーエッチング率 20 % とした。
Next, the exposed portion of the SiOxNy etching stop film 7 was selectively removed by using the same ECR type oxide film etcher. The etching conditions at this time are, for example, CHF 3 flow rate 50 SCCM O 2 flow rate 10 SCCM pressure 0.27 Pa microwave power 1000 W (2.45 GHz) RF bias power 150 W (800 kHz) wafer temperature 30 ° C. overetching The rate was 20%.

【0029】上記の条件は、前述のSiOx層間絶縁膜
8のエッチング条件に比べてフルオロカーボン系ポリマ
ーの堆積性を弱めると共に、O2 ガスでCHF3 ガスの
解離を促進してF* を大量に生成させ、オフセット酸化
膜4やサイドウォール5に対して選択比を確保できるよ
うに設定されている。ただし、若干生成するフルオロカ
ーボン系ポリマーは、主としてシリコン基板1の表面に
堆積して対Si選択比の確保に寄与する。このエッチン
グを終了し、コンタクトホール10が完成された状態
を、図3に示す。
The above conditions weaken the deposition property of the fluorocarbon polymer as compared with the above-mentioned etching conditions of the SiOx interlayer insulating film 8 and promote the dissociation of CHF 3 gas by O 2 gas to generate a large amount of F *. The offset oxide film 4 and the sidewalls 5 are set so that a selection ratio can be secured. However, a small amount of fluorocarbon-based polymer is deposited mainly on the surface of the silicon substrate 1 and contributes to ensuring the selection ratio with respect to Si. A state in which this etching is completed and the contact hole 10 is completed is shown in FIG.

【0030】この後、図4に示されるように、上記コン
タクトホール10を被覆するごとくAl系多層膜からな
る上層配線11(Al)を形成し、ビット線コンタクト
を完成させた。上記Al系多層膜は、たとえばTi系密
着層/Al−1%Si膜/TiN反射防止膜の3層構造
を有するものである。本発明によれば、SiOx層間絶
縁膜8およびSiOxNyエッチング停止膜7のドライ
エッチングがいずれも制御性良く行われるため、ゲート
電極3と上層配線11との間の耐圧不良や短絡、あるい
はエッチング残渣が発生せず、信頼性の高い半導体デバ
イスを作成することが可能となる。
After this, as shown in FIG. 4, an upper wiring 11 (Al) made of an Al-based multi-layered film was formed so as to cover the contact hole 10 to complete the bit line contact. The Al-based multilayer film has, for example, a three-layer structure of Ti-based adhesion layer / Al-1% Si film / TiN antireflection film. According to the present invention, since the dry etching of the SiOx interlayer insulating film 8 and the SiOxNy etching stop film 7 is performed with good controllability, a withstand voltage defect or a short circuit between the gate electrode 3 and the upper layer wiring 11, or an etching residue may occur. It is possible to create a highly reliable semiconductor device that does not occur.

【0031】実施例2 SiOxNyエッチング停止膜7はSiとSiOxの中
間的なエッチング特性を有するので、本実施例2では、
このSiOxNyエッチング停止膜7をSi用のエッチ
ング条件でエッチングした例について説明する。サンプ
ル・ウェハの構成およびSiOx層間絶縁膜8のエッチ
ングまでは、実施例1で説明した通りである。
Example 2 Since the SiOxNy etching stop film 7 has an intermediate etching property between Si and SiOx, in the present Example 2,
An example in which the SiOxNy etching stop film 7 is etched under the etching conditions for Si will be described. The structure of the sample wafer and the etching of the SiOx interlayer insulating film 8 are as described in the first embodiment.

【0032】本実施例では、市販のシリコン膜エッチン
グ用ECR型プラズマ・エッチング装置(以下、ECR
型シリコン・エッチャーと称する。)を用い、SiOx
Nyエッチング停止膜7を選択的にエッチングした。こ
のときのエッチング条件は、たとえば Cl2 流量 50 SCCM 圧力 0.27 Pa マイクロ波パワー 1000 W(2.45 GHz) RFバイアス・パワー 100 W(800 kHz) ウェハ温度 30 ℃ オーバーエッチング率 10 % とした。
In this embodiment, a commercially available ECR type plasma etching apparatus for etching a silicon film (hereinafter referred to as ECR
Type Silicon Etcher. ), SiOx
The Ny etching stop film 7 was selectively etched. The etching conditions at this time were, for example, Cl 2 flow rate 50 SCCM pressure 0.27 Pa microwave power 1000 W (2.45 GHz) RF bias power 100 W (800 kHz) wafer temperature 30 ° C. overetching rate 10%. .

【0033】SiOxNy膜はSiが原子組成の約50
%を占めており、上記のようなシリコン用のエッチング
条件でも十分に実用的な速度でエッチングでき、オフセ
ット酸化膜4やサイドウォール5に対する選択性も何ら
問題ない。ただし、Si用のエッチング条件ではシリコ
ン基板1に対する選択性は原理的に得られないので、上
記の例ではオーバーエッチング量を少なく設定すること
により、シリコン基板1の侵食を最小限に抑えた。
In the SiOxNy film, Si has an atomic composition of about 50.
%, The etching can be performed at a sufficiently practical rate even under the etching conditions for silicon as described above, and there is no problem with the selectivity with respect to the offset oxide film 4 and the sidewall 5. However, since the selectivity for the silicon substrate 1 cannot be obtained in principle under the etching conditions for Si, in the above example, the erosion of the silicon substrate 1 was minimized by setting the overetching amount to be small.

【0034】実施例3 本実施例では、SiOxNyエッチング停止膜7をアモ
ルファス・シリコン膜へのO2 +およびN2 +のイオン注入
により形成した。
Example 3 In this example, the SiOxNy etching stop film 7 was formed by ion implantation of O 2 + and N 2 + into an amorphous silicon film.

【0035】すなわち、サイドウォール5の形成までを
実施例1と同様に行った後、プラズマCVD法によりま
ずアモルファス・シリコン膜を成膜した。成膜条件はた
とえば、 装置 平行平板型プラズマCVD装置 ウェハ・サイズ 6 インチ SiH4 流量 50 SCCM Ar流量 50 SCCM 圧力 10 Pa RFパワー 800 W(13.56 MHz) 成膜温度 300 ℃ 電極間距離 400 mils(約1cm) とした。
That is, after forming the side walls 5 in the same manner as in Example 1, first, an amorphous silicon film was formed by the plasma CVD method. The film forming conditions are, for example, an apparatus: a parallel plate plasma CVD apparatus, a wafer size: 6 inches, a SiH 4 flow rate, 50 SCCM, an Ar flow rate, 50 SCCM, a pressure, 10 Pa, an RF power, 800 W (13.56 MHz), a deposition temperature, 300 ° C., an interelectrode distance, 400 mils (About 1 cm).

【0036】次に、上記のアモルファス・シリコン膜
に、イオン注入を行った。このイオン注入は、たとえば ドーパント O2 +,N2 + イオン加速エネルギー 20keV,50keVの2段階 ドース量 各1×1017/cm2 とした。これにより、SiOxNyエッチング停止膜7
を完成させた。
Next, ion implantation was performed on the above amorphous silicon film. This ion implantation is performed with a two-step dose amount of 1 × 10 17 / cm 2 each of, for example, dopant O 2 + , N 2 + ion acceleration energies of 20 keV and 50 keV. As a result, the SiOxNy etching stop film 7
Was completed.

【0037】次に、実施例1と同様にSiOx層間絶縁
膜8の成膜およびレジスト・パターニングを行った後、
酸化シリコン膜エッチング用誘導結合型プラズマ・エッ
チング装置(以下、ICP型酸化膜エッチャーと称す
る。)を用いて上記SiOx層間絶縁膜8を選択的にド
ライエッチングした。このときのエッチング条件は、た
とえば C38 流量 20 SCCM Ar流量 40 SCCM 圧力 0.27 Pa RFソース・パワー 2000 W(2 MHz) RFバイアス・パワー 1000 W(1.8 MHz) ウェハ温度 30 ℃ 上部電極温度 250 ℃ オーバーエッチング率 30 % とした。このエッチングは、SiOxNyエッチング停
止膜7に対して約30(コーナー部では約25)と高い
選択比を維持しながら進行した。
Next, after the SiOx interlayer insulating film 8 is formed and resist patterning is performed as in the first embodiment,
The SiOx interlayer insulating film 8 was selectively dry-etched using an inductively coupled plasma etching apparatus for etching a silicon oxide film (hereinafter referred to as an ICP oxide film etcher). The etching conditions at this time are, for example, C 3 F 8 flow rate 20 SCCM Ar flow rate 40 SCCM pressure 0.27 Pa RF source power 2000 W (2 MHz) RF bias power 1000 W (1.8 MHz) wafer temperature 30 ° C. The upper electrode temperature was 250 ° C. and the overetching rate was 30%. This etching proceeded while maintaining a high selection ratio of about 30 (about 25 at the corners) with respect to the SiOxNy etching stop film 7.

【0038】次に、同じエッチャーを用い、SiOxN
yエッチング停止膜7をドライエッチングした。このと
きのエッチング条件は、たとえば CHF3 流量 50 SCCM O2 流量 30 SCCM 圧力 0.27 Pa RFソース・パワー 1500 W(2 MHz) RFバイアス・パワー 800 W(1.8 MHz) ウェハ温度 30 ℃ 上部電極温度 150 ℃ オーバーエッチング率 20 % とした。本実施例によっても、良好なエッチングを行っ
てコンタクトホール10を形成することができた。
Next, using the same etcher, SiOxN
y The etching stop film 7 was dry-etched. The etching conditions at this time are, for example, CHF 3 flow rate 50 SCCM O 2 flow rate 30 SCCM pressure 0.27 Pa RF source power 1500 W (2 MHz) RF bias power 800 W (1.8 MHz) wafer temperature 30 ° C. upper part The electrode temperature was 150 ° C. and the overetching rate was 20%. Also in this example, the contact hole 10 could be formed by performing good etching.

【0039】実施例4 本実施例では、実施例3で述べたSiOxNyエッチン
グ停止膜7をSi用のドライエッチング条件でエッチン
グした例について述べる。SiOx層間絶縁膜7のエッ
チングまでは、実施例3で説明した通りである。
Example 4 In this example, an example in which the SiOxNy etching stop film 7 described in Example 3 is etched under the dry etching conditions for Si will be described. The steps up to the etching of the SiOx interlayer insulating film 7 are as described in the third embodiment.

【0040】本実施例におけるSiOxNyエッチング
停止膜7のエッチングには、市販のICP型シリコン・
エッチャーを用いた。ただし、実施例3で述べたICP
型酸化膜エッチャーが石英シリンダ型のチャンバの周囲
にマルチターンRFアンテナを巻回させた構造であった
のに対し、本実施例4で用いるICP型シリコン膜エッ
チャーは、チャンバの天板の真上に渦巻状RFアンテナ
を備えた構造とされている。エッチング条件は、たとえ
ば Cl2 流量 50 SCCM 圧力 0.3 Pa RFソース・パワー 2000 W(13.56 MHz) RFバイアス・パワー 300 W(13.56 MHz) ウェハ温度 30 ℃ オーバーエッチング率 10 % とした。本実施例によっても、良好なエッチングを行っ
てコンタクトホール10を形成することができた。
For the etching of the SiOxNy etching stopper film 7 in this embodiment, commercially available ICP type silicon.
An etcher was used. However, the ICP described in the third embodiment
The type oxide film etcher had a structure in which a multi-turn RF antenna was wound around a quartz cylinder type chamber, whereas the ICP type silicon film etcher used in Example 4 was directly above the top plate of the chamber. The structure has a spiral RF antenna. The etching conditions were, for example, Cl 2 flow rate 50 SCCM pressure 0.3 Pa RF source power 2000 W (13.56 MHz) RF bias power 300 W (13.56 MHz) wafer temperature 30 ° C. overetching rate 10% . Also in this example, the contact hole 10 could be formed by performing good etching.

【0041】実施例5 本実施例では、SiOxNyエッチング停止膜7の膜厚
が薄く、そのドライエッチングが短時間で済むことに着
目し、これをSiOx層間絶縁膜8のエッチングを終了
した後の単極式静電チャックの残留電荷の除去を兼ねて
行った。レジスト・パターニングまでは、実施例3と同
様である。
Embodiment 5 In this embodiment, attention is paid to the fact that the SiOxNy etching stop film 7 is thin and the dry etching is completed in a short time. It was also performed to remove the residual charge of the polar electrostatic chuck. The process up to resist patterning is the same as in the third embodiment.

【0042】本実施例では、次のSiOx層間絶縁膜8
とSiOxNyエッチング停止膜7のドライエッチング
を、ECR型酸化膜エッチャーの同じチャンバ内で連続
して行った。最初のSiOx層間絶縁膜8のエッチング
は、たとえば前述の実施例1と同様に、 CHF3 流量 35 SCCM CH22 流量 15 SCCM 圧力 0.27 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 100 W(800 kHz) ウェハ温度 20 ℃ オーバーエッチング率 30 % の条件で行った。
In this embodiment, the following SiOx interlayer insulating film 8 is formed.
And SiOxNy etching stop film 7 were continuously dry-etched in the same chamber of the ECR type oxide film etcher. The first etching of the SiOx interlayer insulating film 8 is performed by, for example, CHF 3 flow rate 35 SCCM CH 2 F 2 flow rate 15 SCCM pressure 0.27 Pa microwave power 1200 W (2.45 GHz) RF similarly to the first embodiment. The bias power was 100 W (800 kHz), the wafer temperature was 20 ° C., and the overetching rate was 30%.

【0043】続くSiOxNyエッチング停止膜7のエ
ッチングは、たとえば Cl2 流量 50 SCCM 圧力 0.27 Pa マイクロ波パワー 1000 W(2.45 GHz) RFバイアス・パワー 100 W(800 kHz) ウェハ温度 30 ℃ 放電時間 30 秒 の条件で行った。この条件は、基本的には実施例2で上
述したシリコン用のエッチング条件と同じである。上記
の放電時間内で単極式静電チャックの残留電荷が除去さ
れる共に、SiOxNyエッチング停止膜7を選択的に
除去することができ、スループットが大幅に向上した。
なお、このようにエッチング停止膜と残留電荷除去とを
兼ねて行う場合には、単極式静電チャックへの逆極性電
荷の帯電やエッチング量の過不足が生じない様、放電時
間の設定に細心の注意を要する。
The subsequent etching of the SiOxNy etching stop film 7 is performed by, for example, Cl 2 flow rate 50 SCCM pressure 0.27 Pa microwave power 1000 W (2.45 GHz) RF bias power 100 W (800 kHz) wafer temperature 30 ° C. discharge It was carried out under the condition of time of 30 seconds. This condition is basically the same as the etching condition for silicon described in the second embodiment. The residual charge of the unipolar electrostatic chuck was removed within the above discharge time, and the SiOxNy etching stopper film 7 could be selectively removed, resulting in a significant improvement in throughput.
When performing the etching stop film and residual charge removal in this way, set the discharge time so that charging of the opposite polarity charge to the unipolar electrostatic chuck and excess or deficiency of the etching amount do not occur. Requires extreme caution.

【0044】以上、本発明の具体的な実施例を5例挙げ
たが、本発明はこれらの実施例に何ら限定されるもので
はない。たとえば、本発明のSiOxNyエッチング停
止膜7は、図5に示されるように、SiOx絶縁膜の膜
厚方向の中途部に形成されていても良い。この場合、コ
ンタクトホール10を開口するためのエッチングは、上
層側SiOx膜8U ,SiOxNyエッチング停止膜
7,下層側SiOx膜8L の順にて、各膜の最適条件を
選択しながら行うことになる。この他、プラズマ源、サ
ンプル・ウェハの構成、使用するCVD装置、堆積条
件、使用するエッチング装置、エッチング条件の細部
は、適宜変更および選択が可能である。
Although five specific examples of the present invention have been described above, the present invention is not limited to these examples. For example, the SiOxNy etching stop film 7 of the present invention may be formed in the middle of the SiOx insulating film in the film thickness direction as shown in FIG. In this case, the etching for opening the contact hole 10 is performed in the order of the upper layer side SiOx film 8 U , the SiOxNy etching stop film 7, and the lower layer side SiOx film 8 L while selecting the optimum conditions for each film. . In addition, the plasma source, the structure of the sample wafer, the CVD apparatus used, the deposition conditions, the etching apparatus used, and the details of the etching conditions can be appropriately changed and selected.

【0045】[0045]

【発明の効果】以上の説明からも明らかなように、本発
明によれば、SixNy膜をエッチング停止膜として用
いる従来のSACプロセスよりも遥かに容易に、コンタ
クトホール形成のためのエッチングを行うことが可能と
なる。これにより、製造される半導体デバイスの性能や
信頼性が向上することはもちろん、SACプロセスの実
用性が高まり、さらにデバイス構造設計の自由度やスル
ープットも向上する。
As is apparent from the above description, according to the present invention, etching for forming a contact hole can be performed much more easily than the conventional SAC process using a SixNy film as an etching stop film. Is possible. As a result, not only the performance and reliability of the manufactured semiconductor device are improved, but also the practicability of the SAC process is improved, and the flexibility and throughput of device structure design are also improved.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明を適用したSRAMのビット線コンタク
トの形成プロセスにおいて、SiOx層間絶縁膜上でレ
ジスト・パターニングを行った状態を示す模式的断面図
である。
FIG. 1 is a schematic cross-sectional view showing a state where resist patterning is performed on a SiOx interlayer insulating film in a process of forming a bit line contact of SRAM to which the present invention is applied.

【図2】図1のSiOx層間絶縁膜を選択的に除去した
状態を示す模式的断面図である。
2 is a schematic cross-sectional view showing a state where the SiOx interlayer insulating film of FIG. 1 is selectively removed.

【図3】図2のコンタクトホールの底面に露出したSi
OxNyエッチング停止膜を選択的に除去した状態を示
す模式的断面図である。
FIG. 3 Si exposed on the bottom surface of the contact hole in FIG.
It is a typical sectional view showing the state where the OxNy etching stop film was selectively removed.

【図4】図3のコンタクトホールを上層配線で被覆した
状態を示す模式的断面図である。
FIG. 4 is a schematic cross-sectional view showing a state in which the contact hole in FIG. 3 is covered with an upper layer wiring.

【図5】本発明を適用してSRAMのビット線コンタク
トを形成する別のプロセス例において、SiOx層間絶
縁膜の中途部に設けられたSiOxNyエッチング停止
膜が露出した状態を示す模式的断面図である。
FIG. 5 is a schematic cross-sectional view showing a state in which a SiOxNy etching stop film provided in the middle of a SiOx interlayer insulating film is exposed in another process example of forming a bit line contact of an SRAM by applying the present invention. is there.

【図6】従来のSRAMのビット線コンタクトの形成プ
ロセスにおいて、SiOx層間絶縁膜上でレジスト・パ
ターニングを行った状態を示す模式的断面図である。
FIG. 6 is a schematic cross-sectional view showing a state in which resist patterning is performed on a SiOx interlayer insulating film in a conventional SRAM bit line contact forming process.

【図7】図6のSiOx層間絶縁膜を選択的に除去する
際に、ゲート電極を被覆するオフセット酸化膜とサイド
ウォールの一部が侵食された状態を示す模式的断面図で
ある。
7 is a schematic cross-sectional view showing a state where an offset oxide film covering a gate electrode and a part of a sidewall are eroded when the SiOx interlayer insulating film of FIG. 6 is selectively removed.

【図8】図7のコンタクトホールを被覆する上層配線が
ゲート電極と短絡した状態を示す模式的断面図である。
8 is a schematic cross-sectional view showing a state in which an upper layer wiring covering the contact hole in FIG. 7 is short-circuited with a gate electrode.

【符号の説明】[Explanation of symbols]

1 シリコン基板 3 ゲート電極 4 オフセット酸化膜(SiOx) 5 サイドウォール(SiOx) 6 ソース/ドレイン領域 7 SiOxNyエッチング停止膜 8 SiOx層間絶縁膜 10 コンタクトホール 11 上層配線 1 Silicon Substrate 3 Gate Electrode 4 Offset Oxide Film (SiOx) 5 Sidewall (SiOx) 6 Source / Drain Region 7 SiOxNy Etching Stop Film 8 SiOx Interlayer Insulation Film 10 Contact Hole 11 Upper Layer Wiring

Claims (8)

【特許請求の範囲】[Claims] 【請求項1】 基板上に、共にSiOx系材料からなる
オフセット絶縁膜とサイドウォールとに囲まれた電極パ
ターンを形成する第1工程と、 SiOx系絶縁膜の膜厚方向の一部にSiOxNy系エ
ッチング停止膜を含む層間絶縁膜で基体の全面を被覆す
る第2工程と、 前記SiOx系絶縁膜と前記SiOxNy系エッチング
停止膜とを、これら両膜間で互いにエッチング選択比を
とり得るごとく個別に調整されたエッチング条件にもと
づいて選択的にエッチングすることにより、少なくとも
底面の一部が前記電極パターンの隣接部位において前記
基板に臨む接続孔を開口する第3工程とを有する接続孔
の形成方法。
1. A first step of forming an electrode pattern surrounded by an offset insulating film and a sidewall both made of a SiOx-based material on a substrate, and a SiOxNy-based film formed on a part of a thickness direction of the SiOx-based insulating film. A second step of covering the entire surface of the substrate with an interlayer insulating film including an etching stopper film, and the SiOx insulating film and the SiOxNy etching stopper film are separately provided so that an etching selection ratio can be obtained between these two films. A third step of forming a connection hole by selectively etching under the adjusted etching conditions to open a connection hole at least a part of the bottom surface of which faces the substrate at a portion adjacent to the electrode pattern.
【請求項2】 前記SiOxNy系エッチング停止膜の
エッチングを、ハロゲン系エッチング・ガスを用いて行
う請求項1記載の接続孔の形成方法。
2. The method for forming a connection hole according to claim 1, wherein the etching of the SiOxNy-based etching stop film is performed using a halogen-based etching gas.
【請求項3】 前記SiOxNy系エッチング停止膜の
エッチングを、フルオロカーボン系化合物を含むエッチ
ング・ガスを用いて行う請求項1記載の接続孔の形成方
法。
3. The method of forming a connection hole according to claim 1, wherein the etching of the SiOxNy-based etching stop film is performed by using an etching gas containing a fluorocarbon compound.
【請求項4】 前記SiOxNy系エッチング停止膜
を、前記オフセット絶縁膜と前記サイドウォールとに接
して設ける請求項1記載の接続孔の形成方法。
4. The method of forming a connection hole according to claim 1, wherein the SiOxNy-based etching stopper film is provided in contact with the offset insulating film and the sidewall.
【請求項5】 前記SiOxNy系エッチング停止膜の
エッチングは、前記SiOx系絶縁膜のエッチングが終
了した後、プラズマ中で被エッチング基板を保持する単
極式静電チャックの残留電荷を除去するためのプラズマ
放電を兼ねて行われる請求項4記載の接続孔の形成方
法。
5. The etching of the SiOxNy-based etching stop film is for removing residual charges of a monopolar electrostatic chuck that holds a substrate to be etched in plasma after the etching of the SiOx-based insulating film is completed. The method for forming a connection hole according to claim 4, wherein the method is also performed as a plasma discharge.
【請求項6】 前記SiOxNy系エッチング停止膜
を、これより上層側のパターンを形成するためのフォト
リソグラフィ用の反射防止膜を兼ねて形成する請求項1
記載の接続孔の形成方法。
6. The SiOxNy-based etching stop film is also formed as an antireflection film for photolithography for forming a pattern on an upper layer side.
The method for forming a connection hole described above.
【請求項7】 前記SiOxNy系エッチング停止膜を
CVD法により成膜する請求項1記載の接続孔の形成方
法。
7. The method of forming a connection hole according to claim 1, wherein the SiOxNy-based etching stop film is formed by a CVD method.
【請求項8】 前記SiOxNy系エッチング停止膜
を、予め形成されたSi膜,SiOx膜,SixNy膜
から選ばれるいずれかの膜に対して不足する元素のイオ
ン注入を行うことにより形成する請求項1記載の接続孔
の形成方法。
8. The SiOxNy-based etching stop film is formed by performing ion implantation of a deficient element into any one of a Si film, a SiOx film, and a SixNy film formed in advance. The method for forming a connection hole described above.
JP01126596A 1996-01-25 1996-01-25 Method for forming connection hole Expired - Fee Related JP3700231B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP01126596A JP3700231B2 (en) 1996-01-25 1996-01-25 Method for forming connection hole

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP01126596A JP3700231B2 (en) 1996-01-25 1996-01-25 Method for forming connection hole

Publications (2)

Publication Number Publication Date
JPH09205143A true JPH09205143A (en) 1997-08-05
JP3700231B2 JP3700231B2 (en) 2005-09-28

Family

ID=11773139

Family Applications (1)

Application Number Title Priority Date Filing Date
JP01126596A Expired - Fee Related JP3700231B2 (en) 1996-01-25 1996-01-25 Method for forming connection hole

Country Status (1)

Country Link
JP (1) JP3700231B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1154502A (en) * 1997-05-07 1999-02-26 Applied Materials Inc Method and device for deposition of etching stopping layer
JP2000299380A (en) * 1998-11-12 2000-10-24 Hyundai Electronics Ind Co Ltd Method for forming contact in semiconductor element
JP2001230320A (en) * 1999-12-24 2001-08-24 Hynix Semiconductor Inc Method for forming self-aligned contact in semiconductor element
EP1152460A2 (en) * 2000-04-25 2001-11-07 Infineon Technologies North America Corp. Method of etching a contact by RIE using a low temperature carbon rich oxy-nitride layer for improving etching selectivity
US6346482B2 (en) 1998-05-08 2002-02-12 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an improved contact structure and a manufacturing method thereof
US6610212B2 (en) 1998-04-24 2003-08-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
KR100510067B1 (en) * 1999-12-30 2005-08-26 주식회사 하이닉스반도체 Self align contact etching method for forming semiconductor device
JP2007005756A (en) * 2005-06-22 2007-01-11 Hynix Semiconductor Inc Method of forming contact hole of semiconductor device
US7666793B2 (en) 2002-03-26 2010-02-23 Sony Corporation Method of manufacturing amorphous metal oxide film and methods of manufacturing capacitance element having amorphous metal oxide film and semiconductor device

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1154502A (en) * 1997-05-07 1999-02-26 Applied Materials Inc Method and device for deposition of etching stopping layer
US6610212B2 (en) 1998-04-24 2003-08-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
US7163641B2 (en) 1998-04-24 2007-01-16 Micron Technology, Inc. Method of forming high aspect ratio apertures
US7608196B2 (en) 1998-04-24 2009-10-27 Micron Technology, Inc. Method of forming high aspect ratio apertures
US6346482B2 (en) 1998-05-08 2002-02-12 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an improved contact structure and a manufacturing method thereof
JP2000299380A (en) * 1998-11-12 2000-10-24 Hyundai Electronics Ind Co Ltd Method for forming contact in semiconductor element
JP2001230320A (en) * 1999-12-24 2001-08-24 Hynix Semiconductor Inc Method for forming self-aligned contact in semiconductor element
KR100510067B1 (en) * 1999-12-30 2005-08-26 주식회사 하이닉스반도체 Self align contact etching method for forming semiconductor device
EP1152460A2 (en) * 2000-04-25 2001-11-07 Infineon Technologies North America Corp. Method of etching a contact by RIE using a low temperature carbon rich oxy-nitride layer for improving etching selectivity
EP1152460A3 (en) * 2000-04-25 2004-02-25 Infineon Technologies North America Corp. Method of etching a contact by RIE using a low temperature carbon rich oxy-nitride layer for improving etching selectivity
US7666793B2 (en) 2002-03-26 2010-02-23 Sony Corporation Method of manufacturing amorphous metal oxide film and methods of manufacturing capacitance element having amorphous metal oxide film and semiconductor device
JP2007005756A (en) * 2005-06-22 2007-01-11 Hynix Semiconductor Inc Method of forming contact hole of semiconductor device

Also Published As

Publication number Publication date
JP3700231B2 (en) 2005-09-28

Similar Documents

Publication Publication Date Title
US6177351B1 (en) Method and structure for etching a thin film perovskite layer
US7482279B2 (en) Method for fabricating semiconductor device using ArF photolithography capable of protecting tapered profile of hard mask
US6171970B1 (en) Method for forming high-density integrated circuit capacitors
EP0858103B1 (en) Method for etching Pt film of semiconductor device
JPH0950986A (en) Formation of connecting hole
US5700349A (en) Method for forming multi-layer interconnections
US7442648B2 (en) Method for fabricating semiconductor device using tungsten as sacrificial hard mask
KR20000029433A (en) Method of manufacturing a semiconductor memory device
KR20080060376A (en) Method for manufacturing semiconductor device
KR100832683B1 (en) Semiconductor device manufacturing method
US6436841B1 (en) Selectivity oxide-to-oxynitride etch process using a fluorine containing gas, an inert gas and a weak oxidant
JP3700231B2 (en) Method for forming connection hole
US6225203B1 (en) PE-SiN spacer profile for C2 SAC isolation window
KR100372894B1 (en) Method For Manufacturing Contact Hole of Semiconductor Device
JP2007227500A (en) Semiconductor memory device, and fabrication process of semiconductor memory device
US6515328B1 (en) Semiconductor devices with reduced control gate dimensions
JPH0774147A (en) Method and apparatus for dry etching
US6921695B2 (en) Etching method for forming a square cornered polysilicon wordline electrode
KR20050116600A (en) Forming method of contact plug in semiconductor device
JPH09293689A (en) Formation of connection hole
KR100190055B1 (en) White electrode manufacturing method of semiconductor device
JPH09120990A (en) Formation of connecting hole
KR100851480B1 (en) Semiconductor device manufacturing method
JPH06267907A (en) Dry etching
KR100507872B1 (en) Method for fabrication of semiconductor device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050329

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050527

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Effective date: 20050621

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Effective date: 20050704

Free format text: JAPANESE INTERMEDIATE CODE: A61

LAPS Cancellation because of no payment of annual fees