JPH06244153A - Dry etching method - Google Patents

Dry etching method

Info

Publication number
JPH06244153A
JPH06244153A JP2591293A JP2591293A JPH06244153A JP H06244153 A JPH06244153 A JP H06244153A JP 2591293 A JP2591293 A JP 2591293A JP 2591293 A JP2591293 A JP 2591293A JP H06244153 A JPH06244153 A JP H06244153A
Authority
JP
Japan
Prior art keywords
film
etching
oxide film
cvd
dry etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2591293A
Other languages
Japanese (ja)
Inventor
Mikio Nishio
幹夫 西尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2591293A priority Critical patent/JPH06244153A/en
Publication of JPH06244153A publication Critical patent/JPH06244153A/en
Pending legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

PURPOSE:To obtain a method by which a very narrow contact hole can be formed by dry etching by feeding a mixed gas of CHF3, CF4, and CO to a reaction chamber and producing CO2 by depositing generated C on the side wall of the chamber so that the deposited C can react with generated O, and then, discharging the produced CO2 to the outside. CONSTITUTION:After depositing a gate oxide film 3 and polysilicon film on a P-type silicon substrate 1 on which an oxide film 2 is formed, an n<+>-type polysilicon film 4 is formed by diffusing P in the polysilicon film. A gate resist pattern 10 is formed by forming a CVD oxide film 5 on the film 4. Then an n<+>-type polysilicon film 4' and CVD oxide film 5' are formed by etching the films 4 and 5 by using the pattern 10 as a mask and a CVD oxide film 6 is formed on the entire surface of the substrate 1 after removing the pattern 10. Thereafter, side wall oxide films 6' are formed by etching the CVD film 6 and diffusion areas 12 are formed by implanting ions into the surface section of the substrate 1, and then, a BPSG film 7 is deposited. After forming the film 7, an opened contact resist pattern 11 is formed by heat treatment. Finally, highly selective etching is performed by using the pattern 11 as a mask.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、ドライエッチング方法
に関し、特に、半導体基板の表面に下地膜、たとえばB
やPを含まないシリコン酸化膜(以下、シリコン酸化膜
と記す)を介して形成されたBPSGに対して選択的に
ドライエッチングを行うドライエッチング方法に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method, and more particularly to a base film such as B on the surface of a semiconductor substrate.
The present invention relates to a dry etching method for selectively performing dry etching on BPSG formed through a silicon oxide film not containing P or P (hereinafter referred to as a silicon oxide film).

【0002】[0002]

【従来の技術】近年、半導体装置においては、素子の高
集積化にともないその微細化が進んできている。そし
て、フォトリソグラフィー技術などの進歩にともないハ
ーフミクロン以下のパターン形成が実現されるにいたっ
ている。しかし、半導体装置の製造においては複数枚の
マスクの位置合わせを行うことにより素子を作成してい
るが、このマスクの位置合わせにおけるズレを0にする
ことはできず、各マスクの作成において位置合わせ余裕
をもたせる必要があり、その位置合わせ余裕の分だけ素
子が大きくなるため、微細化・高集積化を推し進める上
での障害となってきている。
2. Description of the Related Art In recent years, the miniaturization of semiconductor devices has advanced along with the high integration of elements. Then, with the progress of photolithography technology and the like, pattern formation of half micron or less is realized. However, in the manufacture of a semiconductor device, elements are created by aligning a plurality of masks. However, the deviation in the alignment of the masks cannot be reduced to 0, and the alignment of each mask is made. It is necessary to have a margin, and the element becomes larger by the amount of the alignment margin, which is an obstacle to promoting miniaturization and high integration.

【0003】特に、MOSFETにおいては、ゲート電
極とソース・ドレインのコンタクト形成領域との位置合
わせ余裕度が大きいために、素子の微細化が妨げられて
しまう。
Particularly, in a MOSFET, since the alignment margin between the gate electrode and the source / drain contact forming regions is large, miniaturization of the device is hindered.

【0004】そこで、近年、微細化および特性の向上を
目指して自己整合的に素子を形成できるプロセスの提案
が出されている。以下図面を参照しながら、上記した従
来のコンタクトの形成方法の一例について説明する。
Therefore, in recent years, there has been proposed a process capable of forming an element in a self-aligned manner aiming at miniaturization and improvement of characteristics. An example of the conventional contact forming method described above will be described below with reference to the drawings.

【0005】図6(a)〜(f)は、従来のコンタクト
の形成方法の一例を示すためのNチャンネルMOSFE
Tの作成方法の工程断面図である。まず、素子間絶縁分
離領域に素子分離酸化膜302を形成したP型シリコン
基板301に、ゲート酸化膜303とゲート電極配線と
なるn+ ポリシリコン膜304とゲート電極配線形成領
域にフォトリソグラフィー技術を用いてゲートレジスト
パターン310を形成する(同図a)。
FIGS. 6A to 6F are N-channel MOSFE for showing an example of a conventional contact forming method.
FIG. 6 is a process cross-sectional view of a method of creating T. First, a photolithography technique is applied to a P-type silicon substrate 301 having an element isolation oxide film 302 formed in an element isolation isolation region, a gate oxide film 303, an n + polysilicon film 304 to be a gate electrode wiring, and a gate electrode wiring formation area. A gate resist pattern 310 is formed by using (a in the figure).

【0006】次に、ゲートレジストパターン310をエ
ッチングマスクとしてn+ ポリシリコン膜304をエッ
チングしてゲート電極304′を形成した後、ゲートレ
ジストパターン310を除去して全面にシリコン酸化膜
であるCVD酸化膜306を形成する(同図b)。
Next, using the gate resist pattern 310 as an etching mask, the n + polysilicon film 304 is etched to form a gate electrode 304 ', then the gate resist pattern 310 is removed and the entire surface is CVD-oxidized as a silicon oxide film. A film 306 is formed (FIG. 8B).

【0007】次に、異方性のドライエッチングによりC
VD酸化膜306をエッチングしてゲート電極304′
側面にサイドウォール酸化膜306′を形成する(同図
c)。
Next, by anisotropic dry etching, C
The VD oxide film 306 is etched to form a gate electrode 304 '.
A side wall oxide film 306 'is formed on the side surface (FIG. 7C).

【0008】次に、MOSFETのソース・ドレイン領
域に、たとえばイオン注入などでAsを注入してn+
散領域312を形成した後、全面にCVDによるBPS
G膜307を堆積して熱処理を行うことで表面をなだら
かに(フロー)し、コンタクト形成領域を開口したコン
タクトレジストパターン311を形成する(同図d)。
Next, As is implanted into the source / drain region of the MOSFET by, for example, ion implantation to form an n + diffusion region 312, and then BPS is formed on the entire surface by CVD.
The G film 307 is deposited and heat treatment is performed to make the surface gentle (flow) and form a contact resist pattern 311 having an opening in the contact formation region (FIG. 11D).

【0009】次に、コンタクトレジストパターン311
をエッチングマスクとしてBPSG膜307をドライエ
ッチングなどによりエッチングした後、コンタクトレジ
ストパターン311を除去してコンタクト部308を形
成する(同図e)。
Next, the contact resist pattern 311
After the BPSG film 307 is etched by dry etching or the like using the as a mask, the contact resist pattern 311 is removed to form a contact portion 308 (FIG. 8E).

【0010】最後に、金属配線材であるA1を堆積して
コンタクト部を埋めた後レジストパターンを用いてA1
をエッチングしてA1配線309を形成し、MOSFE
Tのソース・ドレインのコンタクト・配線を形成する
(同図f)。
Finally, A1 which is a metal wiring material is deposited to fill the contact portion, and then A1 is formed using a resist pattern.
Is etched to form A1 wiring 309, and the MOSFE
Source / drain contacts / wirings of T are formed (f in the same figure).

【0011】ところが、図6を用いて説明した製造方法
ではコンタクトを介してn+ 領域312に接続されるA
1配線309とゲート配線304′とがショートしない
ようにするために、位置合わせズレやコンタクトの広が
りを考慮して、コンタクト部308のエッジとゲート配
線304′のエッジ間距離Aとして0.5〜2μm必要
であった。
However, in the manufacturing method described with reference to FIG. 6, A connected to the n + region 312 via the contact A
In order to prevent a short circuit between the first wiring 309 and the gate wiring 304 ', the distance A between the edge of the contact portion 308 and the edge of the gate wiring 304' is 0.5 to 0.5 in consideration of the positional deviation and the spread of the contact. 2 μm was required.

【0012】上記問題に対し、近年、特開昭61−16
571号公報に示されるように、自己整合的にコンタク
ト・配線を形成するプロセスが提案されている。図7
(a)〜(f)は従来の自己整合的にコンタクト・配線
を形成するプロセスを説明するための工程断面図であ
り、かつNチャンネルMOSFETの作成方法を説明す
るための図である。
With respect to the above problems, in recent years, Japanese Patent Laid-Open No. 61-16
As disclosed in Japanese Patent No. 571, a process for forming contacts / wirings in a self-aligned manner has been proposed. Figure 7
(A)-(f) is process sectional drawing for demonstrating the conventional process of forming a contact and wiring by self-alignment, and is a figure for demonstrating the manufacturing method of N channel MOSFET.

【0013】まず、素子間絶縁分離領域に素子分離酸化
膜402を作成したP型シリコン基板401に、ゲート
酸化膜403とゲート電極配線となるn+ ポリシリコン
膜404を形成した後、n+ ポリシリコン膜404上に
CVD窒化膜405を形成し、ゲート電極配線形成領域
にゲートレジストパターン410を形成する(同図
a)。
[0013] First, the P-type silicon substrate 401 that created the element isolation oxide film 402 in the element between the isolation region, after forming the n + polysilicon film 404 serving as a gate oxide film 403 and the gate electrode wiring, the n + poly A CVD nitride film 405 is formed on the silicon film 404, and a gate resist pattern 410 is formed in the gate electrode wiring formation region (a in the figure).

【0014】次に、ゲートレジストパターン410をエ
ッチングマスクとしてn+ ポリシリコン膜404とCV
D窒化膜をエッチングしてn+ ポリシリコン膜404′
およびCVD窒化膜405′を形成した後、ゲートレジ
ストパターン410を除去して全面にシリコン窒化膜で
あるCVD窒化膜406を形成する(同図b)。
Next, using the gate resist pattern 410 as an etching mask, the n + polysilicon film 404 and CV are formed.
The D nitride film is etched to form an n + polysilicon film 404 '.
After the CVD nitride film 405 'is formed, the gate resist pattern 410 is removed and a CVD nitride film 406 which is a silicon nitride film is formed on the entire surface (FIG. 8B).

【0015】次に、異方性のドライエッチングによりC
VD窒化膜406をエッチングしてn+ ポリシリコン膜
404′およびCVD窒化膜405′の側面にサイドウ
ォール窒化膜406′を形成する(同図c)。
Next, by anisotropic dry etching, C
The VD nitride film 406 is etched to form a sidewall nitride film 406 'on the side surfaces of the n + polysilicon film 404' and the CVD nitride film 405 '(FIG. 7C).

【0016】次に、ソース・ドレイン領域にイオン注入
などでAsを注入してn+ 拡散領域412を形成した
後、全面にCVDによるPSG膜407を堆積して熱処
理を行うことで表面をなだらかに(フロー)した後、コ
ンタクト形成領域を開口したコンタクトレジストパター
ン411を形成する(同図d)。
Then, As is implanted into the source / drain regions by ion implantation or the like to form n + diffusion regions 412, a PSG film 407 is deposited on the entire surface by CVD and heat treatment is performed to gently smooth the surface. After (flow), a contact resist pattern 411 having an opening in the contact formation region is formed (FIG. 8D).

【0017】次に、コンタクトレジストパターン411
をエッチングマスクとしてシリコン窒化膜に対して選択
性のある条件でPSG膜407をエッチングした後、コ
ンタクトレジストパターン411を除去してコンタクト
部408を形成する(同図e)。
Next, the contact resist pattern 411.
The PSG film 407 is etched under conditions that are selective to the silicon nitride film using as a mask for etching, and then the contact resist pattern 411 is removed to form a contact portion 408 (FIG. 8E).

【0018】最後に、金属配線材であるA1を堆積して
コンタクト部を埋めた後レジストパターンを用いてA1
をエッチングしてA1配線409を形成し、MOSFE
Tのソース・ドレインのコンタクト・配線を形成すると
いうものであった。(同図f) このコンタクト部408形成の際、ゲート電極配線とな
るであるn+ ポリシリコン膜404′はCVD窒化膜4
05′とサイドウォール窒化膜406′に覆われてお
り、シリコン窒化膜と選択性のあるエッチング(たとえ
ばフッ酸によるエッチング)を行っているのでシリコン
窒化膜がエッチングストッパーになり、コンタクトの開
口部がn+ ポリシリコン膜404′上に重なってもn+
ポリシリコン膜404′とA1配線409がシュートす
ることがなく、ゲート電極配線に対し自己整合的にコン
タクトが形成できるというものである。
Finally, A1 which is a metal wiring material is deposited to fill the contact portion, and then A1 is formed using a resist pattern.
Is etched to form A1 wiring 409, and the MOSFE
The T source / drain contact / wiring was formed. (F in the same figure) At the time of forming this contact portion 408, the n + polysilicon film 404 ′ which will be the gate electrode wiring is the CVD nitride film 4
05 'and the side wall nitride film 406', and the selective etching with the silicon nitride film (for example, etching with hydrofluoric acid) is performed, so that the silicon nitride film serves as an etching stopper and the contact opening is formed. Even if it overlaps the n + polysilicon film 404 ′, n +
The polysilicon film 404 'and the A1 wiring 409 do not shoot, and a contact can be formed in a self-aligned manner with respect to the gate electrode wiring.

【0019】[0019]

【発明が解決しようとする課題】しかしながら、前述し
た図7に示した特開昭61−16571号公報記載の半
導体装置の製造方法では、コンタクトのエッチングにお
いてCVD窒化膜405′およびサイドウォール窒化膜
406′のシリコン窒化膜とPSG407との選択性が
必要であるが、特開昭61−16571号公報において
エッチング方法が記述されていないため、一般的な技術
としてフッ酸によるウェットエッチングを行っているも
のと思われる。
However, in the method of manufacturing a semiconductor device described in Japanese Patent Application Laid-Open No. 61-16571 shown in FIG. 7 described above, the CVD nitride film 405 'and the sidewall nitride film 406 are used in contact etching. However, since the etching method is not described in Japanese Patent Laid-Open No. 61-16571, wet etching with hydrofluoric acid is performed as a general technique. I think that the.

【0020】つまり、フッ酸(たとえばHF+H2 Oや
HF+NH4 Fなど)をもちてPSG膜407をエッチ
ングすると、PSGなどの酸化膜に対してシリコン窒化
膜のエッチングレートが非常に低いため、選択的にPS
G膜407がエッチングでき、自己整合コンタクトはで
きるというものである。しかしフッ酸によるウェットエ
ッチングは等方的なエッチングになるため、仕上がりの
コンタクトサイズはレジストマスクのサイズよりもPS
G407の膜厚分以上大きくなり、たとえば0.8μm
のPSGを用いる場合にはコンタクトサイズはマスクサ
イズよりもおよそ2μm程度大きくなってしまう。この
ため、2μm以下のサイズでのコンタクト形成ができな
いという微細化を図る上で大きな問題を生じる。
That is, when the PSG film 407 is etched with hydrofluoric acid (for example, HF + H 2 O and HF + NH 4 F), the etching rate of the silicon nitride film is very low with respect to the oxide film such as PSG. To PS
The G film 407 can be etched and a self-aligned contact can be made. However, since wet etching with hydrofluoric acid is isotropic, the finished contact size is larger than the resist mask size by PS.
It becomes larger than the film thickness of G407, for example, 0.8 μm.
When the PSG is used, the contact size becomes larger than the mask size by about 2 μm. For this reason, a large problem arises in achieving miniaturization in which a contact cannot be formed in a size of 2 μm or less.

【0021】また、PSG膜407のエッチングが、ド
ライエッチングであると仮定した場合、特に記述が無い
ので一般に用いられているシリコン酸化膜ドライエッチ
ングであると考えることもできるが、通常のシリコン酸
化膜のドライエッチングでは、CHF3 とCF4の混合
ガスやCHF3 とO2 の混合ガスが広く用いられており
(その他のとしてはC2 6 ,C4 8 ,CH2 2
どであり添加ガスとしてはAr,He,H2 などがあ
る)、前記の混合ガス系ではシリコン酸化膜とシリコン
窒化膜の選択比はせいぜい3しか取れない他、選択比が
3程度でる条件でさえも、シリコン酸化膜のエッチング
と反応生成物のバランスが不安定であるため、実使用で
きる条件範囲は非常に狭いものである。そして、選択比
3程度のエッチング条件では、n+ ポリシリコン膜40
4′とCVD窒化膜405′の膜厚分PSGをエッチン
グすると、CVD窒化膜405′が一部なくなってしま
い、n+ ポリシリコン膜404′とA1配線409がシ
ョートしてしまい、目的の自己整合コンタクトが形成で
きない。
If the etching of the PSG film 407 is assumed to be dry etching, it can be considered that it is a commonly used silicon oxide film dry etching because there is no particular description, but a normal silicon oxide film is used. In dry etching, a mixed gas of CHF 3 and CF 4 or a mixed gas of CHF 3 and O 2 is widely used (others are C 2 F 6 , C 4 F 8 , CH 2 F 2 and the like). The gases include Ar, He, H 2 and the like), and in the above mixed gas system, the selection ratio of the silicon oxide film and the silicon nitride film can be at most 3 and even if the selection ratio is about 3, Since the balance between the etching of the oxide film and the reaction product is unstable, the range of conditions that can be actually used is very narrow. Then, under the etching conditions with a selection ratio of about 3, the n + polysilicon film 40
When the PSG is etched by the film thickness of 4'and the CVD nitride film 405 ', the CVD nitride film 405' is partially lost, and the n + polysilicon film 404 'and the A1 wiring 409 are short-circuited, and the desired self-alignment is performed. Contact cannot be formed.

【0022】以上のように特開昭61−16571号公
報の半導体装置の製造方法では、通常のエッチングを用
いるだけでは2μm以下の自己整合的なコンタクトを形
成することができない。
As described above, in the method of manufacturing a semiconductor device disclosed in Japanese Patent Laid-Open No. 61-16571, it is not possible to form a self-aligned contact of 2 μm or less only by using ordinary etching.

【0023】さらに、ゲート酸化膜403とn+ ポリシ
リコン膜404′の界面の端にサイドウォール窒化膜4
06′が形成されているため、ゲート酸化膜が薄い場合
にはCVD窒化膜406の堆積時やPSG膜平坦化の熱
処理(フロー)時における熱膨脹・収縮率の違いによる
応力が、ゲート酸化膜にかかり、ゲート酸化膜の特性劣
化や信頼性低下が起こるという問題を生じる。また、n
+ ポリシリコン膜404′上のCVD窒化膜405′に
おいても同様の応力を生じるためゲート酸化膜に悪影響
を与える。
Further, the sidewall nitride film 4 is formed at the end of the interface between the gate oxide film 403 and the n + polysilicon film 404 '.
Since 06 'is formed, when the gate oxide film is thin, the stress due to the difference in thermal expansion / contraction rate during the deposition of the CVD nitride film 406 or the heat treatment (flow) for flattening the PSG film causes the gate oxide film to undergo stress. This causes a problem that the characteristics of the gate oxide film are deteriorated and the reliability is lowered. Also, n
A similar stress is also generated in the CVD nitride film 405 'on the polysilicon film 404', which adversely affects the gate oxide film.

【0024】本発明は上記問題点に鑑み、微細(2μm
以下)なコンタクト形成において、自己整合可能なコン
タクトの形成方法を提供するものである。
In view of the above problems, the present invention has a fineness (2 μm).
In the following contact formation, a method of forming a contact capable of self-alignment is provided.

【0025】[0025]

【課題を解決するための手段】上記問題点を解決するた
めに本発明のドライエッチング方法は、反応室内に載置
された半導体基板表面に形成されているBPSG膜に対
して選択的にドライエッチングを行うドライエッチング
方法であって、上記反応室内にCHF3 とCF 4 とCO
との混合ガスを供給して上記BPSG膜に対して選択的
にドライエッチングを行い、前記ドライエッチング時
に、上記BPSG膜と上記混合ガス中のCHF3 および
CF4 との反応により発生するCを上記半導体基板のエ
ッチング側壁に堆積させるとともに、前記反応により発
生するOを上記混合ガス中のCOと反応させてCO2
生成させ、前記CO2 を上記反応室の外部へ排出するこ
とにより、BPSG膜エッチングにおいてシリコン酸化
膜に対して高い選択性を得るという方法とするものであ
る。
[Means for Solving the Problems] To solve the above problems
For the dry etching method of the present invention,
To the BPSG film formed on the surface of the formed semiconductor substrate.
Dry etching for selective dry etching
CHF in the reaction chamber3And CF FourAnd CO
Selective for the BPSG film by supplying a mixed gas of
Dry etching is performed on the
And CHF in the mixed gas with the BPSG film.3and
CFFourC generated by the reaction with
It is deposited on the etching side wall and generated by the above reaction.
By reacting the produced O with CO in the mixed gas, CO2To
To generate CO2To the outside of the reaction chamber.
As a result, the silicon oxidation in the BPSG film etching
The method is to obtain high selectivity for the membrane.
It

【0026】[0026]

【作用】本発明は上記した方法によって、以下に記す作
用により本発明のドライエッチング方法を達成する。
The present invention achieves the dry etching method of the present invention by the above-described methods, by the operations described below.

【0027】まず、従来より知られているCHF3 およ
びCF4 ガスにおけるBPSG膜のドライエッチングに
おいて、!CHF3 およびCF4 ガスが反応室内で高周
波電力などにより(式1)に示されるように分解され、
(式1)により生成されたFがBPSG膜の構成要素で
あるSiO2 やB2 3 やP2 5 と(式2)で示され
る反応を起こし、SiF 4 やB2 6 やPF3 になり、
気化し反応容器外へ排出されることによりBPSG膜が
エッチングされる。ただし、ガスの分解では(式1)に
示される以外にも、CF3 やCF2 やCFあるいはHの
結合した中間的な物質や、さらにはこれらのイオン化し
た物質も生成される。
First, the conventionally known CHF3And
And CFFourFor dry etching of BPSG film in gas
Aside! CHF3And CFFourGas is high in the reaction chamber
It is decomposed by wave power etc. as shown in (Equation 1),
F generated by (Equation 1) is a constituent element of the BPSG film.
Some SiO2And B2O3And P2OFiveAnd (Equation 2)
Reacts with SiF FourAnd B2F6And PF3become,
By vaporizing and discharging it out of the reaction vessel, the BPSG film
Is etched. However, in the case of gas decomposition,
CF other than shown3And CF2Or CF or H
Bound intermediate substances, and even their ionization
Substances are also produced.

【0028】(式1) CHF3 →C↓+H+3F CF4 →C↓+4F (式2) SiO2 +4F→SiF4 ↑2O B2 3 +6F→B2 6 ↑3O P2 O5+6F→2PF3 ↑+5O, ″(式1)によるガスの分解によりC(あるいはCとH
の結合したもの)が基板全面(BPSG膜表面およびエ
ッチング側壁)に堆積する。
(Formula 1) CHF 3 → C ↓ + H + 3F CF 4 → C ↓ + 4F (Formula 2) SiO 2 + 4F → SiF 4 ↑ 2O B 2 O 3 + 6F → B 2 F 6 ↑ 3O P 2 O5 + 6F → 2PF 3 ↑ C (or C and H due to gas decomposition by + 5O, ″ (equation 1)
Combined) are deposited on the entire surface of the substrate (the surface of the BPSG film and the etching sidewall).

【0029】#プラズマにより励起されたイオンがDC
成分により加速されて基板に入射するため、水平面のC
などの堆積膜は、イオンによるエネルギーによりスパッ
タエッチングされたり(式3)に示す化学反応を起こし
て除去され、エッチング側壁にのみCが堆積する。
# The ions excited by the plasma are DC
Since it is accelerated by the component and enters the substrate, C on the horizontal plane
The deposited film such as is removed by sputter etching by energy of ions or by causing a chemical reaction shown in (Equation 3), and C is deposited only on the etching sidewall.

【0030】(式3) C+4F→CF4 ↑ $(式1)により生成されたCと(式2)の反応によっ
て発生するOが、一部(式4)に示す様な反応によりC
OまたはCO2 となって反応室外に排出される。
(Equation 3) C + 4F → CF 4 ↑ $ C generated by (Equation 1) and O generated by the reaction of (Equation 2) are partially converted into C by the reaction as shown in (Equation 4).
O or CO 2 is discharged outside the reaction chamber.

【0031】(式4) C+O→CO↑ CO+O→CO2 ↑ の反応が行われており、前記“#$により堆積するCの
量と除去能力とのバランスをとってBPSG膜をエッチ
ングする。つまり、エッチング膜がBPSG膜である場
合にはエッチング反応によりOが発生するため、$で記
したようにOがCと反応して排出されるためCの堆積が
少なく、”の反応で除去できる程度の量しか堆積しない
ためにBPSG膜のエッチングは進行するが、エッチン
グ膜がSiである場合にはエッチングにともなって発生
するOが無いため、Cの堆積が多くなり、Siのエッチ
ングが進行せず、BPSG膜とSiとの選択比が取れる
というものである。
(Equation 4) The reaction of C + O → CO ↑ CO + O → CO 2 ↑ is carried out, and the BPSG film is etched by balancing the amount of C deposited by "# $" and the removal ability. , When the etching film is a BPSG film, O is generated by the etching reaction, so that O reacts with C and is discharged as described by $, so that the amount of C deposited is small and can be removed by the reaction of " However, when the etching film is Si, there is no O generated during the etching, so that the amount of C is increased and the etching of Si does not proceed. , A BPSG film and Si can be selected.

【0032】さらに、結合エネルギー的にみて、Si−
F:132、Si−O:191、B−F:181、B−
O:193、P−F:105、P−O:143(単位K
cal/mol)であるので、CHF3 やCF4 による
ドライエッチングでは、SiとFの反応よりもBやPと
Fの反応が起こりやすく、BPSG膜のエッチング速度
はシリコン酸化膜に比べて通常1.5から3倍にもなる
(通常のシリコン酸化膜ドライエッチングと同様)。こ
のため、BPSG膜のエッチング時の方がシリコン酸化
膜のエッチング時よりも多くOを放出することになる。
Further, in terms of binding energy, Si-
F: 132, Si-O: 191, BF: 181, B-
O: 193, PF: 105, P-O: 143 (unit: K
cal / mol), dry etching with CHF 3 or CF 4 is more likely to cause a reaction between B and P with F than with a reaction between Si and F, and the etching rate of the BPSG film is usually less than that of a silicon oxide film. 0.5 to 3 times as much (similar to normal silicon oxide dry etching). Therefore, more O is released when the BPSG film is etched than when the silicon oxide film is etched.

【0033】ここで、前述の反応系に添加して、このC
Oとシリコン酸化膜から放出されるOとを反応させCO
2 を生成させることにより、CHF3 およびCF4 の混
合ガスより生成されるCがシリコン酸化膜から放出され
るOと反応してCOになることを防いで堆積するCの量
を増やす。このとき、BPSG膜の場合には、先述のよ
うにより多くのOを放出しているため、Cの堆積より少
なくなる。
Here, this C was added to the above reaction system.
CO reacts with O released from the silicon oxide film
By generating 2 , the C generated from the mixed gas of CHF 3 and CF 4 is prevented from reacting with O released from the silicon oxide film to become CO, and the amount of C deposited is increased. At this time, in the case of the BPSG film, since more O is released as described above, the amount is smaller than the amount of C deposited.

【0034】また、C−F:132、C−H:81、C
−C:145、Si−Si:78(Kcal/mol)
であり、これらの結合に比べC−O:257と非常に大
きいため、COを添加してもCO自身は分解されること
がなく、直接堆積膜となるCやCを除去するOを発生さ
せることはないので、COの添加によってCHF3 やC
4 の分圧が低下する他は反応容器内の状態が変化する
ことはない。
C-F: 132, C-H: 81, C
-C: 145, Si-Si: 78 (Kcal / mol)
Since CO is 257, which is extremely large compared to these bonds, CO itself is not decomposed even when CO is added, and C that directly becomes a deposited film and O that removes C is generated. Since there is no such thing, the addition of CO causes CHF 3 and C
The state in the reaction vessel does not change except that the partial pressure of F 4 is lowered.

【0035】前述の特性について、その一例として、有
磁場RIEにおけるエッチング特性の実験データを図3
から図5に示す。図3は、BPSG膜とシリコン酸化膜
(図中ではSiO2 と記載)エッチングにおけるCOガ
ス流量依存性を示しており、CHF3 :50ccm,C
4 :5ccm,圧力:60mTorr,磁場強度:8
0Gauss,高周波電力(13.56MHz)600
Wにおける実験データである。図3(a)は、BPSG
膜とシリコン酸化膜のエツチングレートのCOガス流量
依存性を示しており、図3(b)は、BPSG膜とシリ
コン酸化膜のエッチング均一性のCOガス流量依存性を
示しており、図3(c)は、BPSG膜とシリコン酸化
膜のエッチング選択比のCOガス流量依存性を示してい
る。ここで、図3におけるデータは、6インチ基板上に
おける中心と周辺から10mmの4点の合計5点測定によ
るもので、エッチングレートは5点の平均値であり、エ
ッチング均一性は5点中の最大値と最小値より{最大−
最小}/{最大+最小}の計算で求めた値であり、エッ
チング選択比はエッチングレートの比である(以下同
様)。
As an example of the above characteristics, experimental data of etching characteristics in a magnetic field RIE is shown in FIG.
To FIG. FIG. 3 shows the CO gas flow rate dependency in etching the BPSG film and the silicon oxide film (described as SiO 2 in the drawing). CHF 3 : 50 ccm, C
F 4 : 5 ccm, pressure: 60 mTorr, magnetic field strength: 8
0Gauss, high frequency power (13.56MHz) 600
It is the experimental data in W. FIG. 3A shows BPSG.
The CO gas flow rate dependency of the etching rate of the film and the silicon oxide film is shown, and FIG. 3B shows the CO gas flow rate dependency of the etching uniformity of the BPSG film and the silicon oxide film. c) shows the CO gas flow rate dependence of the etching selectivity of the BPSG film and the silicon oxide film. Here, the data in FIG. 3 is obtained by measuring a total of 5 points of 4 points of 10 mm from the center and the periphery on a 6-inch substrate, the etching rate is an average value of 5 points, and the etching uniformity is within 5 points. From the maximum and minimum values {max −
It is a value obtained by the calculation of [minimum] / {maximum + minimum}, and the etching selection ratio is the ratio of etching rates (the same applies hereinafter).

【0036】図3(a)から分かるように、BPSG膜
の場合にはCO流量の増加によってあまり、エッチング
レートは低下しないのに対し、シリコン酸化膜の場合に
はCO流量の増加によって著しくエッチグレートは低下
している。よって、図3(c)に示すようにCO流量が
増すにつれてBPSG膜とシリコン酸化膜の選択比が増
す。また、図3(b)のエッチング均一性を考慮する
と、BPSG膜の均一性が保たれる領域はCO流量50
ccm以下であり、流量比的にはおよそ50%が使用に
適している。
As can be seen from FIG. 3A, in the case of the BPSG film, the etching rate does not decrease much due to the increase of the CO flow rate, whereas in the case of the silicon oxide film, the etching rate is remarkably increased due to the increase of the CO flow rate. Is declining. Therefore, as shown in FIG. 3C, the selection ratio between the BPSG film and the silicon oxide film increases as the CO flow rate increases. Also, considering the etching uniformity of FIG. 3B, the CO flow rate is 50 in the region where the uniformity of the BPSG film is maintained.
ccm or less, and about 50% in terms of flow rate ratio is suitable for use.

【0037】図4はBPSG膜とシリコン酸化膜エッチ
ングにおけるCF4 ガス流量比依存性を示しており、C
HF3 +CF4 +CO:100ccm(CHF3 :50
ccm固定),圧力:60mTorr,磁場強度:80
Gauss,高周波電力(13.56MHz):600
Wにおける実験データである。図4(a)は、BPSG
膜とシリコン酸化膜のエッチングレートのCF4 ガス流
量比依存性を示しており、図4(b)は、BPSG膜と
シリコン酸化膜のエッチング均一性のCF4 ガス流量比
依存性を示しており、図4(c)は、BPSG膜とシリ
コン酸化膜のエッチング選択比のCF4 ガス流量比依存
性を示している。CF4 ガス流量比が10%以下(望ま
しくは5%以下)でBPSG膜とシリコン酸化膜との高
い選択性がでている。これは、CF4 がCの堆積を阻止
する働きがあるため、CF4 流量比が高い領域では選択
比が低くなってしまっているものと思われる。また、C
4 流量比が0ではエッチング均一性が著しく悪化して
しまうため、望ましくはおよそ5%で使用すればよい。
FIG. 4 shows BPSG film and silicon oxide film etching.
CF inFourIt shows the gas flow ratio dependency, and C
HF3+ CFFour+ CO: 100 ccm (CHF3: 50
ccm fixed), pressure: 60 mTorr, magnetic field strength: 80
Gauss, high frequency power (13.56MHz): 600
It is the experimental data in W. FIG. 4A shows BPSG.
CF of etching rate of film and silicon oxide filmFourGas flow
Fig. 4 (b) shows the dependence on the quantity ratio,
CF for etching uniformity of silicon oxide filmFourGas flow ratio
Fig. 4 (c) shows the dependence on the BPSG film and the silicon.
CF of etching selectivity of conoxide filmFourGas flow ratio dependent
Showing sex. CFFourGas flow rate ratio is 10% or less (desired
5% or less), the BPSG film and the silicon oxide film have a high
There is a high selectivity. This is CFFourPrevents the deposition of C
CF has a function to doFourSelect in areas where the flow ratio is high
It seems that the ratio has become low. Also, C
F FourWhen the flow rate ratio is 0, the etching uniformity is significantly deteriorated.
Therefore, it is preferable to use it at about 5%.

【0038】図5はBPSG膜とシリコン酸化膜エッチ
ングにおける磁場強度依存性を示しており、CHF3
50ccm,CF4 :5ccm,CO:50ccm,圧
力:60mTorr,高周波電力(13.56MH
z):600Wにおける実験データである。図5(a)
は、BPSG膜とシリコン酸化膜のエッチングレートの
磁場強度依存性を示しており、図5(b)は、BPSG
膜とシリコン酸化膜のエッチング均一性の磁場強度依存
性を示しており、図5(c)は、BPSG膜とシリコン
酸化膜のエッチング選択比の磁場強度依存性を示してい
る。磁場強度が50Gauss以下の領域で高いエッチ
ング選択性がでている。また、0Gaussではエッチ
ング均一性が著しく悪化してしまうため、望ましくは1
0から50Gaussの領域で使用すればよい。
FIG. 5 shows the dependence of the magnetic field strength on the etching of the BPSG film and the silicon oxide film, and CHF 3 :
50 ccm, CF 4 : 5 ccm, CO: 50 ccm, pressure: 60 mTorr, high frequency power (13.56 MH
z): Experimental data at 600 W. Figure 5 (a)
Shows the dependence of the etching rates of the BPSG film and the silicon oxide film on the magnetic field strength. FIG. 5B shows the BPSG film.
The magnetic field strength dependence of the etching uniformity of the film and the silicon oxide film is shown, and FIG. 5C shows the magnetic field strength dependence of the etching selection ratio of the BPSG film and the silicon oxide film. High etching selectivity is obtained in the region where the magnetic field strength is 50 Gauss or less. Further, when 0 Gauss is used, the etching uniformity is significantly deteriorated.
It may be used in the area of 0 to 50 Gauss.

【0039】以上の実験データからも明らかなように、
CHF3 +CF4 +COのガスを用いたドライエッチン
グでは制御性のよいエッチングが可能であり、条件によ
り、前述の原理に基づいて、COの添加量を制御して、
シリコン酸化膜のエッチングにより放出されるOを吸収
するに足り得る量で、かつ、BPSG膜のエッチングに
より放出されるOを吸収するには不足するだけのCOを
添加することで、BPSG膜のエッチングは進行する
が、シリコン酸化膜のエッチングは阻止され得る状態を
つくることができる。
As is clear from the above experimental data,
With the dry etching using CHF 3 + CF 4 + CO gas, etching with good controllability is possible. Depending on the conditions, the amount of CO added is controlled based on the above-mentioned principle.
Etching the BPSG film by adding CO in an amount sufficient to absorb the O released by the etching of the silicon oxide film and insufficient to absorb the O released by the etching of the BPSG film. However, the etching of the silicon oxide film can be stopped.

【0040】以上の作用により、ドライエッチングを用
いてBPSG膜とシリコン酸化膜の選択エッチングが可
能になり、ドライエッチングであるため異方性のエッチ
ングができ、かつ、2μm以下のコンタクト形成可能な
自己整合プロセスに用いることのできるエッチング技術
を提供できることとなる。
With the above operation, selective etching of the BPSG film and the silicon oxide film can be performed by using dry etching, anisotropic etching can be performed because of dry etching, and a contact of 2 μm or less can be formed. It is possible to provide an etching technique that can be used in the matching process.

【0041】[0041]

【実施例】以下本発明の一実施例のドライエッチング方
法について、図面を参照しながら説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A dry etching method according to an embodiment of the present invention will be described below with reference to the drawings.

【0042】(実施例1)図1(a)〜(f)は本発明
のドライエッチング方法の第1の実施例を説明するため
のNチャンネルMOSFETの製造における工程断面図
である。
(Embodiment 1) FIGS. 1 (a) to 1 (f) are process cross-sectional views in manufacturing an N-channel MOSFET for explaining a first embodiment of the dry etching method of the present invention.

【0043】まず、素子間絶縁分離領域に素子分離酸化
膜2を形成したP型シリコン基板1に、膜厚10nmの
ゲート酸化膜3とCVDによりポリシリコン膜を200
nm堆積した後、POCl3 ガスを用いてPを拡散して
ゲート電極配線となるn+ ポリシリコン膜4を形成した
後、n+ ポリシリコン膜4上にシリコン酸化膜であるC
VD酸化膜5を250nm形成し、ゲート電極配線形成
領域にゲートレジストパターン10を形成する(同図
a)。
First, a P-type silicon substrate 1 having an element isolation oxide film 2 formed in an element isolation isolation region is formed with a gate oxide film 3 having a film thickness of 10 nm and a polysilicon film formed by CVD.
nm is deposited and then P is diffused using POCl 3 gas to form an n + polysilicon film 4 to be a gate electrode wiring, and then a silicon oxide film C is formed on the n + polysilicon film 4.
The VD oxide film 5 is formed to a thickness of 250 nm, and the gate resist pattern 10 is formed in the gate electrode wiring formation region (a in the figure).

【0044】次に、ゲートレジストパターン10をエッ
チングマスクとしてn+ ポリシリコン膜4とCVD酸化
膜5をエッチングしてn+ ポリシリコン膜4′およびC
VD酸化膜5′を形成した後、ゲートレジストパターン
10を除去して全面にシリコン酸化膜であるCVD酸化
膜6を150nm形成する(同図b)。
Next, the n + polysilicon film 4 and the CVD oxide film 5 are etched using the gate resist pattern 10 as an etching mask to etch the n + polysilicon film 4'and C.
After the VD oxide film 5'is formed, the gate resist pattern 10 is removed and a CVD oxide film 6 which is a silicon oxide film is formed on the entire surface to a thickness of 150 nm (FIG. 9B).

【0045】次に、異方性のドライエッチングによりC
VD酸化膜6をエッチングしてn+ポリシリコン膜4′
およびCVD酸化膜5′側面にサイドウォール酸化膜
6′を形成する(同図c)。このとき、CVD酸化膜6
を20%オーバーエッチングするため、CVD酸化膜
5′はおよそ220nmになる。
Next, by anisotropic dry etching, C
The VD oxide film 6 is etched to form an n + polysilicon film 4 '.
Then, a side wall oxide film 6'is formed on the side surface of the CVD oxide film 5 '(FIG. 7C). At this time, the CVD oxide film 6
Is over-etched by 20%, the CVD oxide film 5'becomes about 220 nm thick.

【0046】次に、ソース・ドレイン領域にイオン注入
によりAsを注入してn+ 拡散領域12を形成した後、
全面にCVDによるBPSG膜7を700nm堆積して
熱処理を行うことで表面をなだらかに(フロー)した
後、コンタクト形成領域を開口したコンタクトレジスト
パターン11を形成する(同図d)。
Next, As is implanted into the source / drain regions by ion implantation to form n + diffusion regions 12,
A BPSG film 7 is deposited on the entire surface by CVD to a thickness of 700 nm, and heat treatment is performed to gently (flow) the surface, and then a contact resist pattern 11 having an opening in a contact formation region is formed (FIG. 3D).

【0047】次に、コンタクトレジストパターン11を
エッチングマスクとしてBPSG膜7のドライエッチン
グを行う。ドライエッチング装置としては、有磁場の平
行平板型RIE装置を使用し、(表1)に示すエッチン
グ条件を用いる。このエッチング条件ではBPSG膜7
のエッチングレートはおよそ300nm/minであ
り、CVD酸化膜5′およびサイドウォール酸化膜6′
とのエッチング選択比は15である。BPSG膜7がn
+ ポリシリコン膜4′とCVD酸化膜5′によるゲート
配線パターン間を完全に平坦化している場合を想定する
とコンタクト部のBPSG膜7の膜厚は1120nmに
なる。この膜厚に対して20%のオーバーエッチングに
相当する時間のエッチングを行った際に、CVD酸化膜
5′やサイドウォール酸化膜6′が現れても220nm
の膜厚であるCVD酸化膜5′はおよそ170nm残る
ため、n+ ポリシリコン膜4′が露出することはない。
Next, the BPSG film 7 is dry-etched using the contact resist pattern 11 as an etching mask. As the dry etching apparatus, a parallel plate type RIE apparatus with a magnetic field is used, and the etching conditions shown in (Table 1) are used. Under this etching condition, the BPSG film 7
Has an etching rate of about 300 nm / min, and the CVD oxide film 5'and the sidewall oxide film 6 '
And the etching selection ratio is 15. The BPSG film 7 is n
+ Thickness of the polysilicon film 4 'and the CVD oxide film 5' when the assumed if fully flattened between the gate wiring pattern by a contact portion of the BPSG film 7 becomes 1120 nm. 220 nm even if the CVD oxide film 5'and the sidewall oxide film 6'appear when etching is performed for a time corresponding to 20% overetching with respect to this film thickness.
Since the CVD oxide film 5'having a film thickness of about 170 nm remains, the n + polysilicon film 4'is not exposed.

【0048】[0048]

【表1】 [Table 1]

【0049】その後、コンタクトレジストパターン11
を除去してコンタクト部8とする(同図e)。そののち
最後に、金属配線材であるAlを堆積してコンタクト部
を埋めた後、レジストパターンを用いてAlをエッチン
グしてAl配線9を形成し、MOSFETのソース・ド
レインのコンタクト・配線を形成する(同図f)。
After that, the contact resist pattern 11
Are removed to form the contact portion 8 (e in the same figure). After that, finally, Al, which is a metal wiring material, is deposited to fill the contact portion, and then Al is etched using a resist pattern to form an Al wiring 9, thereby forming a source / drain contact / wiring of the MOSFET. (F in the figure).

【0050】前述の方法を用いることにより、n+ ポリ
シリコン膜4′とコンタクトの開口部が重なっていて
も、n+ ポリシリコン膜4′とAl配線9がショートし
ないため、ゲート電極に対して自己整合的なソース・ド
レインコンタクトが形成できる。
[0050] By using the above-described method, since the n + 'be the overlap openings of the contacts, n + polysilicon film 4' polysilicon film 4 and the Al wiring 9 is not short-circuited, the gate electrode A self-aligned source / drain contact can be formed.

【0051】(実施例2)図2(a)〜(f)は本発明
のドライエッチング方法の第2の実施例を説明するため
のNチャンネルMOSFETの製造における工程断面図
である。
(Embodiment 2) FIGS. 2A to 2F are sectional views showing the steps of manufacturing an N-channel MOSFET for explaining the second embodiment of the dry etching method of the present invention.

【0052】図2は第1の実施例と同様に、素子間絶縁
分離領域に素子分離酸化膜2を形成したP型シリコン基
板1に、膜厚10nmのゲート酸化膜3とCVDにより
ポリシリコン膜を200nm堆積した後、POCl3
スを用いてPを拡散してゲート電極配線となるn+ ポリ
シリコン膜4を形成した後、n+ ポリシリコン膜4上に
シリコン酸化膜であCVD酸化膜5を250nm形成
し、ゲート電極配線形成領域にゲートレジストパターン
10を形成する(同図a)。
Similar to the first embodiment, FIG. 2 shows a P-type silicon substrate 1 having an element isolation oxide film 2 formed in an element isolation isolation region, a gate oxide film 3 having a film thickness of 10 nm, and a polysilicon film formed by CVD. Of 200 nm, and then P is diffused using POCl 3 gas to form an n + polysilicon film 4 to be a gate electrode wiring. Then, a CVD oxide film 5 which is a silicon oxide film is formed on the n + polysilicon film 4. Is formed to a thickness of 250 nm, and a gate resist pattern 10 is formed in the gate electrode wiring formation region (a in the figure).

【0053】次に、ゲートレジストパターン10をエッ
チングマスクとしてn+ ポリシリコン膜4とCVD酸化
膜5をエッチングしてn+ ポリシリコン膜4′およびC
VD酸化膜5′を形成した後、ゲートレジストパターン
10を除去して、全面にシリコン酸化膜であるCVD酸
化膜6を150nm形成する(同図b)。
Next, the n + polysilicon film 4 and the CVD oxide film 5 are etched using the gate resist pattern 10 as an etching mask to etch the n + polysilicon film 4'and C.
After forming the VD oxide film 5 ', the gate resist pattern 10 is removed, and a CVD oxide film 6 which is a silicon oxide film is formed to a thickness of 150 nm on the entire surface (FIG. 8B).

【0054】次に、異方性のドライエッチングによりC
VD酸化膜6をエッチングしてn+ポリシリコン膜4′
およびCVD酸化膜5′側面にサイドウォール酸化膜
6′を形成する。この時、CVD酸化膜6を20%オー
バーエッチングするため、CVD酸化膜5′はおよそ2
20nmになる。次に、ソース・ドレイン領域にイオン
注入によりAsを注入してn+ 拡散領域12を形成した
後、全面にシリコン酸化膜であるCVD酸化膜13を6
0nm形成した(同図c)。
Next, by anisotropic dry etching, C
The VD oxide film 6 is etched to form an n + polysilicon film 4 '.
And a side wall oxide film 6'is formed on the side surface of the CVD oxide film 5 '. At this time, since the CVD oxide film 6 is over-etched by 20%, the CVD oxide film 5'is about 2% thick.
20 nm. Next, As is implanted into the source / drain regions by ion implantation to form n + diffusion regions 12, and then a CVD oxide film 13 which is a silicon oxide film is formed on the entire surface.
The thickness was 0 nm (FIG. 7C).

【0055】その後、全面にCVDによるBPSG膜7
を700nm堆積して熱処理を行うことで表面をなだら
かに(フロー)した後、コンタクト形成領域を開口した
コンタクトレジストパターン11を形成する。BPSG
膜7は図中のBではおよそ700nmであるのに対し、
Cではおよそ1120nmになる(同図d)。
After that, the BPSG film 7 is formed on the entire surface by CVD.
Is deposited to a thickness of 700 nm and heat-treated to gently (flow) the surface, and then a contact resist pattern 11 having an opening in a contact formation region is formed. BPSG
The film 7 is about 700 nm in B in the figure, while
In C, it becomes approximately 1120 nm (FIG. 8D).

【0056】次に、コンタクトレジストパターン11を
エッチングマスクとしてBPSG膜7のドライエッチン
グを行う。ドライエッチング装置としては、有磁場の平
行平板型RIE装置を使用し、(表1)と(表2)に示
すエッチング条件を用いる。
Next, the BPSG film 7 is dry-etched using the contact resist pattern 11 as an etching mask. As the dry etching apparatus, a parallel plate type RIE apparatus with a magnetic field is used, and the etching conditions shown in (Table 1) and (Table 2) are used.

【0057】まず、(表1)のエッチング条件でBPS
G膜7をエッチングする。このとき第1の実施例と同様
であるから、C部の1120nmのBPSG膜に対して
20%のオーバーエッチングに相当する時間のエッチン
グを行うとC部のCVD酸化膜13は45nmとなり、
B部のCVD酸化膜13は15nmになるので、420
nmあった膜厚差は30nmに低減することができる。
次に、(表2)のエッチング条件ではCVD酸化膜6を
エッチングする。このときのエッチングレートはおよそ
300nm/minであり、下地シリコンとのエッチン
グ選択比は15である。CVD酸化膜60mn相当に相
当する時間のエッチングを行うとC部のシリコンの堀れ
量は1nmとなり、B部のシリコンの掘れ量は3nmに
なる。
First, under the etching conditions (Table 1), BPS
The G film 7 is etched. At this time, since it is the same as that of the first embodiment, when the 1120 nm BPSG film of the C portion is etched for a time corresponding to 20% over-etching, the CVD oxide film 13 of the C portion becomes 45 nm,
Since the CVD oxide film 13 in the B portion has a thickness of 15 nm, 420
The film thickness difference, which has been in the range of nm, can be reduced to 30 nm.
Next, the CVD oxide film 6 is etched under the etching conditions shown in (Table 2). At this time, the etching rate is about 300 nm / min, and the etching selection ratio to the underlying silicon is 15. When etching is performed for a time corresponding to 60 nm of the CVD oxide film, the amount of silicon dug in the C portion becomes 1 nm, and the amount of silicon dug in the B portion becomes 3 nm.

【0058】[0058]

【表2】 [Table 2]

【0059】その後、コンタクトレジストパターン11
を除去してコンタクト部8とした(同図e)。最後に、
金属配線材であるAlを堆積してコンタクト部を埋めた
後、レジストパターンを用いてAlをエッチングしてA
l配線9を形成し、MOSFETのソース・ドレインの
コンタクト・配線を形成する(同図f)。
After that, the contact resist pattern 11
Was removed to form a contact portion 8 (e in the figure). Finally,
After Al, which is a metal wiring material, is deposited to fill the contact portion, Al is etched using a resist pattern to form A.
The l-wiring 9 is formed, and contacts / wirings for the source / drain of the MOSFET are formed (f in the figure).

【0060】前述の方法を用いることにより、BPSG
膜7のフローにより生じたコンタクト形成部の膜厚差
を、(表1)の条件でエッチングすることにより低減
し、(表2)の条件で下地の残るCVD酸化膜13をエ
ッチングするだけでよいため、シリコンの掘れ量の差は
低減することができ良好な特性を得ることができる。さ
らに、(表2)における条件は薄い膜のエッチングだけ
であるため、シリコンとの選択比は低くてもよく、ダメ
ージの少ないエッチングが可能である。
By using the method described above, BPSG
The film thickness difference in the contact formation portion caused by the flow of the film 7 can be reduced by etching under the conditions of (Table 1), and the CVD oxide film 13 with the underlying layer can be etched under the conditions of (Table 2). Therefore, the difference in the amount of silicon dug can be reduced and good characteristics can be obtained. Furthermore, since the condition in (Table 2) is only etching of a thin film, the selection ratio with respect to silicon may be low, and etching with less damage is possible.

【0061】なお、第1の実施例および第2の実施例で
はゲート電極としてn+ ポリシリコン膜4を用いたが、
これはシリサイド膜やシリサイド/ポリシリコンのポリ
サイド膜としてもよい。
Although the n + polysilicon film 4 is used as the gate electrode in the first and second embodiments,
This may be a silicide film or a silicide / polysilicon polycide film.

【0062】また、Al配線9は単純にAl膜を用いて
形成するだけて説明したが、これは、タングステンなど
を用いたコンタクト埋め込みを行ってもよいし、Siや
Cuなどを含むAlを用いることはもちろんのこと、他
の金属であってもよいし、Ti/TiNなどのバリアメ
タルを用いた多層構造であってもなんら支障がない。
Although the Al wiring 9 is simply formed by using the Al film, the contact may be filled with tungsten or the like, or Al containing Si, Cu or the like may be used. Needless to say, other metals may be used or a multilayer structure using a barrier metal such as Ti / TiN may be used without any problem.

【0063】また、ゲートレジストパターン10をエッ
チングマスクとしてn+ ポリシリコン膜4′とCVD酸
化膜5′をエッチングし、n+ ポリシリコン膜4′およ
びCVD酸化膜5′を形成した後にイオン注入によりn
- 領域を形成し、LDD構造のMOSFETとすること
は容易に考えられる。
Further, the n + polysilicon film 4'and the CVD oxide film 5'are etched by using the gate resist pattern 10 as an etching mask to form the n + polysilicon film 4'and the CVD oxide film 5 ', followed by ion implantation. n
- forming a region, it is MOSFET of the LDD structure are easily conceivable.

【0064】さらに、NチャンネルMOSFETを用い
て説明したが、PチャンネルMOSFETでも同様に形
成可能である。
Furthermore, although an N-channel MOSFET is used for description, a P-channel MOSFET can be formed in the same manner.

【0065】[0065]

【発明の効果】以上の実施例の説明より明らかなよう
に、本発明はCHF3 とCF4 とCOの混合ガスを用い
てBPSG膜をエッチングすることにより、シリコン酸
化膜との高い選択性がだせるため、シリコン窒化膜を用
いないでも自己整合コンタクト形成ができ、良好な特性
を有する微細な素子形成を可能にすることができる。
As is apparent from the above description of the embodiments, according to the present invention, by etching the BPSG film using a mixed gas of CHF 3 , CF 4 and CO, high selectivity with respect to the silicon oxide film can be obtained. Therefore, it is possible to form a self-aligned contact without using a silicon nitride film, and it is possible to form a fine element having good characteristics.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明のドライエッチング方法の第1の実施例
を説明するためのNチャンネルMOSFETの製造にお
ける工程断面図
FIG. 1 is a process cross-sectional view in manufacturing an N-channel MOSFET for explaining a first embodiment of a dry etching method of the present invention.

【図2】本発明のドライエッチング方法の第2の実施例
を説明するためのNチャンネルMOSFETの製造にお
ける工程断面図
FIG. 2 is a process cross-sectional view in manufacturing an N-channel MOSFET for explaining a second embodiment of the dry etching method of the present invention.

【図3】BPSG膜とシリコン酸化膜エッチングにおけ
るCOガス流量比依存性の実験データを示す図
FIG. 3 is a diagram showing experimental data of CO gas flow rate dependency in etching of a BPSG film and a silicon oxide film.

【図4】BPSG膜とシリコン酸化膜エッチングにおけ
るCF4 ガス流量依存性の実験データを示す図
FIG. 4 is a diagram showing experimental data of CF 4 gas flow rate dependency in etching a BPSG film and a silicon oxide film.

【図5】BPSG膜とシリコン酸化膜エッチングにおけ
る磁場強度依存性の実験データを示す図
FIG. 5 is a diagram showing experimental data of magnetic field strength dependence in etching of BPSG film and silicon oxide film.

【図6】従来のコンタクトの形成方法の一例を示すため
のNチャンネルMOSFETの作成方法の工程断面図
FIG. 6 is a process cross-sectional view of a method for forming an N-channel MOSFET for showing an example of a conventional contact forming method.

【図7】従来の自己整合的にコンタクト・配線を形成す
るプロセスを説明するための工程断面図
FIG. 7 is a process cross-sectional view for explaining a conventional process for forming contacts / wirings in a self-aligning manner.

【符号の説明】[Explanation of symbols]

1 P型シリコン基板 2 素子分離酸化膜 3 ゲート酸化膜 4 n+ ポリシリコン膜 5 CVD酸化膜 6 CVD酸化膜 6′ サイドウォール酸化膜 7 BPSG膜 8 コンタクト部 9 Al配線 10 ゲートレジストパターン 11 コンタクトレジストパターン 12 n+ 拡散領域1 P-type silicon substrate 2 Element isolation oxide film 3 Gate oxide film 4 n + Polysilicon film 5 CVD oxide film 6 CVD oxide film 6'Sidewall oxide film 7 BPSG film 8 Contact part 9 Al wiring 10 Gate resist pattern 11 Contact resist Pattern 12 n + diffusion region

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】 反応室内に載置された半導体基板表面に
形成されているBPSG膜に対して選択的にドライエッ
チングを行うドライエッチング方法であって、上記反応
室内にCHF3 とCF4 とCOとの混合ガスを供給して
上記BPSG膜に対して選択的にドライエッチングを行
い、上記ドライエッチング時に、上記BPSG膜と上記
混合ガス中のCHF3 およびCF4 との反応により発生
するCを上記半導体基板のエッチング側壁に堆積させる
とともに、上記反応により発生するOを上記混合ガス中
のCOと反応させてCO2 を生成させ、上記CO2 を上
記反応室の外部へ排出することを特徴とするドライエッ
チング方法。
1. A dry etching method for selectively dry etching a BPSG film formed on the surface of a semiconductor substrate placed in a reaction chamber, wherein CHF 3 , CF 4, and CO are provided in the reaction chamber. Is supplied to selectively dry-etch the BPSG film, and at the time of the dry-etching, C generated by the reaction between the BPSG film and CHF 3 and CF 4 in the mixed gas is together is deposited on the etching side wall of the semiconductor substrate, the O generated by the reaction to generate CO 2 is reacted with CO in the mixed gas, the CO 2, characterized in that discharged to the outside of the reaction chamber Dry etching method.
【請求項2】 BPSG膜は半導体基板上に形成された
BやPを含まないシリコン酸化膜の表面に形成されてい
ることを特徴とする請求項1記載のドライエッチング方
法。
2. The dry etching method according to claim 1, wherein the BPSG film is formed on a surface of a silicon oxide film containing no B or P formed on the semiconductor substrate.
【請求項3】 混合ガス中のCOの混合比はほぼ50%
であり、CF4 の混合比を10%以下にすることを特徴
とする請求項1記載のドライエッチング方法。
3. The mixing ratio of CO in the mixed gas is approximately 50%.
The dry etching method according to claim 1, wherein the mixing ratio of CF 4 is 10% or less.
【請求項4】 有磁場RIEを用いてエッチングするこ
とを特徴とする請求項1記載のドライエッチング方法。
4. The dry etching method according to claim 1, wherein the etching is performed using a magnetic field RIE.
【請求項5】 有磁場RIEにおいて、基板上面での磁
場を10Gaussから50Gaussの範囲とするこ
とを特徴とする請求項4記載のドライエッチング方法。
5. The dry etching method according to claim 4, wherein in the magnetic field RIE, the magnetic field on the upper surface of the substrate is set in the range of 10 Gauss to 50 Gauss.
JP2591293A 1993-02-16 1993-02-16 Dry etching method Pending JPH06244153A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2591293A JPH06244153A (en) 1993-02-16 1993-02-16 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2591293A JPH06244153A (en) 1993-02-16 1993-02-16 Dry etching method

Publications (1)

Publication Number Publication Date
JPH06244153A true JPH06244153A (en) 1994-09-02

Family

ID=12178993

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2591293A Pending JPH06244153A (en) 1993-02-16 1993-02-16 Dry etching method

Country Status (1)

Country Link
JP (1) JPH06244153A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004095559A1 (en) * 2003-04-22 2004-11-04 Tokyo Electron Limited Method for removing silicon oxide film and processing apparatus
US7074724B2 (en) * 2000-04-27 2006-07-11 Micron Technology, Inc. Etchant and method of use

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7074724B2 (en) * 2000-04-27 2006-07-11 Micron Technology, Inc. Etchant and method of use
WO2004095559A1 (en) * 2003-04-22 2004-11-04 Tokyo Electron Limited Method for removing silicon oxide film and processing apparatus

Similar Documents

Publication Publication Date Title
US6939806B2 (en) Etching memory
US6077733A (en) Method of manufacturing self-aligned T-shaped gate through dual damascene
US5751048A (en) Semiconductor device having a contact window structure
US7470606B2 (en) Masking methods
US6448167B1 (en) Process flow to reduce spacer undercut phenomena
US6635576B1 (en) Method of fabricating borderless contact using graded-stair etch stop layers
JPH03261139A (en) Improvement method for forming local mutual connection using selective anisotropy
US5950104A (en) Contact process using Y-contact etching
US5866947A (en) Post tungsten etch bank anneal, to improve aluminum step coverage
US7307009B2 (en) Phosphoric acid free process for polysilicon gate definition
JP3248072B2 (en) Oxide film etching method
US5994228A (en) Method of fabricating contact holes in high density integrated circuits using taper contact and self-aligned etching processes
US6279585B1 (en) Etching method and method for manufacturing semiconductor device using the same
US6977418B2 (en) Low resistance semiconductor process and structures
JP2757838B2 (en) Method for manufacturing semiconductor device
JPH06244153A (en) Dry etching method
US5924010A (en) Method for simultaneously fabricating salicide and self-aligned barrier
JP2690860B2 (en) Method for anisotropic etching of semiconductor material
US6583055B1 (en) Method of forming stepped contact trench for semiconductor devices
US7001692B2 (en) Method of forming a mask having nitride film
US6281143B1 (en) Method of forming borderless contact
JP2005136097A (en) Method of manufacturing semiconductor device
JPH09321024A (en) Manufacture of semiconductor device
US7217652B1 (en) Method of forming highly conductive semiconductor structures via plasma etch
JP2000049340A (en) Semiconductor device and fabrication thereof