JPH04346427A - Dry-etching method - Google Patents

Dry-etching method

Info

Publication number
JPH04346427A
JPH04346427A JP14815091A JP14815091A JPH04346427A JP H04346427 A JPH04346427 A JP H04346427A JP 14815091 A JP14815091 A JP 14815091A JP 14815091 A JP14815091 A JP 14815091A JP H04346427 A JPH04346427 A JP H04346427A
Authority
JP
Japan
Prior art keywords
etching
gas
selectivity
silicon
dry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP14815091A
Other languages
Japanese (ja)
Other versions
JP3198538B2 (en
Inventor
Toshiharu Yanagida
敏治 柳田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP14815091A priority Critical patent/JP3198538B2/en
Publication of JPH04346427A publication Critical patent/JPH04346427A/en
Application granted granted Critical
Publication of JP3198538B2 publication Critical patent/JP3198538B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Abstract

PURPOSE:To attain to the rapidity, high selectivity, high anisotropy, high damage registance and low polluting property by using single composition gas in the dry-etching method of silicon compound layer. CONSTITUTION:In the dry-etching method, saturated or unsaturated high dimensional chain fluorocarbon base compound containing at least one H atom in molecules such as C3F7H, C3F6H2, C3F5H at the temperature of a substrate to be etched at exceeding 50 deg.C is used as an etching gas. At this time, these compounds producing two each or more CFn<+> from one molecule contribute to the rapid anisotropical etching step of an inter SiO2 layer insulating film. Furthremore, since H in the molecules can catch any surplus F*, C/F ratio can be optimized without adding any depositing gas thereto so that the resist selectively and silicon selectivity may be enhanced by the deposition of carbon base polymer. Through these procedures, the dry-etching method as a one stage process using single composition gas can display such characteristics as the excellent controllability, reproducibility and throughput.

Description

【発明の詳細な説明】[Detailed description of the invention]

【0001】0001

【産業上の利用分野】本発明は半導体装置の製造分野等
において適用されるドライエッチング方法に関し、特に
対レジスト選択性および対シリコン下地選択性に優れ、
しかも高速でパーティクル汚染が少ないシリコン化合物
層のドライエッチング方法に関する。
[Field of Industrial Application] The present invention relates to a dry etching method applied in the field of manufacturing semiconductor devices, etc., and particularly has excellent resist selectivity and silicon underlayer selectivity.
Furthermore, the present invention relates to a method for dry etching a silicon compound layer at high speed and with less particle contamination.

【0002】0002

【従来の技術】近年のVLSI,ULSI等にみられる
ように半導体装置の高集積化および高性能化が進展する
に伴い、酸化シリコン(SiO2 )に代表されるシリ
コン化合物層のドライエッチング方法についても技術的
要求がますます厳しくなってきている。まず、高集積化
によりデバイス・チップの面積が拡大しウェハが大口径
化している一方、形成すべきパターンは微細化している
ので、エッチングのウェハ面内均一性を確保するために
ドライエッチング装置の主流は従来のバッチ式から枚葉
式に移行しつつある。この際、従来と同等の生産性を維
持するためには、大幅なエッチング速度の向上が必須と
なる。また、デバイスの高速化や微細化を図るために不
純物拡散領域の接合深さが浅くなり、また各種の材料層
も薄くなっている状況下では、従来以上に対下地選択性
に優れダメージの少ないエッチング技術が要求される。 たとえば、半導体基板内に形成された不純物拡散領域や
、SRAMの抵抗負荷素子として用いられるPMOSト
ランジスタのソース・ドレイン領域等にコンタクトを形
成しようとする場合等に、シリコン基板や多結晶シリコ
ン層を下地として行われるSiO2 層間絶縁膜のエッ
チング等がその例である。さらに、対レジスト選択比の
向上も重要な課題である。これは、サブミクロン・デバ
イスでは、レジストの後退によるわずかな寸法変換差の
発生も許容されなくなってきているからである。しかし
、高速性、高選択性、低ダメージといった特性は互いに
取捨選択される関係にあり、すべてを満足できるエッチ
ング・プロセスを確立することは極めて困難である。
[Prior Art] As semiconductor devices become more highly integrated and have higher performance, as seen in VLSI, ULSI, etc. in recent years, dry etching methods for silicon compound layers such as silicon oxide (SiO2) have also been developed. Technical requirements are becoming increasingly strict. First, as devices and chips become more highly integrated, the areas of devices and chips are expanding and wafers are becoming larger in diameter, while the patterns to be formed are also becoming finer. The mainstream is shifting from the conventional batch process to the single-wafer process. At this time, in order to maintain the same productivity as before, it is essential to significantly improve the etching rate. In addition, in order to increase the speed and miniaturization of devices, the junction depth of the impurity diffusion region is becoming shallower, and various material layers are becoming thinner. Etching technology is required. For example, when trying to form a contact to an impurity diffusion region formed in a semiconductor substrate or a source/drain region of a PMOS transistor used as a resistive load element of an SRAM, etc., a silicon substrate or polycrystalline silicon layer is used as a base layer. An example of this is etching of a SiO2 interlayer insulating film. Furthermore, improving the selectivity to resist is also an important issue. This is because, in submicron devices, even the slightest difference in dimensional conversion due to resist recession is no longer acceptable. However, characteristics such as high speed, high selectivity, and low damage are mutually selected, and it is extremely difficult to establish an etching process that satisfies all of them.

【0003】従来、シリコン系材料層に対して高い選択
比を保ちながらSiO2 層に代表されるシリコン化合
物層をドライエッチングするには、CHF3 、CF4
 /H2 混合系、CF4 /O2 混合系、C2 F
6 /CHF3 混合系等がエッチング・ガスとして典
型的に使用されてきた。これらは、いずれもC/F比(
分子内の炭素原子数とフッ素原子数の比)が0.25以
上のフルオロカーボン系ガスを主体としている。これら
のガス系が使用されるのは、(a)フルオロカーボン系
ガスに含まれるCがSiO2 層の表面でC−Oを結合
を生成し、Si−O結合を切断したり弱めたりする働き
がある、(b)SiO2 層の主エッチング種であるC
Fn + (特にn=3) を生成できる、さらに(c
)プラズマ中で相対的に炭素に富む状態が作り出される
ので、SiO2 中の酸素がCOまたはCO2の形で除
去される一方、ガス系に含まれるC,H,F等の寄与に
よりシリコン系材料層の表面では炭素系のポリマーが堆
積してエッチング速度が低下し、シリコン系材料層に対
する高選択比が得られる、等の理由にもとづいている。 なお、上記のH2 ,O2 等の添加ガスは選択比の制
御を目的として用いられているものであり、それぞれF
* 発生量を低減もしくは増大させることができる。つ
まり、エッチング反応系の見掛け上のC/F比を制御す
る効果を有する。
Conventionally, in order to dry-etch a silicon compound layer typified by a SiO2 layer while maintaining a high selectivity with respect to a silicon-based material layer, CHF3, CF4
/H2 mixed system, CF4 /O2 mixed system, C2 F
6/CHF3 mixed systems have typically been used as etching gases. These are all C/F ratios (
The main component is a fluorocarbon gas with a ratio of the number of carbon atoms to the number of fluorine atoms in the molecule of 0.25 or more. These gas systems are used because (a) C contained in the fluorocarbon gas creates C-O bonds on the surface of the SiO2 layer, and has the effect of cutting or weakening the Si-O bonds. , (b) C which is the main etching species of the SiO2 layer
Fn + (especially n=3) can be generated, and (c
) Since a relatively carbon-rich state is created in the plasma, oxygen in SiO2 is removed in the form of CO or CO2, while the silicon-based material layer is removed due to the contribution of C, H, F, etc. contained in the gas system. This is based on the following reasons: a carbon-based polymer is deposited on the surface of the silicon-based material layer, which reduces the etching rate and provides a high selectivity with respect to the silicon-based material layer. Note that the above-mentioned additive gases such as H2 and O2 are used for the purpose of controlling the selectivity;
* The amount generated can be reduced or increased. That is, it has the effect of controlling the apparent C/F ratio of the etching reaction system.

【0004】これに対し、本願出願人は先に特願平2−
75828号明細書において、炭素数2以上の飽和ない
し不飽和の鎖状高次フルオロカーボン系ガスを使用する
シリコン化合物層のドライエッチング方法を提案してい
る。これは、C2 F6 ,C3 F8 ,C4 F1
0,C4 F8 等の高次フルオロカーボン系ガスを使
用することによりCFn + を効率良く生成させ、エ
ッチングの高速化を図ったものである。ただし、高次フ
ルオロカーボン系ガスを単独で使用するのみでは、対レ
ジスト選択比および対シリコン下地選択比を十分に大き
くとることができない。たとえばC3 F8 をエッチ
ング・ガスとしてシリコン基板上のSiO2 層をエッ
チングした場合、高速性は達成されるものの、対レジス
ト選択比が1.3程度と低く、エッチング耐性が不足す
る他、パターン・エッジの後退により寸法変換差が発生
してしまう。また、対シリコン選択比も4.1程度であ
るので、オーバーエッチング耐性に問題が残る。そこで
、これらの問題を解決するために上記の先行技術では鎖
状高次フルオロカーボン・ガス単独によるエッチングは
下地が露出する直前で停止し、シリコン化合物層の残余
部をエッチングする際には炭素系ポリマーの堆積を促進
するためにこのガスにさらに炭化水素系ガスを添加する
という、2段階エッチングが行われている。
[0004] On the other hand, the applicant of the present application previously filed a patent application No. 2-
No. 75828 proposes a dry etching method for a silicon compound layer using a saturated or unsaturated chain higher-order fluorocarbon gas having two or more carbon atoms. This is C2 F6 , C3 F8 , C4 F1
By using a higher-order fluorocarbon gas such as 0, C4 F8, etc., CFn + is efficiently generated and etching speed is increased. However, by using only a higher-order fluorocarbon gas alone, it is not possible to obtain a sufficiently large selectivity to the resist and selectivity to the silicon base. For example, when etching a SiO2 layer on a silicon substrate using C3F8 as an etching gas, high speed is achieved, but the selectivity to resist is low at about 1.3, etching resistance is insufficient, and pattern edges are A dimensional conversion difference occurs due to the retreat. Furthermore, since the selectivity to silicon is about 4.1, there remains a problem in over-etching resistance. Therefore, in order to solve these problems, in the prior art described above, etching using only chain-like higher-order fluorocarbon gas is stopped just before the underlying layer is exposed, and when etching the remaining part of the silicon compound layer, etching is performed using a carbon-based polymer. A two-step etch is performed in which a hydrocarbon-based gas is further added to this gas to promote the deposition of .

【0005】しかしながら、半導体装置のデザイン・ル
ールが高度に微細化されている現状では、既にエッチン
グ・マスクとの寸法変換差がほとんど許容できなくなり
つつあり、上述のような2段階エッチングを行うにして
も、1段目のエッチングにおける選択比をさらに向上さ
せることが必要となる。また、今後より一層微細化が進
行するに伴い、炭素系ポリマーによるパーティクル汚染
の影響が深刻化することも考えられるので、2段目のエ
ッチングにおける炭化水素系ガス等の堆積性ガスの使用
量もできるだけ低減させたいところである。かかる観点
から、本発明者は先に特願平2−295225号明細書
において、被処理基板の温度を50℃以下に制御した状
態で、分子内に少なくとも1個の不飽和結合を有する鎖
状不飽和フルオロカーボン系ガスを用いてシリコン化合
物層をエッチングする技術を提案している。上記鎖状不
飽和フルオロカーボン系ガスは、放電解離により理論上
は1分子から2個以上のCFn + を生成するので、
SiO2 を高速にエッチングすることができる。また
、分子内に不飽和結合を有することから解離により高活
性なラジカルを生成させ易く、炭素系ポリマーの重合が
促進される。しかも、被処理基板の温度が50℃以下に
制御されていることにより、上記炭素系ポリマーの堆積
が促進される。したがって、対レジスト選択性および対
シリコン下地選択性を向上させることができる。また、
同明細書には、鎖状不飽和フルオロカーボン系ガス単独
によるエッチングはシリコン化合物層の途中までで停止
し、残余のエッチングを上記の鎖状不飽和フルオロカー
ボン系ガスに炭化水素系ガスを添加したガスを用いて行
う技術も同時に提案している。これは、下地シリコンに
対する選択性を一層向上させるために、エッチングの中
途から堆積性ガスを併用しているのである。
However, in the current situation where the design rules of semiconductor devices are becoming highly miniaturized, it is already becoming difficult to tolerate the difference in dimensional conversion between the etching mask and the two-step etching described above. Also, it is necessary to further improve the selectivity in the first stage etching. In addition, as further miniaturization progresses in the future, the effects of particle contamination from carbon-based polymers may become more serious, so the amount of deposition gas such as hydrocarbon-based gas used in the second stage etching may also be reduced. We would like to reduce this as much as possible. From this point of view, the present inventor previously proposed in Japanese Patent Application No. 2-295225 that a chain-shaped substrate having at least one unsaturated bond in the molecule was prepared while the temperature of the substrate to be processed was controlled to 50°C or less. We are proposing a technique for etching silicon compound layers using unsaturated fluorocarbon gas. The above-mentioned chain unsaturated fluorocarbon gas theoretically generates two or more CFn + from one molecule by discharge dissociation.
SiO2 can be etched at high speed. In addition, since it has an unsaturated bond in its molecule, highly active radicals are easily generated by dissociation, and the polymerization of the carbon-based polymer is promoted. Moreover, by controlling the temperature of the substrate to be processed to 50° C. or lower, the deposition of the carbon-based polymer is promoted. Therefore, resist selectivity and silicon underlayer selectivity can be improved. Also,
The specification states that etching using a chain unsaturated fluorocarbon gas alone is stopped halfway through the silicon compound layer, and the remaining etching is carried out using a gas obtained by adding a hydrocarbon gas to the chain unsaturated fluorocarbon gas. At the same time, we are also proposing technologies that can be used. This is because a deposition gas is used in the middle of etching in order to further improve the selectivity to the underlying silicon.

【0006】あるいはまた、ヘキサフルオロベンゼン(
C6 F6)とテトラフルオロメタン(CF4 )の混
合ガスによりSiO2 をエッチングする技術が特公平
1−60938号公報に開示されている。これは、環状
不飽和高次フルオロカーボン系ガスを使用することによ
りプラズマ中に効率的にCFn + を発生させ、かつ
炭素系ポリマーの重合を促進することを意図しており、
やはり本願出願人の先願と同様の発想にもとづくもので
ある。
Alternatively, hexafluorobenzene (
Japanese Patent Publication No. 1-60938 discloses a technique for etching SiO2 with a mixed gas of C6F6) and tetrafluoromethane (CF4). This is intended to efficiently generate CFn + in plasma by using a cyclic unsaturated higher-order fluorocarbon gas and to promote the polymerization of carbon-based polymers.
After all, it is based on the same idea as the applicant's earlier application.

【0007】[0007]

【発明が解決しようとする課題】ところで、従来提案さ
れている鎖状不飽和フルオロカーボン系ガス、もしくは
環状不飽和フルオロカーボン系ガスを使用する技術にお
いては、これまでの説明からも明らかなように、十分な
選択比を得るために実用上は他の添加ガスと併用するこ
とが必要であった。また、C6 F6 を使用する技術
によると、これを開示する公報中でも言及されていると
おり、C6 F6 単独でエッチング・ガスを構成する
ことはできない。それは、C6 F6 単独ではプラズ
マ中に著しく多量のCFn + が発生し、炭素系ポリ
マーの重合が過度に促進されてエッチング反応が進行し
ないからである。そこで、このCFn + の発生を抑
制するために、あらゆるフルオロカーボン系ガスの中で
最もC/F比の低いCF4 を混合しているのである。 しかし、放電状態の安定化に要する時間や制御性等を考
慮すると、エッチングに実質的に関与するガスは単一組
成とし、また途中で条件を切り換えることなく1段階プ
ロセスでエッチングを行う方が、制御性、再現性、およ
びスループットを向上させる上では有利である。そこで
本発明は、高異方性,高速性,高下地選択性,高レジス
ト選択性,低汚染性,再現性等に優れる新規なドライエ
ッチング方法を提供することを目的とする。
[Problems to be Solved by the Invention] By the way, in the conventionally proposed techniques using chain unsaturated fluorocarbon gases or cyclic unsaturated fluorocarbon gases, as is clear from the above explanation, In practice, it was necessary to use it in combination with other additive gases in order to obtain a high selectivity. Furthermore, according to the technology using C6 F6, as mentioned in the publication disclosing this, C6 F6 alone cannot constitute the etching gas. This is because when C6 F6 alone is used, a significantly large amount of CFn + is generated in the plasma, and the polymerization of the carbon-based polymer is excessively promoted, so that the etching reaction does not proceed. Therefore, in order to suppress the generation of CFn + , CF4, which has the lowest C/F ratio among all fluorocarbon gases, is mixed. However, considering the time required for stabilizing the discharge state and controllability, it is better to use a single composition of gases that are substantially involved in etching and to perform etching in a one-step process without changing conditions midway. This is advantageous in improving controllability, reproducibility, and throughput. SUMMARY OF THE INVENTION Accordingly, an object of the present invention is to provide a novel dry etching method that is excellent in high anisotropy, high speed, high base selectivity, high resist selectivity, low contamination, reproducibility, etc.

【0008】[0008]

【課題を解決するための手段】本発明にかかるドライエ
ッチング方法は、上述の目的を達成するために提案され
るものであり、被エッチング基板の温度を50℃以下に
制御し、一般式Cx Fy Hz (ただし、x,y,
zは原子数を示す自然数であり、x≧2,y>z≧1,
2x+2≧y+z,y≧x+zの条件をすべて満足する
。)で表される鎖状化合物を主体とするエッチング・ガ
スを用いてシリコン化合物層のエッチングを行うことを
特徴とするものである。
[Means for Solving the Problems] A dry etching method according to the present invention is proposed in order to achieve the above-mentioned object, in which the temperature of the substrate to be etched is controlled to 50°C or less, and the dry etching method according to the general formula Cx Fy is Hz (However, x, y,
z is a natural number indicating the number of atoms, x≧2, y>z≧1,
All the conditions of 2x+2≧y+z and y≧x+z are satisfied. ) is characterized in that the silicon compound layer is etched using an etching gas mainly consisting of a chain compound represented by:

【0009】本発明においてエッチング・ガスの主体を
なす鎖状化合物CxFy Hz は、C原子数xが2以
上であることからいわゆる高次フルオロカーボンの誘導
体である。C原子数xの上限は、上記鎖状化合物を気化
させた状態でエッチング反応系に導入し得る限りにおい
て、特に限定されるものではない。またH原子数zが1
以上であることから上記高次フルオロカーボンのF原子
のうち少なくとも1個はH原子に置換されている。1分
子中のF原子数yは常にH原子数zよりも多く、またF
原子数yはC原子数xとH原子数zの和と同じかそれ以
上である。このように1分子内のH原子数zに条件が付
けられているのは、H原子数が多くなるとその分だけF
原子数が減少してしまい、1分子からのシリコン化合物
層のエッチング種であるCFn +の生成量が減少する
からである。また、H原子数zが極端に多くなれば上記
鎖状化合物の性質が堆積性ガスのそれに近いものとなり
、エッチング速度が大幅に低下したり、過剰な炭素系ポ
リマーの堆積によりパーティクル汚染が増大する等の問
題も生ずる。さらに、2x+2≧y+zなる条件から、
上記鎖状化合物は飽和,不飽和のいずれの化合物であっ
ても良い。特に不飽和化合物を使用する場合には、放電
解離によりプラズマ中にモノラジカル、あるいは場合に
よってはカルベン等の高活性なビラジカル(二端遊離基
)が生成し、これらが不飽和結合中のπ電子系を攻撃す
ることにより炭素系ポリマーの重合が促進される。
In the present invention, the chain compound CxFy Hz, which is the main component of the etching gas, is a so-called higher-order fluorocarbon derivative because the number of C atoms x is 2 or more. The upper limit of the number x of C atoms is not particularly limited as long as the chain compound can be introduced into the etching reaction system in a vaporized state. Also, the number of H atoms z is 1
Because of the above, at least one of the F atoms in the higher-order fluorocarbon is substituted with an H atom. The number y of F atoms in one molecule is always greater than the number z of H atoms, and
The number y of atoms is equal to or greater than the sum of the number x of C atoms and the number z of H atoms. The reason why the number z of H atoms in one molecule is subject to this condition is that as the number of H atoms increases, the F
This is because the number of atoms decreases, and the amount of CFn + produced from one molecule, which is an etching species for the silicon compound layer, decreases. Furthermore, if the number of H atoms z becomes extremely large, the properties of the chain compound become similar to those of a deposition gas, resulting in a significant decrease in the etching rate and an increase in particle contamination due to excessive carbon-based polymer deposition. Other problems also arise. Furthermore, from the condition 2x+2≧y+z,
The above-mentioned chain compound may be either a saturated or an unsaturated compound. In particular, when using unsaturated compounds, monoradicals or, in some cases, highly active biradicals (bi-radicals) such as carbenes are generated in the plasma due to discharge dissociation, and these π-electrons in unsaturated bonds are generated. Attacking the system promotes polymerization of carbon-based polymers.

【0010】これらの条件を総合すると、たとえばx=
2の場合に使用できる鎖状化合物は、組成式で表すとC
2 F3 H,C2 F5 H,C2 F4 H2 の
3種類となり、x=3の場合はC3 F5 H,C3 
F7 H,C3 F6 H2 の3種類となり、x=4
の場合はC4 F5 H,C4 F7 H,C4 F6
 H2 ,C4 F9 H,C4 F8 H2 ,C4
 F7 H3 の6種類となる。もちろん、同じ組成式
でもH原子の置換位置や炭素骨格の分岐によって複数の
構造異性体が存在する。
[0010] When these conditions are combined, for example, x=
The chain compound that can be used in case 2 is represented by the composition formula C
There are three types: 2 F3 H, C2 F5 H, C2 F4 H2, and in the case of x=3, C3 F5 H, C3
There are three types: F7 H, C3 F6 H2, and x = 4
In the case of C4 F5 H, C4 F7 H, C4 F6
H2, C4 F9 H, C4 F8 H2, C4
There are 6 types: F7 H3. Of course, even with the same compositional formula, multiple structural isomers exist depending on the substitution position of the H atom and the branching of the carbon skeleton.

【0011】さらに本発明では、エッチング中の被エッ
チング基板の温度を50℃以下に制御する。この温度制
御は室温域でも、あるいは近年ドライエッチングの分野
において注目されている低温エッチングのごとく0℃以
下の温度域で行っても良い。通常、ドライエッチングの
過程では冷却を特に行わなければ被エッチング基板の温
度は200℃程度にも上昇する。しかし、温度を50℃
以下に制御すれば、蒸気圧の低下により効率良く炭素系
ポリマーを堆積させることができる。特に、0℃以下に
冷却して低温エッチングを行えば、選択性の向上は一層
顕著となる。レジスト材料やシリコン系材料層のエッチ
ングはF* (フッ素ラジカル)による化学反応を主体
として進行するので、反応系の温度が低下してラジカル
の運動が抑制されるとエッチング速度も低下する。これ
に対し、SiO2 等のシリコン化合物層のエッチング
はイオンによるスパッタリングを主体として物理的に進
行するので、冷却によるエッチング速度の低下はレジス
ト材料やシリコン系材料ほど顕著ではない。したがって
、低温域では選択比の一層の向上が期待できるわけであ
る。
Further, in the present invention, the temperature of the substrate to be etched during etching is controlled to 50° C. or lower. This temperature control may be performed at room temperature or at a temperature below 0° C. as in low-temperature etching, which has recently attracted attention in the field of dry etching. Normally, in the process of dry etching, the temperature of the substrate to be etched rises to about 200° C. unless cooling is performed. However, the temperature is 50℃
If controlled as follows, the carbon-based polymer can be deposited efficiently due to the reduction in vapor pressure. In particular, if low-temperature etching is performed by cooling to 0° C. or lower, the selectivity will be further improved. Etching of a resist material or a silicon-based material layer proceeds mainly through a chemical reaction caused by F* (fluorine radicals), so when the temperature of the reaction system decreases and the movement of radicals is suppressed, the etching rate also decreases. On the other hand, since the etching of a silicon compound layer such as SiO2 proceeds physically mainly through sputtering using ions, the reduction in the etching rate due to cooling is not as pronounced as with resist materials or silicon-based materials. Therefore, further improvement of the selectivity can be expected in the low temperature range.

【0012】0012

【作用】本発明で使用される鎖状化合物の1分子からは
、理論上は少なくとも(x−1)個のCFn + が生
成する。したがって、同じガス圧下ではCF3 H,C
F2 H2 といった従来公知のガスを使用した場合と
比べてプラズマ中におけるCFn + の絶対量が多く
なる。これに伴って、プラズマ中におけるF* の生成
量も多くなるが、過剰のF* は上記鎖状化合物が放電
解離して生成するH* に捕捉され、HFの形で系外へ
除去される。したがって、対下地選択性、対レジスト選
択性、および異方性が低下することはない。このように
、CFn + の大量生成とF*の一部消費とによりエ
ッチング反応系のC/F比は最適化され、堆積性ガスを
添加しなくても炭素系ポリマーの堆積が促進される。こ
の炭素系ポリマーは、50℃以下に温度制御された被エ
ッチング基板上において、単結晶シリコンや多結晶シリ
コン等のシリコン系材料層の表面やレジスト・パターン
の表面に堆積すると、イオン衝撃等によっても容易には
除去されない。しかし、SiO2 等のシリコン化合物
層の表面では層内に含まれる酸素がスパッタ・アウトさ
れて炭素系ポリマーの分解に寄与するため容易に除去さ
れる。したがって、炭素系ポリマーの堆積が増加すれば
、対レジスト選択性および対シリコン下地選択性が向上
する。ただし、本発明ではシリコン化合物層のエッチン
グ種であるCFn + の絶対量は増加しているので、
炭素系ポリマーの堆積が促進されても何らエッチング速
度が低下することはない。
[Operation] One molecule of the chain compound used in the present invention theoretically produces at least (x-1) CFn + ions. Therefore, under the same gas pressure, CF3H,C
The absolute amount of CFn + in the plasma is increased compared to when a conventionally known gas such as F2 H2 is used. Along with this, the amount of F* generated in the plasma also increases, but the excess F* is captured by H* generated by discharge dissociation of the chain compounds and removed from the system in the form of HF. . Therefore, the selectivity to the base, the selectivity to the resist, and the anisotropy do not deteriorate. In this way, the C/F ratio of the etching reaction system is optimized by generating a large amount of CFn + and partially consuming F*, and the deposition of carbon-based polymer is promoted without adding a deposition gas. When this carbon-based polymer is deposited on the surface of a silicon-based material layer such as single-crystal silicon or polycrystalline silicon or on the surface of a resist pattern on a substrate to be etched whose temperature is controlled at 50°C or less, it can be damaged by ion bombardment, etc. Not easily removed. However, on the surface of a silicon compound layer such as SiO2, oxygen contained in the layer is sputtered out and contributes to the decomposition of the carbon-based polymer, so it is easily removed. Therefore, increased deposition of carbon-based polymer improves resist selectivity and silicon underlayer selectivity. However, in the present invention, the absolute amount of CFn +, which is an etching species for the silicon compound layer, is increased.
Even if the deposition of carbon-based polymer is promoted, the etching rate is not reduced in any way.

【0013】このように、本発明によれば高下地選択性
、高レジスト選択性、高異方性、高速性、低汚染性等、
ドライエッチングに対するあらゆる要求を満足しながら
シリコン化合物層のエッチングを行うことが可能となる
。なお、本発明における鎖状化合物中のHが過剰なF*
 の捕捉を目的としていることを考慮すると、従来提案
された高次フルオロカーボン系ガス等に単にH2 を添
加しても良いように思われる。しかし、これではまず、
単一組成ガスを使用することのメリットが失われる。ま
た、H2 は分子半径が小さいのでシリコン基板等の結
晶格子内に容易に侵入し、基板ダメージを惹起させ易い
。 さらに、取扱も危険である。したがって、やはり本発明
で提案する鎖状化合物を単一組成にて使用することが望
ましい。
As described above, according to the present invention, high base selectivity, high resist selectivity, high anisotropy, high speed, low contamination, etc.
It becomes possible to perform etching of a silicon compound layer while satisfying all requirements for dry etching. In addition, F* in which H in the chain compound in the present invention is excessive
Considering that the purpose is to capture H2, it seems possible to simply add H2 to the conventionally proposed higher-order fluorocarbon gases. However, first of all,
The benefits of using a single composition gas are lost. Furthermore, since H2 has a small molecular radius, it easily penetrates into the crystal lattice of a silicon substrate, etc., and tends to cause damage to the substrate. Furthermore, it is also dangerous to handle. Therefore, it is still desirable to use the chain compound proposed in the present invention in a single composition.

【0014】[0014]

【実施例】以下、本発明の具体的な実施例について説明
する。
[Examples] Specific examples of the present invention will be described below.

【0015】実施例1 本実施例は、本発明をコンタクト・ホール加工に適用し
、C3 F7 H(1,1,1,2,3,3,3−ヘプ
タフルオロプロパン)を使用してSiO2 層間絶縁膜
をエッチングした例である。本実施例においてエッチン
グ・サンプルとして使用した被エッチング基板(ウェハ
)は、予め不純物拡散層が形成された単結晶シリコン基
板上にSiO2 層間絶縁膜が形成され、さらに該Si
O2 層間絶縁膜のエッチング・マスクとしてレジスト
・パターンが形成されてなるものである。上記ウェハを
、マグネトロンRIE(反応性イオン・エッチング)装
置のウェハ載置電極上にセットした。ここで、上記ウェ
ハ載置電極は冷却配管を内蔵しており、装置外部に接続
されるチラー等の冷却設備から該冷却配管に冷媒を供給
して循環させることにより、エッチング中のウェハ温度
を50℃以下に制御することが可能となされているもの
である。ここでは、冷媒としてエタノールを使用し、エ
ッチング中のウェハ温度が0℃に維持されるようにした
。この状態で、一例としてC3 F7H流量46SCC
M,ガス圧2Pa,RFパワー密度2.0W/cm2 
,磁場強度150Gaussの条件でエッチングを行っ
た。
Example 1 In this example, the present invention is applied to contact hole processing, and C3 F7 H (1,1,1,2,3,3,3-heptafluoropropane) is used to form a SiO2 interlayer. This is an example of etching an insulating film. The substrate to be etched (wafer) used as an etching sample in this example was a single crystal silicon substrate on which an impurity diffusion layer was formed in advance, an SiO2 interlayer insulating film was formed on the silicon substrate, and an SiO2 interlayer insulating film was further formed on the silicon substrate.
A resist pattern is formed as an etching mask for the O2 interlayer insulating film. The wafer was set on a wafer mounting electrode of a magnetron RIE (reactive ion etching) device. Here, the wafer-mounted electrode has a built-in cooling pipe, and by supplying and circulating a coolant to the cooling pipe from cooling equipment such as a chiller connected outside the apparatus, the wafer temperature during etching can be kept at 50°C. It is possible to control the temperature below ℃. Here, ethanol was used as a coolant to maintain the wafer temperature at 0° C. during etching. In this state, as an example, the C3 F7H flow rate is 46SCC.
M, gas pressure 2Pa, RF power density 2.0W/cm2
, the etching was performed under the conditions of a magnetic field strength of 150 Gauss.

【0016】上述のエッチング過程では、C3 F7 
H(C/F比=1/2)が放電解離してプラズマ中に生
成するCFn + により、SiO2 層間絶縁膜のエ
ッチングがイオン・アシスト反応を主体とする機構によ
り進行する。このとき、レジスト・パターンの表面にお
いては炭素系ポリマーが効率良く堆積したが、SiO2
 層間絶縁膜の表面では自身のエッチング除去に伴って
炭素系ポリマーも除去された。その結果、堆積性ガスを
添加したりエッチング条件を途中で切り換える等の操作
が行われていないにもかかわらず、良好な異方性形状を
有するコンタクト・ホールが高速に形成された。このプ
ロセスにおける対レジスト選択比は3.5、対シリコン
選択比は約15であった。上述の対レジスト選択比が得
られたことにより、寸法変換差は従来と比べて大幅に低
減された。 また、上述のように高い対シリコン選択比が得られたこ
とにより、50%ものオーバーエッチングを行っても単
結晶シリコン基板および不純物拡散層が大きくダメージ
を受けることはなかった。上記の選択比の値は、C3 
F8 /C2 H4 混合ガスのように堆積性ガスが添
加されてなるエッチング・ガスを使用した場合の選択比
と同等であった。しかし、本実施例では単一組成のガス
を使用し、しかも1段階プロセスが採用されているため
、制御性、再現性、スループット等は上記混合ガスを使
用する場合よりも格段に向上した。
In the above etching process, C3 F7
Etching of the SiO2 interlayer insulating film proceeds by a mechanism mainly based on an ion-assisted reaction due to CFn + generated in the plasma by discharge dissociation of H (C/F ratio=1/2). At this time, carbon-based polymer was efficiently deposited on the surface of the resist pattern, but SiO2
The carbon-based polymer was also removed from the surface of the interlayer insulating film as it was etched away. As a result, contact holes with good anisotropic shapes were formed at high speed even though no operations such as adding a deposition gas or changing etching conditions were performed. In this process, the resist selectivity was 3.5 and the silicon selectivity was about 15. By obtaining the above-mentioned resist selectivity, the dimensional conversion difference was significantly reduced compared to the conventional method. Further, since a high selectivity to silicon was obtained as described above, the single crystal silicon substrate and the impurity diffusion layer were not significantly damaged even when overetching was performed by as much as 50%. The above selection ratio value is C3
The selectivity was equivalent to that obtained when using an etching gas to which a deposition gas was added, such as a F8 /C2 H4 mixed gas. However, in this example, since a gas of a single composition was used and a one-step process was adopted, controllability, reproducibility, throughput, etc. were significantly improved compared to when the above-mentioned mixed gas was used.

【0017】ここで、比較のために上記C3 F7 H
と炭素原子数の等しいC3 F8 (オクタフルオロプ
ロパン)を用いて上述と同じ条件にてSiO2 層間絶
縁膜のエッチングを行った。このときの対レジスト選択
比は1.5、対シリコン選択比は3.9であり、C3 
F7 Hを用いた場合より大きく劣っていた。これは、
C3 F7 HよりもC3 F8 の方がC/F比が小
さく、選択比低下の原因となる過剰なF* の生成が十
分に抑制されなかったからである。
Here, for comparison, the above C3 F7 H
The SiO2 interlayer insulating film was etched under the same conditions as described above using C3F8 (octafluoropropane) having the same number of carbon atoms. At this time, the resist selectivity ratio was 1.5, the silicon selectivity ratio was 3.9, and C3
It was significantly inferior to the case using F7H. this is,
This is because C3 F8 has a smaller C/F ratio than C3 F7 H, and the generation of excessive F*, which causes a decrease in selectivity, was not sufficiently suppressed.

【0018】実施例2 本実施例は、本発明をコンタクト・ホール加工に適用し
、C3 F6 H2 (1,1,1,3,3,3−ヘキ
サフルオロプロパン)を使用してSiO2 層間絶縁膜
をエッチングした例である。本実施例で使用したウェハ
は、実施例1で使用したものと同様である。上記ウェハ
を、マグネトロンRIE装置にセットし、一例としてC
3 F6 H2 流量46SCCM,ガス圧2Pa,R
Fパワー密度2.0W/cm2 ,磁場強度150Ga
uss,ウェハ温度20℃の条件でエッチングを行った
。上述のエッチング過程におけるエッチング反応の進行
および炭素系ポリマーの堆積機構は実施例1で上述した
とおりである。 ただし、C3 F6 H2 は1分子中に2個のH原子
を有し、これらがF* を捕捉消費するので、実質的な
C/F比は3/4である。このように実施例1よりもC
/F比の高い化合物が使用されることにより、実施例1
よりもウェハ温度が高いにもかかわらず、十分な高選択
性が達成された。
Example 2 In this example, the present invention is applied to contact hole processing, and a SiO2 interlayer insulating film is formed using C3 F6 H2 (1,1,1,3,3,3-hexafluoropropane). This is an example of etching. The wafer used in this example was the same as that used in Example 1. The above wafer is set in a magnetron RIE device, and as an example, C
3 F6 H2 Flow rate 46SCCM, gas pressure 2Pa, R
F power density 2.0W/cm2, magnetic field strength 150Ga
Etching was performed at a wafer temperature of 20°C. The progress of the etching reaction and the deposition mechanism of the carbon-based polymer in the above-mentioned etching process are as described above in Example 1. However, C3 F6 H2 has two H atoms in one molecule, which trap and consume F*, so the effective C/F ratio is 3/4. In this way, C
By using a compound with a high /F ratio, Example 1
Sufficiently high selectivity was achieved despite the higher wafer temperature.

【0019】実施例3 本実施例は、本発明をコンタクト・ホール加工に適用し
、C3 F5 H(1,1,3,3,3−ペンタフルオ
ロプロペン)を使用してSiO2 層間絶縁膜をエッチ
ングした例である。本実施例で使用したウェハも、実施
例1で使用したものと同様である。上記ウェハを、マグ
ネトロンRIE装置にセットし、一例としてC3 F5
 H流量46SCCM,ガス圧2Pa,RFパワー密度
2.0W/cm2 ,磁場強度150Gauss,ウェ
ハ温度20℃の条件でエッチングを行った。上述のエッ
チング過程におけるエッチング反応の進行および炭素系
ポリマーの堆積機構は実施例1で上述したとおりである
。C3 F5 Hは分子中のH原子数は1であるが、主
鎖中に二重結合を1個有しているため、C/F比は実施
例2のC3 F6 H2 同様、3/4である。本実施
例においても、室温付近のウェハ温度制御により十分な
高選択性が達成された。
Example 3 In this example, the present invention was applied to contact hole processing, and a SiO2 interlayer insulating film was etched using C3F5H (1,1,3,3,3-pentafluoropropene). This is an example. The wafer used in this example was also the same as that used in Example 1. The above wafer is set in a magnetron RIE device, and as an example, a C3 F5
Etching was performed under the conditions of H flow rate of 46 SCCM, gas pressure of 2 Pa, RF power density of 2.0 W/cm2, magnetic field strength of 150 Gauss, and wafer temperature of 20°C. The progress of the etching reaction and the deposition mechanism of the carbon-based polymer in the above-mentioned etching process are as described above in Example 1. Although C3 F5 H has one H atom in the molecule, it has one double bond in the main chain, so the C/F ratio is 3/4, similar to C3 F6 H2 in Example 2. be. In this example as well, sufficiently high selectivity was achieved by controlling the wafer temperature near room temperature.

【0020】以上、本発明を3種類の実施例にもとづい
て説明したが、本発明はこれらの実施例に何ら限定され
るものではなく、たとえばスパッタリング効果,希釈効
果,冷却効果等を期待する意味で上述のガス系にHe,
Ar等の希ガスを適宜添加しても良い。これらの希ガス
により、本発明の単一組成ガスのメリットが損われるこ
とはない。さらに、被エッチング材料層は上述のSiO
2 に限られるものではなく、PSG,BSG,BPS
G,AsSG,AsPSG,AsBSG,SiN等であ
っても良い。
Although the present invention has been described above based on three types of embodiments, the present invention is not limited to these embodiments in any way. In the above gas system, He,
A rare gas such as Ar may be added as appropriate. These rare gases do not impair the advantages of the single composition gas of the present invention. Furthermore, the material layer to be etched is the above-mentioned SiO
2, but not limited to PSG, BSG, BPS
G, AsSG, AsPSG, AsBSG, SiN, etc. may be used.

【0021】[0021]

【発明の効果】以上の説明からも明らかなように、本発
明では分子内に少なくとも1個のH原子を含む飽和もし
くは不飽和の高次フルオロカーボン系鎖状化合物を使用
することにより、堆積性ガスを何ら添加することなくC
/F比を最適化し、高速性、低汚染性、高異方性、制御
性および再現性に優れたシリコン化合物層のエッチング
を行うことができる。したがって本発明は、微細なデザ
イン・ルールにもとづいて設計され、高集積度と高性能
を有する半導体装置の製造に極めて好適である。
Effects of the Invention As is clear from the above explanation, in the present invention, by using a saturated or unsaturated higher-order fluorocarbon chain compound containing at least one H atom in the molecule, deposition gas can be reduced. C without any addition of
By optimizing the /F ratio, silicon compound layers can be etched at high speed, with low contamination, with high anisotropy, and with excellent controllability and reproducibility. Therefore, the present invention is extremely suitable for manufacturing semiconductor devices designed based on fine design rules and having high integration and high performance.

Claims (1)

【特許請求の範囲】[Claims] 【請求項1】  被エッチング基板の温度を50℃以下
に制御し、一般式CxFy Hz (ただし、x,y,
zは原子数を示す自然数であり、x≧2,y>z≧1,
2x+2≧y+z,y≧x+zの条件をすべて満足する
。)で表される鎖状化合物を主体とするエッチング・ガ
スを用いてシリコン化合物層のエッチングを行うことを
特徴とするドライエッチング方法。
Claim 1: The temperature of the substrate to be etched is controlled to 50°C or less, and the general formula CxFy Hz (where x, y,
z is a natural number indicating the number of atoms, x≧2, y>z≧1,
All the conditions of 2x+2≧y+z and y≧x+z are satisfied. ) A dry etching method characterized by etching a silicon compound layer using an etching gas mainly composed of a chain compound represented by
JP14815091A 1991-05-24 1991-05-24 Dry etching method Expired - Lifetime JP3198538B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP14815091A JP3198538B2 (en) 1991-05-24 1991-05-24 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP14815091A JP3198538B2 (en) 1991-05-24 1991-05-24 Dry etching method

Publications (2)

Publication Number Publication Date
JPH04346427A true JPH04346427A (en) 1992-12-02
JP3198538B2 JP3198538B2 (en) 2001-08-13

Family

ID=15446384

Family Applications (1)

Application Number Title Priority Date Filing Date
JP14815091A Expired - Lifetime JP3198538B2 (en) 1991-05-24 1991-05-24 Dry etching method

Country Status (1)

Country Link
JP (1) JP3198538B2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998019332A1 (en) * 1996-10-30 1998-05-07 Japan As Represented By Director General Of The Agency Of Industrial Science And Technology Dry etching method
WO1998036449A1 (en) * 1997-02-12 1998-08-20 Daikin Industries, Ltd. Etching gas and cleaning gas
WO1999016110A3 (en) * 1997-09-19 1999-06-10 Applied Materials Inc Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
WO1999034427A1 (en) * 1997-12-31 1999-07-08 Alliedsignal Inc. Hydrofluorocarbon etching compounds with reduced global warming impact
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6322715B1 (en) * 1996-10-30 2001-11-27 Japan As Represented By Director General Of The Agency Of Industrial Science And Technology Gas composition for dry etching and process of dry etching
JP2008300616A (en) * 2007-05-31 2008-12-11 Nippon Zeon Co Ltd Etching method
JP2011044740A (en) * 2000-04-28 2011-03-03 Daikin Industries Ltd Dry etching gas, and dry etching method
WO2013015033A1 (en) 2011-07-27 2013-01-31 セントラル硝子株式会社 Dry ethcing agent
CN111052318A (en) * 2017-08-31 2020-04-21 乔治洛德方法研究和开发液化空气有限公司 Chemical process for etching multiple stacked layers

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102200029B1 (en) * 2019-05-07 2021-01-08 (주)대성하이텍 Automatic tool changer having extended containing structure for machining center

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6322715B1 (en) * 1996-10-30 2001-11-27 Japan As Represented By Director General Of The Agency Of Industrial Science And Technology Gas composition for dry etching and process of dry etching
US6383403B1 (en) 1996-10-30 2002-05-07 Japan As Represented By The Director General Of The Agency Of Industrial Science And Technology Dry etching method
WO1998019332A1 (en) * 1996-10-30 1998-05-07 Japan As Represented By Director General Of The Agency Of Industrial Science And Technology Dry etching method
WO1998036449A1 (en) * 1997-02-12 1998-08-20 Daikin Industries, Ltd. Etching gas and cleaning gas
WO1999016110A3 (en) * 1997-09-19 1999-06-10 Applied Materials Inc Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
US6361705B1 (en) 1997-09-19 2002-03-26 Applied Materials, Inc. Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
US6120697A (en) * 1997-12-31 2000-09-19 Alliedsignal Inc Method of etching using hydrofluorocarbon compounds
WO1999034427A1 (en) * 1997-12-31 1999-07-08 Alliedsignal Inc. Hydrofluorocarbon etching compounds with reduced global warming impact
US6428716B1 (en) 1997-12-31 2002-08-06 Alliedsignal Inc. Method of etching using hydrofluorocarbon compounds
KR100630483B1 (en) * 1997-12-31 2006-10-02 알라이드시그날 인코포레이티드 Hydrofluorocarbon etching compounds with reduced global warming impact
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
JP2011044740A (en) * 2000-04-28 2011-03-03 Daikin Industries Ltd Dry etching gas, and dry etching method
JP2011086966A (en) * 2000-04-28 2011-04-28 Daikin Industries Ltd Dry etching gas and dry etching method
JP2008300616A (en) * 2007-05-31 2008-12-11 Nippon Zeon Co Ltd Etching method
WO2013015033A1 (en) 2011-07-27 2013-01-31 セントラル硝子株式会社 Dry ethcing agent
EP2733725A4 (en) * 2011-07-27 2015-05-27 Central Glass Co Ltd Dry ethcing agent
CN111052318A (en) * 2017-08-31 2020-04-21 乔治洛德方法研究和开发液化空气有限公司 Chemical process for etching multiple stacked layers

Also Published As

Publication number Publication date
JP3198538B2 (en) 2001-08-13

Similar Documents

Publication Publication Date Title
JP3038950B2 (en) Dry etching method
US6841483B2 (en) Unique process chemistry for etching organic low-k materials
US6620733B2 (en) Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US7105454B2 (en) Use of ammonia for etching organic low-k dielectrics
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
JP3116569B2 (en) Dry etching method
JP3154128B2 (en) Dry etching method
JPH04346427A (en) Dry-etching method
JP3160961B2 (en) Dry etching method
JP2687787B2 (en) Dry etching method
JP3094470B2 (en) Dry etching method
JPH04170026A (en) Dry etching
JP3208596B2 (en) Dry etching method
JPH06163476A (en) Dry etching method
US10937659B2 (en) Method of anisotropically etching adjacent lines with multi-color selectivity
JP2004103747A (en) Method of manufacturing semiconductor device
JP2000150465A (en) Dry etching method
JP3116421B2 (en) Dry etching method
JP3380947B2 (en) Plasma etching method for low dielectric constant silicon oxide based insulating film
JP2005142255A (en) Dry etching method of insulating film between low dielectric constant layers
JPH053177A (en) Dry etching method
JPH06318575A (en) Dry etching
JP3104388B2 (en) Dry etching method
JPH0536645A (en) Dry etching method
JP2002261077A (en) Dry etching method

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20000919

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010515

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080615

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090615

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090615

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100615

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100615

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110615

Year of fee payment: 10

EXPY Cancellation because of completion of term