JPH04258117A - ドライエッチング方法 - Google Patents

ドライエッチング方法

Info

Publication number
JPH04258117A
JPH04258117A JP3040966A JP4096691A JPH04258117A JP H04258117 A JPH04258117 A JP H04258117A JP 3040966 A JP3040966 A JP 3040966A JP 4096691 A JP4096691 A JP 4096691A JP H04258117 A JPH04258117 A JP H04258117A
Authority
JP
Japan
Prior art keywords
etching
gas
selectivity
silicon
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP3040966A
Other languages
English (en)
Other versions
JP3038950B2 (ja
Inventor
Toshiharu Yanagida
敏治 柳田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=12595215&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH04258117(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP3040966A priority Critical patent/JP3038950B2/ja
Priority to KR1019920001759A priority patent/KR100252471B1/ko
Priority to US07/834,395 priority patent/US5338399A/en
Publication of JPH04258117A publication Critical patent/JPH04258117A/ja
Application granted granted Critical
Publication of JP3038950B2 publication Critical patent/JP3038950B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置の製造分野等
において適用されるドライエッチング方法に関し、特に
対レジスト選択性および対シリコン下地選択性に優れ、
しかも高速でパーティクル汚染が少ないシリコン化合物
層のドライエッチング方法に関する。
【0002】
【従来の技術】近年のVLSI,ULSI等にみられる
ように半導体装置の高集積化および高性能化が進展する
に伴い、酸化シリコンに代表されるシリコン化合物層の
ドライエッチング方法についても技術的要求がますます
厳しくなってきている。まず、高集積化によりデバイス
・チップの面積が拡大しウェハが大口径化している一方
、形成すべきパターンは微細化しているので、エッチン
グのウェハ面内均一性を確保するためにドライエッチン
グ装置の主流は従来のバッチ式から枚葉式に移行しつつ
ある。この際、従来と同等の生産性を維持するためには
、大幅なエッチング速度の向上が必須となる。また、デ
バイスの高速化や微細化を図るために不純物拡散領域の
接合深さが浅くなり、また各種の堆積膜も薄くなってい
る状況下では、従来以上に対下地選択性に優れダメージ
の少ないエッチング技術が要求される。たとえば、半導
体基板内に形成された不純物拡散領域や、SRAMの抵
抗負荷素子として用いられるPMOSトランジスタのソ
ース・ドレイン領域等にコンタクトを形成しようとする
場合等に、シリコン基板や多結晶シリコン層を下地とし
て行われる酸化シリコン層間絶縁膜のエッチング等がそ
の例である。さらに、対レジスト選択比の向上も重要な
課題である。サブミクロン・デバイスでは、レジストの
後退によるわずかな寸法変換差の発生も許容されなくな
ってきている。しかし、高速性、高選択性、低ダメージ
といった特性は互いに取捨選択される関係にあり、すべ
てを満足できるエッチング・プロセスを確立することは
極めて困難である。
【0003】従来、シリコン系材料層に対して高い選択
比を保ちながら酸化シリコン等のシリコン化合物層をド
ライエッチングするには、CHF3 、CF4 /H2
 混合系、CF4 /O2 混合系、C2 F6 /C
HF3 混合系等がエッチング・ガスとして典型的に使
用されてきた。これらは、いずれもC/F比(分子内の
炭素原子数とフッ素原子数の比)が0.25以上のフル
オロカーボン系ガスを主体としている。これらのガス系
が使用されるのは、(a)フルオロカーボン系ガスに含
まれるCが酸化シリコン層の表面でC−Oを結合を生成
し、Si−O結合を切断したり弱めたりする働きがある
、(b)酸化シリコン層の主エッチング種であるCFx
 + (特にCF3 + ) を生成し得る、さらに(
c)プラズマ中で相対的に炭素に富む状態が作り出され
るので、酸化シリコン中の酸素がCOまたはCO2 の
形で除去される一方、ガス系に含まれるC,H,F等の
寄与によりシリコン系材料層の表面では炭素系のポリマ
ーが堆積してエッチング速度が低下し、シリコン系材料
層に対する高選択比が得られる、等の理由にもとづいて
いる。なお、上記のH2 ,O2 等の添加ガスは選択
比の制御を目的として用いられているものであり、それ
ぞれF* 発生量を低減もしくは増大させることができ
る。つまり、エッチング反応系の見掛け上のC/F比を
制御する効果を有する。
【0004】これに対し、本願出願人は先に特願平2−
75828号明細書において、炭素数2以上の飽和ない
し不飽和の鎖状高次フルオロカーボン系ガスを使用する
シリコン化合物層のドライエッチング方法を提案してい
る。これは、C2 F6 ,C3 F8 ,C4 F1
0,C4 F8 等の高次フルオロカーボン系ガスを使
用することによりCFx + を効率良く生成させ、エ
ッチングの高速化を図ったものである。ただし、高次フ
ルオロカーボン系ガスを単独で使用するのでは、対レジ
スト選択比および対シリコン下地選択比を十分に大きく
とることができない。 たとえばC3 F8 をエッチング・ガスとしてシリコ
ン基板上の酸化シリコン層をエッチングした場合、高速
性は達成されるものの、対レジスト選択比が1.3程度
と低く、エッチング耐性が不足する他、パターン・エッ
ジの後退による寸法変換差が発生してしまう。また、対
シリコン選択比も4.1程度であるので、オーバーエッ
チング耐性に問題が残る。そこで、これらの問題を解決
するために上記の先行技術では鎖状高次フルオロカーボ
ン・ガス単独によるエッチングは下地が露出する直前で
停止し、シリコン化合物層の残余部をエッチングする際
には炭素系ポリマーの堆積を促進するためにこのガスに
さらに炭化水素系ガスを添加するという、2段階エッチ
ングが行われている。
【0005】しかしながら、半導体装置のデザイン・ル
ールが高度に微細化されている現状では、既にエッチン
グ・マスクとの寸法変換差がほとんど許容できなくなり
つつあり、上述のような2段階エッチングを行うにして
も、1段目のエッチングにおける選択比をさらに向上さ
せることが必要となる。また、今後より一層微細化が進
行するに伴い、炭素系ポリマーによるパーティクル汚染
の影響が深刻化することも考えられるので、2段目のエ
ッチングにおける炭化水素系ガス等の堆積性ガスの使用
量もできるだけ低減させたいところである。かかる観点
から、本願出願人は先に特願平2−295225号明細
書において、被処理基板の温度を50℃以下に制御した
状態で、分子内に少なくとも1個の不飽和結合を有する
鎖状不飽和フルオロカーボン系ガスを用いてシリコン化
合物層をエッチングする技術を開示している。上記鎖状
不飽和フルオロカーボン系ガスは、放電解離により理論
上は1分子から2個以上のCFx + を生成するので
、酸化シリコンを高速にエッチングすることができる。 また、分子内に不飽和結合を有することから解離により
高活性なラジカルを生成させ易く、炭素系ポリマーの重
合を促進する。しかも、被処理基板の温度が50℃以下
に制御されていることにより、上記炭素系ポリマーの堆
積が促進される。したがって、対レジスト選択性および
対シリコン下地選択性を向上させることができる。また
、同明細書には、鎖状不飽和フルオロカーボン系ガス単
独によるエッチングはシリコン化合物層の途中までで停
止し、残余のエッチングを上記の鎖状不飽和フルオロカ
ーボン系ガスに炭化水素系ガスを添加したガスを用いて
行う技術も同時に開示している。これは、下地シリコン
に対する選択性を一層向上させるために、エッチングの
中途から堆積性ガスを併用しているのである。
【0006】あるいはまた、ヘキサフルオロベンゼン(
C6 F6)とテトラフルオロメタン(CF4 )の混
合ガスにより酸化シリコンをエッチングする技術が特公
平1−60938号公報に開示されている。これは、環
状不飽和高次フルオロカーボン系ガスを使用することに
よりプラズマ中に効率的にCFx + を発生させ、か
つ炭素系ポリマーの重合を促進することを意図しており
、やはり本願出願人の先願と同様の発想にもとづくもの
である。
【0007】
【発明が解決しようとする課題】ところで、従来提案さ
れている鎖状不飽和フルオロカーボン系ガス、もしくは
環状不飽和フルオロカーボン系ガスを使用する技術にお
いては、これまでの説明からも明らかなように、十分な
選択比を得るために実用上は他の添加ガスと併用するこ
とが必要となる。また、C6 F6 を使用する技術に
よると、これを開示した公報中でも言及されているとお
り、C6 F6 単独でエッチング・ガスを構成するこ
とはできない。それは、C6 F6 単独ではプラズマ
中に著しく多量のCFx + が発生し、炭素系ポリマ
ーの重合が過度に促進されてエッチング反応が進行しな
いからである。そこで、このCFxの発生を抑制するた
めに、あらゆるフルオロカーボン系ガスの中で最もC/
F比の低いCF4 を混合しているのである。したがっ
て、環状の高次フルオロカーボン系ガスを使用するにし
ても、単独でも使用し得る化合物を選択した方が、エッ
チングの制御性や安定性を向上させる上で有利である。 そこで本発明は、シリコン化合物層のドライエッチング
・ガスとしては従来使用されていない環状の高次フルオ
ロカーボン系ガスについて実用性を検討し、高速性,対
下地選択性,対レジスト選択性,低汚染性,低ダメージ
性に優れる新規なドライエッチング方法を提供すること
を目的とする。
【0008】
【課題を解決するための手段】本発明にかかるドライエ
ッチング方法は、上述の目的を達成するために提案され
るものである。すなわち、本願の第1の発明にかかるド
ライエッチング方法は、分子構造の少なくとも一部に環
状部を有する飽和フルオロカーボン系化合物を含むエッ
チング・ガスを用いて被エッチング基体の温度を50℃
以下に制御しながら基板上に形成されたシリコン化合物
層のエッチングを行うことを特徴とするものである。
【0009】本願の第2の発明にかかるドライエッチン
グ方法は、分子構造の少なくとも一部に環状部を有する
不飽和フルオロカーボン系化合物を含むエッチング・ガ
スを用いて被エッチング基体の温度を50℃以下に制御
しながら基板上に形成されたシリコン化合物層のエッチ
ングを行うことを特徴とするものである。
【0010】なお、以下の明細書中では上記飽和もしく
は不飽和フルオロカーボン系化合物を一般式を用いて表
記する際に、鎖状不飽和フルオロカーボン系化合物との
混同を避けるために、環状(cyclic)であること
を表すc−を頭に付けることにする。まず、本願の第1
の発明で使用される飽和フルオロカーボン系化合物は一
般式c−Cn F2n(ただし、nは3以上の整数を表
す。)で表され、その代表例としては次の化1で示され
る単環系化合物が例示される。
【化1】
【0011】ここで、各構造式において炭素環の中央に
Fと記されているのは、同一の炭素骨格を有する炭化水
素の水素原子が全てフッ素原子に置換されていることを
示している。以下、本明細書中ではこの表記方法を採用
する。化1では3〜7員環の各化合物を例示したが、製
造が技術的に可能でかつ安定に存在し得る化合物であれ
ば、これより大きい炭素環を有するものであっても構わ
ない。また、上記単環系化合物の構造異性体として、次
の化2で示されるようなパーフルオロアルキル基を側鎖
に有する化合物も例示される。
【化2】
【0012】化2では側鎖がトリフルオロメチル基であ
る場合を例示した。これらはいずれも常温常圧下で液体
であるため、エッチング反応系へ導入するためには加熱
もしくは不活性ガスを用いたバブリング等を行う必要が
ある。
【0013】一方、本願の第2の発明で使用される不飽
和フルオロカーボン系化合物は、一般式c−Cn Fy
 (ただし、nは3以上の整数を表し、y≦2n−2の
条件を満たす。)で表され、その代表例としては、次の
化3で示される単環系化合物が例示される。
【化3】
【0014】化3では炭素環内に2重結合を1個有する
3〜6員環の各化合物c−Cn F2n−2  を例示
したが、製造が技術的に可能でかつ安定に存在し得る化
合物であれば、これより大きい炭素環を有するものであ
っても構わない。また、炭素環内の不飽和結合の数も特
に1個に限定されるものではないが、前述のようにC6
 F6 (C/F比=1)が単独ではエッチング・ガス
を構成し得ないことを考慮すると、分子内に余り多くの
不飽和結合が存在してC/F比が極端に増大することは
望ましくない。したがって、実用上はC/F比が1未満
であることが望ましい。さらに、上記不飽和フルオロカ
ーボン系化合物の構造異性体としては、上述のような不
飽和環にパーフルオロアルキル基が結合しているもの、
不飽和環にパーフルオロビニル基のような不飽和鎖が結
合しているもの、飽和環にパーフルオロビニル基のよう
な不飽和鎖が結合しているもの等が考えられる。
【0015】ところで、本発明ではc−Cn F2nも
しくはc−Cn Fy を目的に応じて互いに混合する
か、もしくは他のガスと適宜混合して用いても良い。ま
たエッチング工程を多段階に分け、各段階において異な
るガス系を使用しても構わない。
【0016】たとえば、C/F比でみるとc−Cn F
2nはc−Cn Fy に比べて高速性を達成する上で
有利であり、逆にc−Cn Fy はc−Cn F2n
に比べて高選択性を達成する上で有利である。そこで、
(イ)c−Cn F2nにc−Cn Fy を少量添加
した混合ガス系による低温エッチング、もしくは(ロ)
下地が露出する直前まではシリコン化合物層のエッチン
グをc−Cn F2nの単独ガス系で高速に行い、シリ
コン系化合物の残余部のエッチングとオーバーエッチン
グとをc−Cn F2nとc−Cn Fy との混合ガ
ス系で高選択比をもって行う2段階エッチング、等のプ
ロセスが考えられる。あるいは、(ハ)上述(ロ)の2
段階エッチングにおいて、後半のエッチングをc−Cn
 F2nと堆積性ガスの混合ガス系により行うプロセス
、等も考えられる。
【0017】また、上記c−Cn F2nおよびc−C
nFy は、直鎖状の飽和フルオロカーボン系化合物C
n F2n+2と比べればいずれもエッチング速度を低
下させるものと考えられるので、Cn F2n+2をエ
ッチング・ガスの主体とし、これにc−Cn F2nも
しくはc−Cn Fy を添加ガスとして使用すること
も考えられる。この例としては、(ニ)Cn F2n+
2とc−Cn F2nもしくはc−Cn Fy の混合
ガスによる低温エッチング、(ホ)下地が露出する直前
まではシリコン化合物層のエッチングをc−Cn F2
n+2の単独ガス系で高速に行い、シリコン系化合物の
残余部のエッチングとオーバーエッチングとをc−Cn
 F2n+2とc−Cn F2nもしくはc−Cn F
y との混合ガス系により高選択比をもって行う2段階
エッチング、等のプロセスが考えられる。
【0018】
【作用】本発明で使用されるエッチング・ガスは、分子
構造の少なくとも一部に環状部を有する飽和もしくは不
飽和フルオロカーボン系ガスを含むものである。これら
のガスは、当然のことながら1分子内の炭素数が3以上
であるから、本願出願人が先に提案した高次フルオロカ
ーボン・ガスと同等あるいはそれ以上に1分子からのC
Fx + の生成量が多くなる。したがって、エッチン
グの高速化が可能となる。また、かかるガスがプラズマ
放電により解離されると、モノラジカル、あるいは場合
によってはカルベン等の高活性なビラジカル(二端遊離
基)も生成し、これらが不飽和結合中のπ電子系を攻撃
することにより炭素系ポリマーの重合が促進される。こ
の炭素系ポリマーは、単結晶シリコンや多結晶シリコン
等のシリコン系材料層の表面やレジスト・パターンの表
面に堆積すると、イオン衝撃等によっても容易には除去
されないが、酸化シリコン等のシリコン化合物層の表面
では層内に含まれる酸素がスパッタ・アウトされて炭素
系ポリマーの分解に寄与するため容易に除去される。し
たがって、炭素系ポリマーの堆積が増加すれば、対レジ
スト選択性および対シリコン下地選択性が向上する。
【0019】しかし、ここで留意すべきは、C/F比が
小さすぎると過剰なF* により対下地選択比や対レジ
スト選択比が低下することである。従来は、エッチング
反応系のC/F比を増大させるためにH2 や堆積性ガ
ス等を添加していたが、本発明は添加ガスを特に併用し
なくとも、フルオロカーボン系ガスのエッチング・ガス
として従来とは炭素骨格の異なるものを使用することで
C/F比を増大させようとするものである。すなわち、
本願の第1の発明で使用される分子構造の一部に環状部
を有する飽和フルオロカーボン系化合物c−Cn F2
nは、炭素数が同じならば直鎖状飽和フルオロカーボン
系化合物Cn F2n+2よりも1分子中のフッ素原子
数が2個少ない。また、本願の第2の発明で使用される
分子構造の一部に環状部を有する不飽和フルオロカーボ
ン系化合物c−Cn Fy (y≦2n−2)は、炭素
数が同じならば直鎖状飽和フルオロカーボン系化合物C
n F2n+2よりも1分子中のフッ素原子数が4個以
上少ない。したがって、特に添加ガスを併用しなくとも
、エッチング反応系のC/F比を従来よりも低下させる
ことができるのである。
【0020】なお、本願の第1の発明で使用される飽和
フルオロカーボン系化合物c−Cn F2nは、本発明
者が先に特願平2−295225号明細書に提案してい
る鎖状フルオロカーボン系化合物のうち、分子内に1個
の2重結合を有する化合物と同じ一般式で表されるもの
である。したがって、これら両者のC/F比の増大効果
に有意差が認められるか否かについては必ずしも明らか
ではない。しかし、プラズマ中における解離状態、被エ
ッチング基体の表面に堆積するポリマーの分子構造、選
択性の温度依存性、下地ダメージの発生状態に関しては
有意差が現れることは十分に考えられる。
【0021】さらに本発明では、エッチング中の被エッ
チング基板の温度を50℃以下に制御する。この温度制
御は室温域でも、あるいは近年ドライエッチングの分野
において注目されている低温エッチングのごとく0℃以
下の温度域で行っても良い。通常、ドライエッチングの
過程では冷却を特に行わなければ被エッチング基板の温
度は200℃程度にも上昇する。しかし、温度を50℃
以下に制御すれば、炭化水素系ガス等の堆積性ガスを使
用しないかあるいはその使用量を極めて少なくしても、
蒸気圧の低下により効率良く炭素系ポリマーを堆積させ
ることができ、上述のように選択性を向上させることが
できる。また、このことにより堆積性ガスの添加量を低
減できるので、パーティクル汚染の虞れも少なくなる。
【0022】特に、0℃以下に冷却して低温エッチング
を行えば、選択性の向上は一層顕著となる。レジスト材
料やシリコン系材料層のエッチングはF*(フッ素ラジ
カル)による化学反応を主体として進行するので、反応
系の温度が低下してラジカルの運動が抑制されるとエッ
チング速度も低下する。これに対し、酸化シリコン等の
シリコン化合物層のエッチングはイオンによるスパッタ
リングを主体として物理的に進行するので、冷却による
エッチング速度の低下はレジスト材料やシリコン系材料
ほど顕著ではない。したがって、低温域では選択比の一
層の向上が期待できるわけである。
【0023】
【実施例】以下、本発明の具体的な実施例について説明
する。ここで、実施例1〜実施例3ではc−Cn F2
nもしくはc−Cn Fy を単独で用いるプロセス、
実施例4ではc−Cn F2nとc−Cn Fy を組
み合わせて用いるプロセス、実施例5ではc−Cn F
2nと堆積性ガスを組み合わせて用いるプロセス、実施
例6〜実施例8では鎖状飽和フルオロカーボンであるC
n F2n+2にc−Cn F2nもしくはc−Cn 
Fy を組み合わせて用いるプロセスを、それぞれ説明
する。
【0024】実施例1 本実施例は、本願の第1の発明をコンタクト・ホール加
工に適用し、前述の化1■で示されるC4 F8 (オ
クタフルオロシクロブタン,別名フロンC318,C/
F比=0.5)を使用して、酸化シリコンからなる層間
絶縁膜をエッチングした例である。このプロセスを、図
1(a)および(b)を参照しながら説明する。まず、
図1(a)に示されるように、不純物拡散層2が形成さ
れた単結晶シリコン基板1上に層間絶縁膜3が形成され
、さらに該層間絶縁膜3のエッチング・マスクとしてレ
ジスト・パターン4が形成されてなる被エッチング基板
(ウェハ)を用意した。上記レジスト・パターンには、
所定のパターニングにより開口部4aが設けられている
。次に、上記ウェハを一例としてマグネトロンRIE(
反応性イオン・エッチング)装置のウェハ載置電極上に
セットした。ここで、上記ウェハ載置電極は冷却配管を
内蔵しており、装置外部に接続されるチラー等の冷却設
備から該冷却配管に冷媒を供給して循環させることによ
り、エッチング中のウェハ温度を50℃以下に制御する
ことが可能となされているものである。ここでは、冷媒
としてエタノールを使用した。C4 F8 流量46S
CCM,ガス圧2Pa,RFパワー密度2.2W/cm
2 ,磁場強度150Gauss,ウェハ温度0℃の条
件でエッチングを行った。ここで、C4 F8 の物性
に関しては文献により多少の差異があるが、融点は約−
40℃,沸点は約−6℃であり、常温では気体の化合物
である。
【0025】上述のエッチング過程では、C4 F8 
が放電解離してプラズマ中に生成するCFx + によ
り、層間絶縁膜3のエッチングがイオン・アシスト反応
を主体とする機構により進行する。このとき、レジスト
・パターン4の表面においては炭素系ポリマー (図示
せず。) が効率良く堆積したが、上記開口部4a内に
露出する層間絶縁膜3の表面では自身のエッチング除去
に伴って炭素系ポリマーも除去された。その結果、ガス
系に炭化水素系ガス等の堆積性ガスが添加されていない
にもかかわらず、図1(b)に示されるように良好な異
方性形状を有するコンタクト・ホール5が高速に形成さ
れた。このプロセスにおける層間絶縁膜のエッチング速
度は701nm/分、対レジスト選択比は3.5、対シ
リコン選択比は7.2であった。
【0026】ここで、比較のために、上記C4 F8 
とフッ素原子数の等しい鎖状飽和フルオロカーボン系化
合物としてC3 F8 (オクタフルオロプロパン,C
/F比=0.375)を選び、これを用いて上述と同じ
条件にて層間絶縁膜のエッチングを行ったところ、エッ
チング速度は734nm/分、対レジスト選択比は1.
5、対シリコン選択比は3.9であった。これらC4 
F8 とC3 F8 によるエッチングの結果を比較す
ると、対レジスト選択比および対シリコン選択比につい
てはC4 F8 の方が格段に優れている。これは、C
4 F8 の方がC3 F8 よりもC/F比が大きく
、選択比低下の原因となる過剰なF* の生成が抑制さ
れているからである。一方、エッチング速度については
C4 F8 の方がわずかに劣っている。これは、C4
 F8 を使用した場合の方が炭素系ポリマーの堆積量
が多く、該炭素系ポリマーのスパッタ除去とエッチング
とが競合するからである。しかしながら、エッチング速
度の低下がわずかであるのに対して選択性の向上が著し
いので、環状飽和フルオロカーボン系化合物を使用する
ことの実用上のメリットは大きいと言える。
【0027】実施例2 本実施例は、本願の第2の発明をコンタクト・ホール加
工に適用し、前述の化3■で示されるC4 F6 (ヘ
キサフルオロシクロブテン,別名フロンC1316,C
/F比=0.67)を使用して、酸化シリコンからなる
層間絶縁膜をエッチングした例である。前述の実施例1
と同様のウェハをマグネトロンRIE装置にセットし、
C4 F6 流量50SCCM,ガス圧2Pa,RFパ
ワー密度1.5W/cm2 ,磁場強度150Gaus
s,ウェハ温度0℃の条件でエッチングを行った。ここ
で、C4 F6 の物性に関しては文献により多少の差
異があるが、融点は約−60℃,沸点は5〜6℃であり
、常温では気体の化合物である。このエッチングによっ
ても良好な異方性形状を有するコンタクト・ホールが形
成された。このときの対レジスト選択比は約4、対シリ
コン選択比は約12であり、いずれもC4 F8 を使
用した場合(実施例1参照。)よりもさらに向上した。 これは、C4 F6 が分子内に2重結合を1個有する
ことによりC/F比が一段と増大し、炭素系ポリマーの
堆積が促進されたからである。
【0028】実施例3 本実施例は、本願の第2の発明をコンタクト・ホール加
工に適用し、前述の化3■で示されるC5 F8 (オ
クタフルオロシクロペンテン,別名フロン1418,C
/F比=0.625)を使用して、酸化シリコンからな
る層間絶縁膜をエッチングした例である。前述の実施例
1と同様のウェハをマグネトロンRIE装置にセットし
、C5 F8 流量50SCCM,ガス圧2Pa,RF
パワー密度1.5W/cm2 ,磁場強度150Gau
ss,ウェハ温度0℃の条件でエッチングを行った。こ
こで、C5 F8 の物性に関しては文献によりかなり
の差異があるが、融点は約−40℃,沸点は約6℃であ
り、常温では気体の化合物である。このエッチングによ
っても良好な異方性形状を有するコンタクト・ホールが
形成された。 このときのエッチング速度は、C4 F6 を使用した
場合(実施例2参照。)よりも増大した。これはC5 
F8 の方がC4 F6 よりもC/F比が低いことと
対応している。
【0029】以上の実施例1ないし実施例3では、いず
れも単独ガス系によるエッチング例について述べた。こ
れらのガス系には堆積性ガスが添加されておらず、枚葉
式のエッチング装置において複数のウェハに対する処理
数を重ねた後でもパーティクル・レベルが悪化すること
がないので、デバイスの歩留りが向上し、また装置の保
守管理に要する時間を大幅に短縮することができる。
【0030】実施例4 本実施例は、本願の第1の発明および第2の発明の応用
例として、C4 F8 を用いて層間絶縁膜を下地が露
出する直前まで行った後、C4 F8 とC4 F6 
との混合ガスにより該層間絶縁膜の残余部のエッチング
およびオーバーエッチングを行った例である。このプロ
セスを前述の図1(a)および(b)に加え、図2を参
照しながら説明する。まず、図1(a)に示されるウェ
ハをマグネトロンRIE装置にセットし、C4 F8 
流量50SCCM,ガス圧2Pa,RFパワー密度2.
0W/cm2 ,ウェハ温度20℃の条件で、層間絶縁
膜3のエッチングを単結晶シリコン基板1、正確には不
純物拡散層2が露出する直前まで行った。このときのエ
ッチング終点は、483.5nmにおけるCO* の発
光スペクトル強度が減少し始める点をもって判定した。 この1段階目のエッチングの結果、ウェハの状態は図2
に示されるように、コンタクト・ホール5が中途部まで
形成され、その底部に層間絶縁膜3の残余部3aが残さ
れた状態となった。 次に、C4 F8 流量40SCCM,C4 F6 流
量10SCCM,RFパワー密度1.0W/cm2 ,
ウェハ温度20℃の条件で、上記残余部3aのエッチン
グおよびオーバーエッチングを行った。この2段階目の
エッチングの結果、前述の図1(b)に示されるように
、下地の不純物拡散層2にダメージを与えることなく、
良好な異方性形状を有するコンタクト・ホール5が形成
された。
【0031】上述のプロセスは、1段階目のエッチング
はある程度高速に行い、2段階目のエッチングにおいて
はC/F比の高いガスを添加し、かつRFパワー密度を
低下させて入射イオン・エネルギーを低減することによ
り対下地選択比を高めるという考え方にもとづいている
。したがって、0℃以下に及ぶウェハ冷却は行っていな
いが、高異方性と高選択性とが達成された。
【0032】実施例5 本実施例は、本願の第1の発明の応用例として実施例4
と同様の2段階エッチングを行い、1段階目のエッチン
グでC4 F8 、2段階目のエッチングでC4 F8
 とC2 H4 との混合ガスを用いてコンタクト・ホ
ール加工を行った例である。1段階目のエッチング条件
は、C4 F8 流量50SCCM,ガス圧2Pa,R
Fパワー密度2.0W/cm2 ウェハ温度20℃とし
た。2段階目のエッチング条件は、C4 F8 流量4
6SCCM,C2 H4 流量4SCCM,RFパワー
密度1.0W/cm2 ,ウェハ温度20℃とした。こ
こで、2段階目のエッチングにおいて添加されているC
2 H4 は、自身が堆積性ガスであることに加えて放
電分解によりH* を生成させ、過剰なF* を捕捉し
てエッチング反応系のC/F比を増大させる効果を有す
るものである。本実施例によっても、高異方性,高選択
性,低ダメージ性とが達成された。
【0033】実施例6 本実施例は、本願の第1の発明の応用例であるが、エッ
チング・ガスの主体は鎖状飽和フルオロカーボンである
C3 F8 とし、これにC4 F8 を添加した混合
ガスにより低温エッチングを行ってコンタクト・ホール
を形成した例である。エッチング条件は、C3 F8 
流量30SCCM,C4 F8 流量20SCCM,ガ
ス圧2Pa,RFパワー密度1.5W/cm2 ウェハ
温度−30℃とした。このプロセスは、高速性を重視し
てC/F比の相対的に低いC3 F8 をガス組成の主
体とする一方で、高選択性と低ダメージ性とを達成する
ためにC/F比の相対的に高いC4 F8 を添加し、
かつウェハの低温冷却を行ったものである。本実施例に
より、高速性,高異方性,高選択性,低ダメージ性とが
達成された。
【0034】実施例7 本実施例は、本願の第1の発明の応用例であるが、実施
例6と同じくエッチング・ガスの主体はC3 F8 と
し、これにC7 F14(化1■参照。)を添加した混
合ガスにより低温エッチングを行い、コンタクト・ホー
ルを形成した例である。エッチング条件は、C3 F8
 流量45SCCM,C7 F14流量5SCCM,ガ
ス圧2Pa,RFパワー密度1.5W/cm2 ウェハ
温度−30℃とした。本実施例により、高速性,高異方
性,高選択性,低ダメージ性とが達成された。
【0035】実施例8 本実施例は、本願の第2の発明の応用例であるが、実施
例6と同じくエッチング・ガスの主体はC3 F8 と
し、これにC4 F6 を添加した混合ガスにより低温
エッチングを行い、コンタクト・ホール加工を形成した
例である。エッチング条件は、C3 F8 流量25S
CCM,C4 F6 流量25SCCM,ガス圧2Pa
,RFパワー密度1.5W/cm2 ウェハ温度−30
℃とした。本実施例により、高速性,高異方性,高選択
性,低ダメージ性とが達成された。
【0036】以上、本発明を8通りの実施例にもとづい
て説明したが、本発明はこれらの実施例に何ら限定され
るものではなく、たとえば上述のガス系において、エッ
チング速度を制御するためにさらにH2 やO2 ガス
等を添加しても良く、またスパッタリング効果,希釈効
果,冷却効果等を期待する意味でHe,Ar等の希ガス
を適宜添加しても良い。さらに、被エッチング材料層は
上述の酸化シリコンに限られるものではなく、PSG,
BSG,BPSG,AsSG,AsPSG,AsBSG
,SiN等であっても良い。
【0037】
【発明の効果】以上の説明からも明らかなように、本発
明では分子構造の一部に環状部を有する飽和もしくは不
飽和フルオロカーボン系化合物を使用することにより、
高速エッチングが可能となる。しかも、化合物自身の炭
素骨格によりある程度大きいC/F比を有するものであ
り、基本的にはC/F比を増大させるための添加ガスを
使用しなくとも高選択比を達成することができる。した
がって、エッチング反応の制御やエッチング装置の保守
管理等が極めて容易となる。しかも、本発明では被エッ
チング基板温度が50℃以下に制御されるので、高異方
性、低ダメージ性も併せて達成される。したがって、本
発明は高性能,高集積度を有する半導体装置の製造に極
めて有効である。
【図面の簡単な説明】
【図1】本発明をコンタクト・ホール加工に適用した一
例をその工程順にしたがって説明する概略断面図であり
、(a)は層間絶縁膜上にレジスト・パターンが形成さ
れた状態、(b)はコンタクト・ホールが形成された状
態をそれぞれ表す。
【図2】本発明を2段階エッチングによるコンタクト・
ホール加工に適用した場合において、コンタクト・ホー
ルが途中まで形成された状態を示す概略断面図である。
【符号の説明】
1  ・・・単結晶シリコン基板 2  ・・・不純物拡散層 3  ・・・層間絶縁膜 3a・・・(層間絶縁膜の) 残余部 4  ・・・レジスト・パターン 4a・・・開口部 5  ・・・コンタクト・ホール

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】  分子構造の少なくとも一部に環状部を
    有する飽和フルオロカーボン系化合物を含むエッチング
    ・ガスを用いて被エッチング基体の温度を50℃以下に
    制御しながら基板上に形成されたシリコン化合物層のエ
    ッチングを行うことを特徴とするドライエッチング方法
  2. 【請求項2】  分子構造の少なくとも一部に環状部を
    有する不飽和フルオロカーボン系化合物を含むエッチン
    グ・ガスを用いて被エッチング基体の温度を50℃以下
    に制御しながら基板上に形成されたシリコン化合物層の
    エッチングを行うことを特徴とするドライエッチング方
    法。
JP3040966A 1991-02-12 1991-02-12 ドライエッチング方法 Expired - Lifetime JP3038950B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP3040966A JP3038950B2 (ja) 1991-02-12 1991-02-12 ドライエッチング方法
KR1019920001759A KR100252471B1 (ko) 1991-02-12 1992-02-07 드라이에칭방법
US07/834,395 US5338399A (en) 1991-02-12 1992-02-12 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3040966A JP3038950B2 (ja) 1991-02-12 1991-02-12 ドライエッチング方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP37505199A Division JP2000150465A (ja) 1999-01-01 1999-12-28 ドライエッチング方法

Publications (2)

Publication Number Publication Date
JPH04258117A true JPH04258117A (ja) 1992-09-14
JP3038950B2 JP3038950B2 (ja) 2000-05-08

Family

ID=12595215

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3040966A Expired - Lifetime JP3038950B2 (ja) 1991-02-12 1991-02-12 ドライエッチング方法

Country Status (3)

Country Link
US (1) US5338399A (ja)
JP (1) JP3038950B2 (ja)
KR (1) KR100252471B1 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376234A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
US5376228A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
JPH0786236A (ja) * 1993-09-17 1995-03-31 Nec Corp 半導体装置の製造方法
WO1999034419A1 (fr) * 1997-12-27 1999-07-08 Tokyo Electron Limited Procede d'attaque
WO1999057755A1 (fr) * 1998-04-30 1999-11-11 Nippon Zeon Co., Ltd. Procede selectif d'attaque a sec
EP0964438A4 (en) * 1996-10-30 2000-02-02 Agency Ind Science Techn PROCESS FOR DRYING
USRE39895E1 (en) 1994-06-13 2007-10-23 Renesas Technology Corp. Semiconductor integrated circuit arrangement fabrication method
KR100792386B1 (ko) * 2006-09-29 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP2009513348A (ja) * 2005-11-01 2009-04-02 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 不飽和フッ素化炭化水素類を含む溶媒組成物
JP2009177208A (ja) * 2009-05-08 2009-08-06 Hoya Corp ドライエッチング用ガスおよび半導体デバイスの加工方法

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3623256B2 (ja) * 1993-06-30 2005-02-23 株式会社東芝 表面処理方法および表面処理装置
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
DE69736839T2 (de) 1996-10-30 2007-02-08 Japan As Represented By Director General Of Agency Of Industrial Science And Technology Verfahren zum trochenätzen und gasgemisch dafür
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6183655B1 (en) 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US5866485A (en) * 1997-09-29 1999-02-02 Siemens Aktiengesellschaft Techniques for etching a silicon dioxide-containing layer
US6207353B1 (en) 1997-12-10 2001-03-27 International Business Machines Corporation Resist formulation which minimizes blistering during etching
US6159862A (en) * 1997-12-27 2000-12-12 Tokyo Electron Ltd. Semiconductor processing method and system using C5 F8
KR100272510B1 (ko) * 1997-12-30 2000-12-01 김영환 반도체 소자의 콘택홀 형성방법
US6066566A (en) * 1998-01-28 2000-05-23 International Business Machines Corporation High selectivity collar oxide etch processes
EP0940846A1 (en) * 1998-03-06 1999-09-08 Interuniversitair Micro-Elektronica Centrum Vzw Method for stripping ion implanted photoresist layer
US6403488B1 (en) * 1998-03-19 2002-06-11 Cypress Semiconductor Corp. Selective SAC etch process
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6174451B1 (en) 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6239011B1 (en) * 1998-06-03 2001-05-29 Vanguard International Semiconductor Corporation Method of self-aligned contact hole etching by fluorine-containing discharges
US6329292B1 (en) * 1998-07-09 2001-12-11 Applied Materials, Inc. Integrated self aligned contact etch
JP3677644B2 (ja) * 1998-09-01 2005-08-03 日本テキサス・インスツルメンツ株式会社 半導体装置の製造方法
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6372634B1 (en) 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
US6749763B1 (en) * 1999-08-02 2004-06-15 Matsushita Electric Industrial Co., Ltd. Plasma processing method
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6432318B1 (en) * 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
SE0004350D0 (sv) * 2000-11-27 2000-11-27 Patrick Griss System och metod för tillförlitliga passiva ventiler och gas volym dosering
US6686296B1 (en) 2000-11-28 2004-02-03 International Business Machines Corp. Nitrogen-based highly polymerizing plasma process for etching of organic materials in semiconductor manufacturing
KR100782632B1 (ko) * 2000-12-21 2007-12-06 동경 엘렉트론 주식회사 절연막의 에칭 방법
US6787475B2 (en) * 2001-09-06 2004-09-07 Zhuxu Wang Flash step preparatory to dielectric etch
WO2003028082A1 (fr) * 2001-09-10 2003-04-03 Tokyo Electron Limited Procede de gravure
JP4108310B2 (ja) * 2001-09-28 2008-06-25 富士通株式会社 シリコン含有絶縁膜を有する半導体装置の製造方法
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
JP2004063731A (ja) * 2002-07-29 2004-02-26 Matsushita Electric Ind Co Ltd 多層配線の形成方法及びその検査方法
US7229930B2 (en) * 2003-01-13 2007-06-12 Applied Materials, Inc. Selective etching of low-k dielectrics
US7041230B2 (en) * 2003-01-21 2006-05-09 Lam Research Corporation Method for selectively etching organosilicate glass with respect to a doped silicon carbide
US7375035B2 (en) 2003-04-29 2008-05-20 Ronal Systems Corporation Host and ancillary tool interface methodology for distributed processing
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US7429714B2 (en) * 2003-06-20 2008-09-30 Ronal Systems Corporation Modular ICP torch assembly
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US7297577B2 (en) * 2004-12-30 2007-11-20 Sony Corporation SOI SRAM device structure with increased W and full depletion
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
JP2008028022A (ja) * 2006-07-19 2008-02-07 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
JP2008244144A (ja) * 2007-03-27 2008-10-09 Toshiba Corp 半導体装置の製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4162185A (en) * 1978-03-21 1979-07-24 International Business Machines Corporation Utilizing saturated and unsaturated halocarbon gases in plasma etching to increase etch of SiO2 relative to Si
JPS57108267A (en) * 1980-12-26 1982-07-06 Showa Denko Kk Etching method
JPS6077429A (ja) * 1983-10-04 1985-05-02 Asahi Glass Co Ltd ドライエツチング方法
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JP2531173B2 (ja) * 1987-04-10 1996-09-04 日本電装株式会社 半導体装置の製造方法
US4956043A (en) * 1987-05-25 1990-09-11 Hitachi, Ltd. Dry etching apparatus
JPH01297141A (ja) * 1988-05-25 1989-11-30 Canon Inc マイクロ波プラズマ処理装置
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376228A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
US5376234A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
JPH0786236A (ja) * 1993-09-17 1995-03-31 Nec Corp 半導体装置の製造方法
USRE39895E1 (en) 1994-06-13 2007-10-23 Renesas Technology Corp. Semiconductor integrated circuit arrangement fabrication method
EP0964438A4 (en) * 1996-10-30 2000-02-02 Agency Ind Science Techn PROCESS FOR DRYING
US6602435B1 (en) 1997-12-27 2003-08-05 Tokyo Electron Limited Etching method
KR100571336B1 (ko) * 1997-12-27 2006-04-17 동경 엘렉트론 주식회사 에칭 방법
WO1999034419A1 (fr) * 1997-12-27 1999-07-08 Tokyo Electron Limited Procede d'attaque
WO1999057755A1 (fr) * 1998-04-30 1999-11-11 Nippon Zeon Co., Ltd. Procede selectif d'attaque a sec
JP2009513348A (ja) * 2005-11-01 2009-04-02 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 不飽和フッ素化炭化水素類を含む溶媒組成物
KR100792386B1 (ko) * 2006-09-29 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7700493B2 (en) 2006-09-29 2010-04-20 Hynix Semiconductor Inc. Method for fabricating semiconductor device
JP2009177208A (ja) * 2009-05-08 2009-08-06 Hoya Corp ドライエッチング用ガスおよび半導体デバイスの加工方法

Also Published As

Publication number Publication date
KR100252471B1 (ko) 2000-04-15
JP3038950B2 (ja) 2000-05-08
US5338399A (en) 1994-08-16

Similar Documents

Publication Publication Date Title
JPH04258117A (ja) ドライエッチング方法
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR100778260B1 (ko) 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스
US6841483B2 (en) Unique process chemistry for etching organic low-k materials
KR100255405B1 (ko) 드라이에칭방법
JP2002520853A (ja) 二重象眼のために特に有用な、誘電体多層構造のプラズマエッチプロセス
US20020110992A1 (en) Use of hydrocarbon addition for the elimination of micromasking during etching
KR20070009729A (ko) 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
KR20010080467A (ko) 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스
WO2002065528A2 (en) Use of ammonia for etching organic low-k dielectrics
JP3154128B2 (ja) ドライエッチング方法
US20070148965A1 (en) Method and composition for plasma etching of a self-aligned contact opening
JPH04346427A (ja) ドライエッチング方法
JPH04170026A (ja) ドライエッチング方法
JP3160961B2 (ja) ドライエッチング方法
JP2687787B2 (ja) ドライエッチング方法
US20050101137A1 (en) Plasma etching method
JPH06163476A (ja) ドライエッチング方法
JP2000150465A (ja) ドライエッチング方法
WO2002049089A1 (fr) Methode de gravure d'un film isolant poreux, procede de double damasquinage, dispositif a semi-conducteur
JP3380947B2 (ja) 低誘電率酸化シリコン系絶縁膜のプラズマエッチング方法
JP3301157B2 (ja) ドライエッチング方法
JP3116421B2 (ja) ドライエッチング方法
JPH0661195A (ja) 半導体装置の製造方法
Tran-Quinn et al. Unsaturated fluorocarbons in the etching process, environmental benefit, technical hurdles

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080303

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090303

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100303

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100303

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110303

Year of fee payment: 11

EXPY Cancellation because of completion of term