JPH04115531A - Chemical vapor growth device - Google Patents

Chemical vapor growth device

Info

Publication number
JPH04115531A
JPH04115531A JP23871190A JP23871190A JPH04115531A JP H04115531 A JPH04115531 A JP H04115531A JP 23871190 A JP23871190 A JP 23871190A JP 23871190 A JP23871190 A JP 23871190A JP H04115531 A JPH04115531 A JP H04115531A
Authority
JP
Japan
Prior art keywords
gas
wafer
film
hole
semiconductor wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP23871190A
Other languages
Japanese (ja)
Inventor
Toru Yamaguchi
徹 山口
Kouichirou Tsutahara
晃一郎 蔦原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP23871190A priority Critical patent/JPH04115531A/en
Publication of JPH04115531A publication Critical patent/JPH04115531A/en
Pending legal-status Critical Current

Links

Landscapes

  • Chemical Vapour Deposition (AREA)

Abstract

PURPOSE:To enable a reactive generated film with a uniform film thickness to be formed on a wafer by providing a throttle for restricting gas at a gas blow-off hole at a position corresponding to a central part of a semiconductor wafer. CONSTITUTION:A tubular pin 11 is formed in nearly cylindrical shape entirely and its outer diameter is formed to have dimensions for achieving engagement into a large aperture part of a gas blow-out hole 5. Also, this tubular pin 11 is fitted to a gas blow-out hole 5a at a central part of a gas head 4 out of a number of gas blow-out holes 5 which are provided at the gas head 4 and an inner diameter of the pin 11 is changed according to film-forming conditions. Flow of reaction gas which is supplied to a central part of the semiconductor wafer is suppressed by a throttle (tubular pin) and adjusted according to film- forming conditions, a reactive generated film with a uniform film thickness can be formed on a semiconductor wafer.

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、半導体装置の製造プロセスのうち半導体ウェ
ハに反応生成膜を形成する成膜プロセスで使用する化学
気相成長装置に関し、特に反応ガス供給用ガスヘッドの
構造に関するものである。
Detailed Description of the Invention [Field of Industrial Application] The present invention relates to a chemical vapor deposition apparatus used in a film forming process for forming a reaction product film on a semiconductor wafer in the manufacturing process of semiconductor devices, and particularly relates to This relates to the structure of the supply gas head.

〔従来の技術〕[Conventional technology]

従来のこの種の化学気相成長装置は第9図および第10
図に示すように構成されていた。
Conventional chemical vapor deposition equipment of this type is shown in FIGS. 9 and 10.
It was configured as shown in the figure.

第9図は従来の化学気相成長装置の概略構成を示す断面
図、第10図は従来の化学気相成長装置のガスヘッドを
示す平面図である。これらの図において、1は半導体ウ
ェハ(以下、単にウェハという)、2はこのウェハ1を
保持する加熱用ステージである。このステージ2はウェ
ハ1をその下面に保持するように構成されており、その
平面形状はウェハ1のそれと略等しい形状に形成されて
いる。3は前記ステージ2を加熱してウェハ1を所定温
度に加熱するためのヒーターで、このヒーター3はステ
ージ2の上部に取付けられている。
FIG. 9 is a sectional view showing a schematic configuration of a conventional chemical vapor deposition apparatus, and FIG. 10 is a plan view showing a gas head of the conventional chemical vapor deposition apparatus. In these figures, 1 is a semiconductor wafer (hereinafter simply referred to as a wafer), and 2 is a heating stage that holds this wafer 1. The stage 2 is configured to hold the wafer 1 on its lower surface, and its planar shape is approximately the same as that of the wafer 1. Reference numeral 3 denotes a heater for heating the stage 2 and the wafer 1 to a predetermined temperature, and this heater 3 is attached to the upper part of the stage 2.

4は前記ウェハ1に反応ガスAを供給するためのガスヘ
ッドで、このガスヘッド4は、反応ガスAが吹出される
ガス吹出し穴5が多数穿設されており、前記ステージ2
の下方にステージ2から間隔Bだけ隔てて配置されてい
る。また、このガスヘッド4はステージ2に対して同一
軸線上に配設され、ガスヘッド4の上面の中心がステー
ジ2のウェハ保持面の中心と一致するように位置づけら
れている。前記ガス吹出し穴5は、前記ステージ2に保
持されたウェハ1の外径と相当する範囲に配設され、各
ガス吹出し穴5は第10図に示すように、ガスヘッド4
の上面にハニカム状に等間隔おいて開口されている。ま
た、このガス吹出し穴5はガスヘッド4の上面に開口す
る大口径部と、この大口径部の下側に一連に形成された
小口径部とから形成されており、各部の寸法は成膜条件
に適合するよう所定寸法をもって形成されている。
Reference numeral 4 denotes a gas head for supplying the reactive gas A to the wafer 1, and this gas head 4 is provided with a large number of gas blowing holes 5 through which the reactive gas A is blown out.
It is arranged below the stage 2 at a distance B from the stage 2. Further, this gas head 4 is disposed on the same axis as the stage 2, and is positioned so that the center of the upper surface of the gas head 4 coincides with the center of the wafer holding surface of the stage 2. The gas blowing holes 5 are arranged in a range corresponding to the outer diameter of the wafer 1 held on the stage 2, and each gas blowing hole 5 is connected to a gas head 4 as shown in FIG.
There are openings arranged in a honeycomb pattern at equal intervals on the upper surface of the holder. Further, the gas blowing hole 5 is formed of a large diameter part that opens on the upper surface of the gas head 4, and a small diameter part that is formed in series below this large diameter part, and the dimensions of each part are determined by the film formation. It is formed with predetermined dimensions to suit the conditions.

6は前記ガスヘッド4等を収納して反応室を形成する反
応室壁、7は排気口である。この排気ロアは、前記ステ
ージ2の側部と対向する位置にステージ20周方向に沿
って開口しており、この排気ロアを通って反応ガスAが
反応室外へ排出されるように構成されている。なお、同
図中矢印Cは排気を示す。
6 is a reaction chamber wall that houses the gas head 4 and the like to form a reaction chamber, and 7 is an exhaust port. This exhaust lower is opened along the circumferential direction of the stage 20 at a position facing the side of the stage 2, and is configured so that the reaction gas A is exhausted to the outside of the reaction chamber through this exhaust lower. . Note that arrow C in the figure indicates exhaust.

このように構成された従来の化学気相成長装置では、ス
テージ2で予め加熱されたウェハlに対して、ガス吹出
し穴5から吹出された反応ガスAを供給することにより
、ウェハ1上に反応生成膜を形成することができる。
In the conventional chemical vapor deposition apparatus configured as described above, a reaction is caused on the wafer 1 by supplying the reaction gas A blown out from the gas blowing hole 5 to the wafer 1 that has been preheated in the stage 2. A generated film can be formed.

〔発明が解決しようとする課題〕[Problem to be solved by the invention]

しかるに、従来の化学気相成長装置においては、排気口
6がステージ2.ガスヘッド4の半径方向外側となる位
置であってこれらの周方向全域にわたって設けられてい
る関係から、ガスヘッド4の上面の中心に設けられたガ
ス吹出し穴5aは、排気口6の全ての位置より最も離れ
た位置となるので、このガス吹出し穴5aから吹出され
た反応ガスAは排気され難(、ウェハ1の表面に沿って
漂っている時間が長くなる。このため、ウェハI上に形
成される反応生成膜は、ガス吹出し穴5aと対向するウ
ェハ1の中心部で膜厚が厚くなるという問題があった。
However, in the conventional chemical vapor deposition apparatus, the exhaust port 6 is connected to the stage 2. Since it is located at the radially outer side of the gas head 4 and is provided over the entire circumferential direction, the gas blowing hole 5a provided at the center of the upper surface of the gas head 4 is located at all positions of the exhaust port 6. Since the position is the farthest away, the reaction gas A blown out from this gas blowing hole 5a is difficult to exhaust (and the time it spends floating along the surface of the wafer 1 is longer. The problem is that the reaction product film becomes thicker at the center of the wafer 1 facing the gas blowout hole 5a.

なお、第9図および第10図において5bは、多数のガ
ス吹出し穴5のうち前記ガス吹出し穴5aよりガスヘッ
ド4の半径方向外側に位置するガス吹出し穴を示す。こ
の−例を第11図に示す。
In FIGS. 9 and 10, reference numeral 5b indicates a gas blowing hole located radially outward of the gas head 4 from the gas blowing hole 5a among the many gas blowing holes 5. An example of this is shown in FIG.

第11図は従来の化学気相成長装置を使用した場合の反
応生成膜の膜厚分布を示す模式図である。
FIG. 11 is a schematic diagram showing the film thickness distribution of a reaction product film when a conventional chemical vapor deposition apparatus is used.

同図に示すように、ウェハ1の中心部以外の部分の膜厚
が10000人の場合、ウェハ1の中心部の膜厚では1
4000人と厚くなる。この時の成膜条件としては、常
圧の化学気相成長装置を用い、反応ガスAにTE01 
(テトラエトキシシラン)ガスと03 (オゾン)ガス
、キャリアガスとしてNZ  (窒素)ガスを用いた。
As shown in the figure, if the film thickness at the part other than the center of wafer 1 is 10,000, the film thickness at the center of wafer 1 is 1
The number will increase to 4,000 people. The film forming conditions at this time were to use a chemical vapor deposition apparatus at normal pressure, and to use TE01 as the reaction gas A.
(tetraethoxysilane) gas, 03 (ozone) gas, and NZ (nitrogen) gas as a carrier gas.

また、装置の構成は第9図および第10図に示した構造
とし、ステージ2の径は6#で、ヒーター3によって4
00℃に加熱し、ギャップBを7Bとした。ガス吹出し
穴5は、ガスヘッド4の上面におけるその中心点を含む
φ6“の範囲に形成し、φ4mmの大口径部を15鶴、
φ1.5 tmの小口径部を5wmとした。さらに、各
ガス吹出し穴5どうしの間隔を61mとして、第10図
に示すようにガス吹出し穴5をハニカム状に並設した。
The configuration of the device is as shown in FIGS. 9 and 10, the stage 2 has a diameter of 6mm, and the heater 3
It was heated to 00° C. and the gap B was set to 7B. The gas blowout hole 5 is formed in a range of φ6" including the center point on the upper surface of the gas head 4, and the large diameter part of φ4mm is
The small diameter part of φ1.5tm was set to 5wm. Further, the gas blowing holes 5 were arranged in a honeycomb shape, with the interval between the gas blowing holes 5 being 61 m, as shown in FIG.

この装置で反応ガスA中のキャリアN2ガス流量を20
jl!/wlinとして成膜を行ない、第11図で示す
膜厚分布を得た。
With this device, the carrier N2 gas flow rate in reaction gas A was set to 20
jl! /wlin, and the film thickness distribution shown in FIG. 11 was obtained.

〔課題を解決するための手段〕[Means to solve the problem]

本発明に係る化学気相成長装置は、各ガス吹出し穴のう
ち半導体ウェハの中心部と対応する位置のガス吹出し穴
にガス抑制用絞りを嵌挿させてなり、この絞りは、反応
ガスの流量を成膜条件に応じて減らす寸法をもって形成
されているものである。
In the chemical vapor deposition apparatus according to the present invention, a gas suppression aperture is inserted into each of the gas ejection holes at a position corresponding to the center of the semiconductor wafer, and this aperture is configured to control the flow rate of the reaction gas. The film is formed with dimensions that reduce the amount depending on the film forming conditions.

〔作 用〕[For production]

半導体ウェハの中心部へ供給される反応ガスの流量が絞
りによって抑えられ、成膜条件に応じて調整される。
The flow rate of the reaction gas supplied to the center of the semiconductor wafer is suppressed by the throttle and adjusted according to the film forming conditions.

〔実施例〕〔Example〕

以下、本発明の一実施例を第1図ないし第5図(a)〜
(f)によって詳細に説明する。
Hereinafter, one embodiment of the present invention will be described in FIGS. 1 to 5 (a) to 5.
(f) will be explained in detail.

第1図は本発明の化学気相成長装置の穴開きピンを示す
斜視図、第2図は本発明に係る化学気相成長装置のガス
ヘッドの中心部を拡大して示す断面図、第3図(a)〜
(f)は穴径の異なる穴開きビンを使用した場合のウェ
ハ上の膜厚分布を示す模式図で、同図(a)は穴径が3
.0鶴、同図(b)は2゜0fl、同図(c)は1.7
++n、同図(d)は1.5fl、同図(e)は1.3
鶴、同図(f)は1.Otmの場合を示す。
FIG. 1 is a perspective view showing a perforated pin of a chemical vapor deposition apparatus according to the present invention, FIG. Figure (a) ~
(f) is a schematic diagram showing the film thickness distribution on the wafer when using perforated bottles with different hole diameters, and (a) is a schematic diagram showing the film thickness distribution on the wafer when perforated bottles with different hole diameters are used.
.. 0 crane, the same figure (b) is 2°0 fl, the same figure (c) is 1.7
++n, the same figure (d) is 1.5 fl, the same figure (e) is 1.3
Crane, figure (f) is 1. The case of Otm is shown.

第4図(a)〜(d)は穴開きビンを変えずにステージ
とガスヘッドとの間隔を変えた場合のウェハ上の膜厚分
布を示す模式図で、同図(a)はギャップBが4鶴、同
図(b)は7++++s、同図(c)は10鰭、同図(
d)は13鶴の場合を示す。第5図(a)〜(f)はキ
ャリアガスの流量のみを変えた場合のウェハ上の膜厚分
布を示す模式図で、同図(a)はキャリアガスN!の流
量が201! /win、同図(b)は17.51!/
min、同図(c)は1517m1n、同図(d)は1
2.51 /min。
Figures 4(a) to 4(d) are schematic diagrams showing the film thickness distribution on the wafer when the gap between the stage and the gas head is changed without changing the perforated bottle. The figure (b) shows 4 cranes, the figure (b) shows 7++++s, the figure (c) shows 10 fins, and the figure (
d) shows the case of 13 cranes. FIGS. 5(a) to 5(f) are schematic diagrams showing the film thickness distribution on the wafer when only the flow rate of the carrier gas is changed, and FIG. 5(a) is a diagram showing the film thickness distribution on the wafer when only the flow rate of the carrier gas is changed. The flow rate is 201! /win, figure (b) is 17.51! /
min, the same figure (c) is 1517 m1n, the same figure (d) is 1
2.51/min.

同図(e)は101/ll1in、同図(f)は7.5
 #/l1linの場合を示す。これらの図において前
記第9図および第10図で説明したものと同一もしくは
同等部材については、同一符号を付し詳細な説明は省略
する。これらの図において、11は絞りとしての穴開き
ビンで、この穴開きビン11は全体が略々円筒状に形成
されており、その外径はガス吹出し穴5の大口径部内に
嵌挿する寸法をもって形成されている。また、この穴開
きビン11は、第2図に示すように、ガスヘッド4に設
けられた多数のガス吹出し穴5のうちガスへラド4の中
心部のガス吹出し穴5aに装着されている。なお、この
穴開きビン11の長さ寸法は本実施例では5鶴とされ、
内径は成膜条件によって変更される。長さ寸法を5鶴と
して内径を変えてウェハ1上に反応生成膜を形成した例
を第3図(a)〜(f)に示す。
The figure (e) is 101/ll1in, the figure (f) is 7.5
The case of #/l1lin is shown. In these figures, the same or equivalent members as those explained in FIGS. 9 and 10 are given the same reference numerals and detailed explanations will be omitted. In these figures, reference numeral 11 denotes a perforated bottle serving as a diaphragm, and the perforated bottle 11 is formed into a generally cylindrical shape as a whole, and its outer diameter is such that it can be fitted into the large diameter portion of the gas blowing hole 5. It is formed with. Further, as shown in FIG. 2, this perforated bottle 11 is attached to a gas blowing hole 5a in the center of the gas head 4 among the many gas blowing holes 5 provided in the gas head 4. In addition, the length dimension of this perforated bottle 11 is 5 cranes in this embodiment,
The inner diameter is changed depending on the film forming conditions. FIGS. 3(a) to 3(f) show an example in which a reaction product film is formed on the wafer 1 by changing the inner diameter with the length dimension being five cranes.

第3図(a)〜(f)は長さ51で内径がそれぞれ異な
る穴開きビン11を使用し、成膜条件を従来と等しくし
てウェハl上に膜厚が1oooo人の反応生成膜が生成
されるようにした場合の膜厚分布を示す模式図である。
In Figs. 3(a) to (f), a perforated bottle 11 with a length 51 and a different inner diameter is used, and a reaction product film with a thickness of 100 mm is formed on the wafer l under the same film forming conditions as before. FIG. 3 is a schematic diagram showing a film thickness distribution when the film is generated.

第3図(a)〜(f)によれば、内径が3.0寵の場合
にはウェハ1の中心部で13500人、内径が2.0鰭
の場合には12000人、内径が1.7Hの場合には1
0000人、内径が1.5flの場合には9100人、
内径が1.3鶴の場合には8500人、内径が1.0鰭
の場合には8000人となり、第11図で示される同一
成膜条件で穴開きビン11を使用しない場合場合に較べ
て、穴開きビン11により穴径が小さくなっていくとウ
ェハ1中心部の膜厚が次第に減少し、中心部の膜厚が周
辺部より薄い膜厚分布となっていく。この場合の成膜条
件では、同図(c)に示すように、中心ガス吹出し穴5
aに内径1.7gm、長さ5flの穴開きビン11を用
いた時に均一な膜厚分布が得られる。
According to FIGS. 3(a) to (f), when the inner diameter is 3.0 mm, there are 13,500 people at the center of the wafer 1, when the inner diameter is 2.0 mm, there are 12,000 people, and when the inner diameter is 1.0 mm, there are 12,000 people at the center of the wafer 1. 1 for 7H
0000 people, 9100 people if the inner diameter is 1.5 fl,
When the inner diameter is 1.3 fins, there are 8,500 people, and when the inner diameter is 1.0 fins, there are 8,000 people, compared to the case where the perforated bottle 11 is not used under the same film forming conditions as shown in FIG. As the diameter of the hole is reduced by the perforated bottle 11, the film thickness at the center of the wafer 1 gradually decreases, and the film thickness at the center becomes thinner than at the periphery. Under the film forming conditions in this case, as shown in FIG.
When a perforated bottle 11 with an inner diameter of 1.7 gm and a length of 5 fl is used for a, a uniform film thickness distribution can be obtained.

また、第4図(a)〜(d)は前記の成膜条件で中心ガ
ス吹出し穴5aに内径1.5fl、長さ5Hの穴開きビ
ン11を装着させ、ステージ2とガスヘッド4との間の
ギャップBを変化させた時の膜厚分布を示す模式図であ
る。第4図(a)〜(d)によれば、ギャップBが4n
の場合にはウェハ1中心部の膜厚が8000人、ギャッ
プBが7鶴の場合には9100人、ギヤツブBがIon
の場合には9500人、ギャップBが131′mの場合
には9800人となる。このようにウェハ1中心部の膜
厚分布はギャップBによっても変化するので、ギャップ
Bの度合に応じて内径の異なる穴開きビン11を使用す
ることによって、均一な膜厚分布が得られる。
In addition, FIGS. 4(a) to 4(d) show that a perforated bottle 11 with an inner diameter of 1.5 fl and a length of 5H is attached to the central gas blowing hole 5a under the above-mentioned film forming conditions, and the stage 2 and the gas head 4 are connected to each other. FIG. 3 is a schematic diagram showing the film thickness distribution when the gap B between the two layers is changed. According to FIGS. 4(a) to (d), the gap B is 4n
In the case of , the film thickness at the center of the wafer 1 is 8000, when the gap B is 7, it is 9100, and the gear B is Ion.
If gap B is 131'm, there will be 9,500 people, and if gap B is 131'm, there will be 9,800 people. In this way, the film thickness distribution at the center of the wafer 1 changes depending on the gap B, so by using the perforated vials 11 having different inner diameters depending on the degree of the gap B, a uniform film thickness distribution can be obtained.

さらに、第5図(a)〜(f)は前記の成膜条件で中心
ガス吹出し穴5aに内径1.5+n、長さ5nの穴開き
ビン11を装着させ、反応ガスA中のキャリアN2ガス
の流量を変化させた場合の膜厚分布を示す模式図である
。第5図(a)〜(f)によれば、キャリアN2ガスの
流量が2012 /+inの場合にウェハ1中心部の膜
厚が9100人、17.51 /minの場合に920
0人、151 /1linの場合に930o人、12.
5 j! /minの場合に9400人、1017m1
nO)場合ニ9600人、7.51/winの場合に9
800人となる。このようにウェハ1中心部の膜厚分布
はキャリアN2ガスの流量によっても変化するので、こ
のキャリアN2ガスの流量に応じて内径の異なる穴開き
ビン11を用いることによって、均一な膜厚分布が得ら
れる。
Further, FIGS. 5(a) to 5(f) show that under the above-mentioned film forming conditions, a perforated bottle 11 with an inner diameter of 1.5+n and a length of 5n is attached to the central gas blowing hole 5a, and the carrier N2 gas in the reaction gas A is FIG. 3 is a schematic diagram showing the film thickness distribution when the flow rate of is changed. According to FIGS. 5(a) to (f), when the carrier N2 gas flow rate is 2012/+in, the film thickness at the center of the wafer 1 is 9100 mm, and when the carrier N2 gas flow rate is 17.51/min, it is 920 mm thick.
0 people, 930o people in case of 151/1lin, 12.
5 j! /min, 9400 people, 1017m1
nO) case 9,600 people, 7.51/win case 9
There will be 800 people. In this way, the film thickness distribution at the center of the wafer 1 changes depending on the flow rate of the carrier N2 gas, so by using the perforated bottle 11 with a different inner diameter depending on the flow rate of the carrier N2 gas, a uniform film thickness distribution can be achieved. can get.

したがって、上述したように成膜条件に応じて穴開きビ
ン11の内径を変えることによって、ウェハ1の中心部
へ供給される反応ガスAの流量がこの穴開きビン11に
よって抑えられ、成膜条件に応じて調整されることにな
る。
Therefore, as described above, by changing the inner diameter of the perforated bottle 11 according to the film-forming conditions, the flow rate of the reaction gas A supplied to the center of the wafer 1 is suppressed by the perforated bottle 11, and the film-forming conditions It will be adjusted accordingly.

なお、穴開きビン11によって反応ガスAの流量を抑え
るためには、上述したように内径を変える他に、第6図
および第7図で示すように長さ寸法を変えて行なうこと
もできる。
In order to suppress the flow rate of the reaction gas A using the perforated bottle 11, in addition to changing the inner diameter as described above, it is also possible to change the length dimension as shown in FIGS. 6 and 7.

第6図および第7図は穴開きピンの長さを変えた場合の
他の実施例を示す図で、第6図は第1図〜第5図(a)
〜(f)で示した実施例で使用した穴開きピンの2倍の
長さをもつ穴開きピンをガスヘッドに装着させた状態を
示す断面図、第7図は第6図で示したガスヘッドを用い
て成膜を行なった場合のウェハ上の膜厚分布を示す模式
図である。
Figures 6 and 7 are diagrams showing other embodiments in which the length of the hole pin is changed, and Figure 6 is similar to Figures 1 to 5 (a).
A cross-sectional view showing a state in which a hole pin having twice the length of the hole pin used in the examples shown in (f) is attached to the gas head, and Figure 7 shows the gas head shown in Figure 6. FIG. 2 is a schematic diagram showing a film thickness distribution on a wafer when a film is formed using a head.

これらの図において前記第1図および第2図で説明した
ものと同一もしくは同等部材については、同一符号を付
し詳細な説明は省略する。この実施例で使用する穴開き
ピン11は内径が2.0wm、長さが10mとされ、第
1図ないし第5図(a)〜(f)で示す実施例で使用し
た穴開きピン11に較べて長さが2倍とされている。こ
の2倍の長さをもつ穴開きピン11を使用して前記実施
例と等しい成膜条件でウェハlに反応生成膜を形成する
と、第7図に示すように、ウェハ1の中心部での膜厚は
10800人となった。第7図によれば、前記第3図(
b)で示したような内径2.0mm、長さ5鶴の大開き
ピン11を使用した場合に較べて、ウェハ1の中心部で
膜厚が薄くなっていることが分かる。すなわち、ウェハ
1中心部に形成される反応生成膜の膜厚は、穴開きピン
11の内径を変えるばかりでなく、長さを変えることに
よっても変化させることができる。
In these figures, the same or equivalent members as those explained in FIGS. 1 and 2 are given the same reference numerals, and detailed explanations will be omitted. The hole pin 11 used in this example has an inner diameter of 2.0 wm and a length of 10 m, and is similar to the hole pin 11 used in the examples shown in FIGS. 1 to 5 (a) to (f). It is said to be twice the length. When a reaction product film is formed on a wafer 1 using a hole pin 11 having twice the length as described above under the same film forming conditions as in the above embodiment, as shown in FIG. The film thickness was 10,800 people. According to FIG. 7, the above-mentioned FIG. 3 (
It can be seen that the film thickness is thinner at the center of the wafer 1 compared to the case where the wide open pin 11 with an inner diameter of 2.0 mm and a length of 5 mm as shown in b) is used. That is, the thickness of the reaction product film formed at the center of the wafer 1 can be changed not only by changing the inner diameter of the hole pin 11 but also by changing its length.

また、成膜条件によって、ウェハ1中心部の膜厚の変動
する範囲が広くなる場合には、第8図に示すように長さ
、内径等の異なる複数種類の穴開きピン11をガスヘッ
ド4の中心部に装着させることもできる。
In addition, if the film thickness at the center of the wafer 1 varies over a wide range depending on the film forming conditions, multiple types of hole pins 11 with different lengths, inner diameters, etc. may be attached to the gas head 4 as shown in FIG. It can also be attached to the center of the

第8図は長さの異なる複数種類の穴開きピンをガスヘッ
ドに装着させた他の実施例を示す断面図で、同図におい
て前記第1図ないし第7図で説明したものと同一もしく
は同等部材については、同一符号を付し詳細な説明は省
略する。第8図では、ガスヘッド4の上面の中心に位置
するガス吹出し穴5aと、それに隣接するガス吹出し穴
5bに、それぞれ寸法の異なる穴開きピン11が装着さ
れている。このようにしても均一な膜厚分布を得ること
ができる。
FIG. 8 is a sectional view showing another embodiment in which a plurality of hole pins of different lengths are attached to the gas head, and this figure is the same or equivalent to the one explained in FIGS. 1 to 7 above. The same reference numerals are given to the members, and detailed description thereof will be omitted. In FIG. 8, hole pins 11 having different sizes are attached to a gas blowing hole 5a located at the center of the upper surface of the gas head 4 and a gas blowing hole 5b adjacent to the gas blowing hole 5a. Even in this manner, a uniform film thickness distribution can be obtained.

なお、上記各実施例で示した成膜反応室各部の形状1寸
法および使用する反応ガスAの種類等は、前述したもの
に限定されるものではないことは勿論である。
It goes without saying that the shape and dimensions of each part of the film-forming reaction chamber and the type of reaction gas A used in each of the above embodiments are not limited to those described above.

〔発明の効果〕〔Effect of the invention〕

以上説明したように本発明に係る化学気相成長装置は、
各ガス吹出し穴のうち半導体ウェハの中心部と対応する
位置のガス吹出し穴にガス抑制用絞りを嵌挿させてなり
、この絞りは、反応ガスの流量を成膜条件に応じて減ら
す寸法をもって形成されているため、半導体ウェハの中
心部へ供給される反応ガスの流量が絞りによって抑えら
れ、成膜条件に応じて調整される。したがって、半導体
ウェハ上に膜厚の均一な反応生成膜を形成することがで
きる。
As explained above, the chemical vapor deposition apparatus according to the present invention,
A gas suppression restrictor is inserted into each gas blowout hole at a position corresponding to the center of the semiconductor wafer, and this restrictor is formed with dimensions to reduce the flow rate of the reaction gas depending on the film forming conditions. Therefore, the flow rate of the reaction gas supplied to the center of the semiconductor wafer is suppressed by the throttle and adjusted according to the film forming conditions. Therefore, a reaction product film having a uniform thickness can be formed on the semiconductor wafer.

【図面の簡単な説明】[Brief explanation of drawings]

第1図は本発明の化学気相成長装置の穴開きピンを示す
斜視図、第2図は本発明に係る化学気相成長装置のガス
ヘッドの中心部を拡大して示す断面図、第3図(a)〜
(f)は穴径の異なる穴開きピンを使用した場合のウェ
ハ上の膜厚分布を示す模式図で、同図(a)は穴径が3
.0fi、同図(b)は2゜0鶴、同図(c)は1.7
m、同図(d)は1.5龍、同図(e)は1.3鶴、同
図(f)は1.0鶴の場合を示す。 第4図(a)〜(d)は穴開きピンを変えずにステージ
とガスヘッドとの間隔を変えた場合のウェハ上の膜厚分
布を示す模式図で、同図(a)はギャップBが4fi、
同図(b)は7鶴、同図(c)は10mm、同図(d)
は13mの場合を示す。第5図(a)〜(f)はキャリ
アガスの流量のみを変えた場合のウェハ上の膜厚分布を
示す模式図で、同図(a)はキャリアガスN2の流量が
201 /+sin、同図(b)は17.51 /va
tn−b同図(C)は151 /1ain、同図(d)
は12.5 A’ /akin、同図(e)は10 I
t /+in、同図(f)は7.517+ninの場合
を示す、第6図および第7図は穴開きピンの長さを変え
た場合の他の実施例を示す図で、第6図は第1図〜第5
図(a)〜Cf”)で示した実施例で使用した穴開きピ
ンの2倍の長さをもつ穴開きピンをガスヘッドに装着さ
せた状態を示す断面図、第7図は第6図で示したガスヘ
ッドを用いて成膜を行なった場合のウェハ上の膜厚分布
を示す模式図である。第8図は長さの異なる複数種類の
穴開きピンをガスヘッドに装着させた他の実施例を示す
断面図である。第9図は従来の化学気相成長装置の概略
構成を示す断面図、第10図は従来の化学気相成長装置
のガスヘッドを示す平面図、第11図は従来の化学気相
成長装置を使用した場合の反応生成膜の膜厚分布を示す
模式図である。 4・・・・ガスヘッド、5.5a、5b・・・・ガス吹
出し穴、工1・・・・穴開きピン。
FIG. 1 is a perspective view showing a perforated pin of a chemical vapor deposition apparatus according to the present invention, FIG. Figure (a) ~
(f) is a schematic diagram showing the film thickness distribution on the wafer when hole pins with different hole diameters are used, and (a) is a schematic diagram showing the film thickness distribution on the wafer when hole pins with different hole diameters are used.
.. 0fi, the same figure (b) is 2°0 crane, the same figure (c) is 1.7
m, Figure (d) shows the case of 1.5 dragon, Figure (e) shows the case of 1.3 crane, Figure (f) shows the case of 1.0 crane. Figures 4(a) to 4(d) are schematic diagrams showing the film thickness distribution on the wafer when the distance between the stage and the gas head is changed without changing the hole pin, and Figure 4(a) is a diagram showing the film thickness distribution on the wafer when the gap between the stage and the gas head is changed without changing the hole pin. is 4fi,
The same figure (b) is 7 cranes, the same figure (c) is 10mm, the same figure (d)
shows the case of 13m. Figures 5(a) to 5(f) are schematic diagrams showing the film thickness distribution on the wafer when only the flow rate of the carrier gas is changed. Figure (b) is 17.51/va
tn-b (C) is 151/1ain, (d)
is 12.5 A'/akin, and (e) is 10 I
t/+in, Fig. 6(f) shows the case of 7.517+nin, Figs. 6 and 7 show other embodiments in which the length of the hole pin is changed, and Fig. 6 shows the case of 7.517+nin. Figures 1 to 5
Figure 7 is a cross-sectional view showing the state in which a hole pin with twice the length of the hole pin used in the embodiment shown in Figures (a) to Cf'' is attached to the gas head. Fig. 8 is a schematic diagram showing the film thickness distribution on a wafer when film formation is performed using the gas head shown in Figure 8. FIG. 9 is a sectional view showing a schematic configuration of a conventional chemical vapor deposition apparatus, FIG. 10 is a plan view showing a gas head of a conventional chemical vapor deposition apparatus, and FIG. The figure is a schematic diagram showing the film thickness distribution of a reaction product film when a conventional chemical vapor deposition apparatus is used. 4... Gas head, 5.5a, 5b... Gas blowing hole, 1... Hole pin.

Claims (1)

【特許請求の範囲】[Claims]  半導体ウェハに反応ガスを吹付けるガス吹出し穴が半
導体ウェハの主面と対向する部位に多数設けられたガス
ヘッドを有し、半導体ウェハに吹付けられた反応ガスが
半導体ウェハの側方へ排気される化学気相成長装置にお
いて、前記各ガス吹出し穴のうち半導体ウェハの中心部
と対応する位置のガス吹出し穴にガス抑制用絞りを嵌挿
させてなり、この絞りは、反応ガスの流量を成膜条件に
応じて減らす寸法をもって形成されていることを特徴と
する化学気相成長装置。
A gas blowing hole for blowing reactive gas onto the semiconductor wafer has a large number of gas heads provided at a portion facing the main surface of the semiconductor wafer, and the reactive gas sprayed onto the semiconductor wafer is exhausted to the side of the semiconductor wafer. In the chemical vapor deposition apparatus, a gas suppression throttle is inserted into the gas outlet hole at a position corresponding to the center of the semiconductor wafer among the gas outlet holes, and this throttle controls the flow rate of the reaction gas. A chemical vapor deposition apparatus characterized in that it is formed with dimensions that are reduced depending on film conditions.
JP23871190A 1990-09-05 1990-09-05 Chemical vapor growth device Pending JPH04115531A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP23871190A JPH04115531A (en) 1990-09-05 1990-09-05 Chemical vapor growth device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP23871190A JPH04115531A (en) 1990-09-05 1990-09-05 Chemical vapor growth device

Publications (1)

Publication Number Publication Date
JPH04115531A true JPH04115531A (en) 1992-04-16

Family

ID=17034139

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23871190A Pending JPH04115531A (en) 1990-09-05 1990-09-05 Chemical vapor growth device

Country Status (1)

Country Link
JP (1) JPH04115531A (en)

Cited By (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005328021A (en) * 2004-05-12 2005-11-24 Applied Materials Inc Plasma uniformity control by gas diffuser hole design
JP2006515039A (en) * 2003-04-16 2006-05-18 アプライド マテリアルズ インコーポレイテッド Gas distribution plate assembly for large area plasma chemical vapor deposition
US8845806B2 (en) 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices

Cited By (426)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006515039A (en) * 2003-04-16 2006-05-18 アプライド マテリアルズ インコーポレイテッド Gas distribution plate assembly for large area plasma chemical vapor deposition
US10312058B2 (en) 2004-05-12 2019-06-04 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
JP4541117B2 (en) * 2004-05-12 2010-09-08 アプライド マテリアルズ インコーポレイテッド Gas distribution plate assembly, plasma processing chamber and diffuser plate
US9200368B2 (en) 2004-05-12 2015-12-01 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
JP2005328021A (en) * 2004-05-12 2005-11-24 Applied Materials Inc Plasma uniformity control by gas diffuser hole design
US10262837B2 (en) 2004-05-12 2019-04-16 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8845806B2 (en) 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Similar Documents

Publication Publication Date Title
JPH04115531A (en) Chemical vapor growth device
KR940009945B1 (en) Cvd apparatus
US20050241580A1 (en) Method for depositing thin film and thin film deposition system having separate jet orifices for spraying purge gas
US20060011298A1 (en) Showerhead with branched gas receiving channel and apparatus including the same for use in manufacturing semiconductor substrates
TWI612174B (en) Chemical vapor deposition appartus, apparatus, and method of chemical vapor deposition
US5968593A (en) Semiconductor manufacturing apparatus
JPH0371624A (en) Chemical vapor deposition device
JP2001274107A (en) Diffusion furnace
JP2003324072A (en) Semiconductor manufacturing equipment
JP2016063221A5 (en)
JP2000294538A (en) Vacuum treatment apparatus
JP3980840B2 (en) Vapor growth apparatus and vapor growth film forming method
JPS615515A (en) Chemical vapor growth apparatus
JP2003309075A (en) Semiconductor manufacturing apparatus and method for manufacturing semiconductor device
US5188058A (en) Uniform gas flow CVD apparatus
JP2000277509A (en) Substrate treating system
KR20120096026A (en) Vapor deposition apparatus
CN110249073A (en) Diffuser design for flowable CVD
JPS63303061A (en) Vacuum apparatus
JPH0322524A (en) Vapor growth device
JPH04154117A (en) Low pressure cvd system
JPS634615A (en) Apparatus for manufacturing semiconductor device
JPH02129920A (en) Chemical vapor growth device
JPH02283696A (en) Chemical gaseous phase growth device
JP2582105Y2 (en) Chemical vapor deposition equipment