JPH0334312A - X線マスクの製造方法および薄膜の内部応力制御装置 - Google Patents

X線マスクの製造方法および薄膜の内部応力制御装置

Info

Publication number
JPH0334312A
JPH0334312A JP1304192A JP30419289A JPH0334312A JP H0334312 A JPH0334312 A JP H0334312A JP 1304192 A JP1304192 A JP 1304192A JP 30419289 A JP30419289 A JP 30419289A JP H0334312 A JPH0334312 A JP H0334312A
Authority
JP
Japan
Prior art keywords
thin film
ray
film
ray absorber
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP1304192A
Other languages
English (en)
Other versions
JP2823276B2 (ja
Inventor
Masaru Hori
勝 堀
Masamitsu Ito
正光 伊藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP30419289A priority Critical patent/JP2823276B2/ja
Priority to DE69031576T priority patent/DE69031576T2/de
Priority to US07/494,397 priority patent/US5188706A/en
Priority to EP90302859A priority patent/EP0389198B1/en
Publication of JPH0334312A publication Critical patent/JPH0334312A/ja
Application granted granted Critical
Publication of JP2823276B2 publication Critical patent/JP2823276B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00642Manufacture or treatment of devices or systems in or on a substrate for improving the physical properties of a device
    • B81C1/0065Mechanical properties
    • B81C1/00666Treatments for controlling internal stress or strain in MEMS structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0163Controlling internal stress of deposited layers
    • B81C2201/017Methods for controlling internal stress of deposited layers not provided for in B81C2201/0164 - B81C2201/0169

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔発明の目的〕 (産業上の利用分野) 本発明は、X線露光用マスク(以下X線マスク)の製造
方法および薄膜の内部応力制御装置に係り、特にX線吸
収体薄膜パターンの改良に関する。
(従来の技術) 近年、半専体集積回路の高密度化および高集積化への要
求が高まるにつれて、回路パターンの微訓加圧技術のな
かでも、感光剤にパターンを形成するリソグラフィ技術
の研究開発が急速な進展を見せている。
現([、量産ラインでは光を露光媒体とするフォトリソ
グラフィ技術が主流であるが、解像力の限界に近づきつ
つあり、このフォトリソ、グラフィ技術技術に代わるも
のとして、原理的に解像力が飛跳的に向上するX線リソ
グラフィ技術の研究開発が急速な進展をみせている。
X線リソグラフィでは、光を用いた露光方法とは異なり
所定のパターンを縮小させて転写するような技術は現在
のところない。このため、Xli露光では、所定のパタ
ーンの形成されたX線露光用マスクと試料とを10μm
オーダーの間隔で平行に保持し、このX線マスクを通し
てX線を照射することにより露光対象物表面に転写パタ
ーンを形成する1:1転写方式が採用されている。
この等倍転写方式では、X線マスクのパターンの寸法精
度、位置精度がそのままデバイス精度になるため、X線
マスクのパターンにはデバイスの最小線幅の10分の1
程度の寸法精度、位置精度が要求される。また、X線源
としては、SOR光(シンクロトロン放射光)が本命と
されているため、X線マスクは強力なX線に対してダメ
ージを受けない構造でなければならない。さらに、デバ
イスの線幅が0.5μmから始まって次世代の01μm
へ向かう状況では、X線マスクのパターン断面の縦横比
が大きくなるため、種々の製造上の困難が増大してくる
以上のように、X線リソグラフィの実現のためには、X
線マスクの構造および製造方法の開発が最も重要な鍵と
なっている。
X線マスクは一般的には次のような構造を有している。
すなわち、リング状のマスク支持体上にX線に対する吸
収率の特に小さいX線透過性材料からなる薄膜を形成し
、このX線透過性薄膜上にX線に対する吸収率の大きい
材料からなるマスクパターン(X線吸収体パターン)を
形成した構造を有している。ここでマスク支持体は、X
線透過性薄膜が極めて薄、く機械的強度が弱いのを補強
すべく、このX線透過性薄膜を支持するのに用いられて
いる。
ところで、このX線露光用マスクは、従来、第13図(
a)乃至第13図(r)に示すような方法で製造されて
いる。
まず、基板温度1200℃の条件でLPcVD法により
、第13図(a)に示すようにSi基板90上に膜厚2
.7μmの5iCfi91を形成する。
この条件では、多結晶構造を有し、内部応力3×108
dyn /c−のSiC膜が得られている。次に、Si
基板90の裏面側にも同様にSiC膜92を形成する。
ここで、SiC膜91がX線透過性薄膜として用いられ
る。なお、X線透過性薄膜には、X線を透過し且つアラ
イメント光(可視、赤外線)に対する透過性に優れ、引
張り応力を有する自立支持膜であることが要求される。
その材料として、現在のところ、BN、Si、5iCT
i等が報告されている。
次いで、第13図(b)に示すように、裏面側のSjC
M92の中央部を選択的に除去した後、表面側のSiC
膜9膜上1上線吸収体としてWM93を形成する。X線
吸収体には、露光波長におけるX線吸収係数が大きいこ
と、内部応力が低いこと、微細加工が容易であることが
要求される。
その材料として、現在のところAu、Ta、W。
W N x等が報告されている。X線吸収体の内部応力
については、lX108dyn/cm2程度の低応力で
あることが不可欠であり、応力制御が可能なスパッタリ
ング法により内部応力を制御して堆積される。
次いで、第13図(e)に示すようにスパッタリング法
によりW膜93上に内部応力を制御したSiNx膜94
を形成する。さらに、S i Nx膜94上に電子ビー
ム描画用のレジスト95を塗布した後、電子ビーム描画
法によりパターン描画を行ない、レジスト95に所望の
パターンを形成する。
次いで、第13図(d)に示すように、ドライエツチン
グ法により、レジスト95をマスクとしてSiNx膜9
4を選択エツチングし、さらに同図(e)に示すように
、レジスト95及びSiNx膜94をマスクとしてW膜
93を選択エツチングす。
る。
最後に、第13図(「)に示すようにKOI(等のウェ
ットエツチング法により、裏面の5iCIl192をマ
スクとしてSi基板90をエツチングする。以上の様に
してX線マスクが製造される。
このようにしてX線マスクが形成されるが、X線マスク
の製造プロセスのうちで最も困難なプロセスはXIs吸
収体パターンの形成である。
前述したように、0.2μm以下の寸法を高精度に転写
するためのX線マスクにおけるX線吸収体薄膜は、 ■高密度を有する ■X線吸収体の微細パターン加工プロセス中で生じる熱
工程において応力変化がなく安定である。
■低応力(I X 10  dyn/cm2程度以下)
である。
■高精度の微細加工が可能な材料である。
という4つの条件を同時にT:A足するものでなければ
ならない。
このような条件を満足するX線吸収体形成のための試み
として、次のような報告例がある。
それは、W−Ti(1%)合金をスパッタターゲットと
して用い、・Ar+30%N2ガスにより直流マグネト
ロンスパッタリング装置にて形成されるW−Ti薄膜は
、ガス圧力の低い領域では圧縮応力を示すが、ガス圧力
2Pa以上でスパッタリングした薄膜は応力5 X 】
−Odyn/cm2という小さい値を示す。しかしこの
ときの薄膜の密度は、14〜16 ga13であり、純
粋なタングステンの密度19、2 gCs−3と比較す
ると20〜30%減少している(吉岡等SP[E co
nf’erence VoL、923.p2(1988
))。
このようにパターン情度の向上に際し、重要な要素とな
るX線吸収体パターンの応力を、スパッタリング条件を
変化させることにより、1×108dynlcd以下に
コントロールする方法が提案されている。
一方、X線吸収体薄膜の全面にイオン注入を行うことに
より、応力を制御することができることが明らかになっ
ている。例えば、アルゴン(Ar)ガス中でWターゲッ
トをスパッタリングして得られるW膜にSiイオンをイ
オン注入することにより、応力をほぼ0とすることがで
きることか報告されている。しかしながら、上記報告て
は、1×1016atIls/cI#のSt原子あるい
はW−Si化合物がエツチング中のパーティクルとして
発生し、再付着、ゴミなどの汚染の原因となり易いとい
う問題がある。また、Siイオンを注入したW膜の微細
加工については同等記述されておらず、高情度の微細加
工の実現性には大きな疑問を残していた。
さらに、Siを含有したW膜は応力の熱安定性について
も問題がある。W膜の加工プロセスにおいて、150〜
200℃のレジストベーキングを行うが、イオン注入の
なされたSi原子中未結合のものが、このような温度下
で結合手を形成する可能性があり、熱工程中もW膜が低
応力のままで安定性を維持している可能性は小さいと考
えられる(1.PIotnlk et、al Mlcr
oelectronlc EIlgineerfng 
5.P51(198B) )。
他の報告例として、アルゴンと窒素の混合ガスをスパッ
タリングガスとして用いたスパッタリング法により形成
される窒化タングステン(WN、)を吸収体材料として
用い、WN、中にN゛イオンイオン注入することにより
、応力制御をおこなった報告(ジャーナルオンバキュー
ムサイエンステクノロジー86 (1)、174.19
88)などがなされており、X線吸収体の応力を1×1
0’ dyn/c−以下にコントロールすべく、いろい
ろな試みがなされている。しかしながら、WN、膜の密
度は極めて小さく、X線吸収体薄膜として十分のX線を
遮断するためには膜厚を厚くする必要があり、微細側エ
バターンの形成か困難になるという問題がある。また、
I X 108dyn/cm2以下に応力をコントロー
ルするためには、イオン注入時の基板温度を300℃±
7℃に制御しなければならないという問題がある。
また、応力制御をおこない薄膜パターン形成を行うこと
ができたとしても、X線吸収体に、タングステンなどの
金属あるいは金属合金を用いている以上、大気中にさら
すことにより、酸化やガス吸着が起こり、応力に変化を
及ぼしてしまうという問題があった。
例えば、W−TiのターゲットをA r + −30%
N2ガスによりスパッタして形成した薄膜の応力の安定
性については、次のような報告がある。大気中に2ケ月
保存した後の応力は圧縮応力方向に1 x 10 ”9
dyn/c−もの応力変化を起こし、N2雰囲気中に2
00℃1時間アニールした後は引っ張り応力方向に2 
x 10 ”9 dyn/cm2という大きな応力変化
を起こしている。
つまり、X線吸収体の応力に経時変化が発生し、xl吸
収体パターンのパターン精度を維持できないという問題
があった。
そこで、X線吸収体パターンを酸化やガス吸着から保護
すると共に機械的保護をはかるために、X線吸収体パタ
ーンを保護膜で埋めてしまう構造(インターナショナル
エレクトロンミーティング42.1982)も提案され
ている。
しかしながらこのような方法では、X線吸収体の応力の
みならず、保護膜の応力も同様に精度よくコントロール
しなければならず、実用上不可能であるという問題があ
った。
(発明が解決しよう゛とする課題) このように、従来のX線露光用マスクにおいては、X線
吸収体薄膜としての機能(高密度、低応力、応力の安定
性、微細加工性)を十分に満足するようなX線吸収体薄
膜を得ることは、極めて困難であった。
本発明は、前記実情に鑑みてなされたもので、高密度で
安定な応力を有しかつ高精度の微細加工が可能なX線吸
収体薄膜を得ることのできるX線マスクをtrk(”、
することを目的とする。
また、本発明では、X線吸収体薄膜の内部応力を所定の
値に制御することのできる薄膜の内部応力制御装置を提
供することを目的とする。
〔発明の構成〕
(課題を解決するための手段) 本発明の・け子は、X線吸収体薄膜にネオンよりも原子
番号の大きい不活性元素の少なくとも1種のイオンを注
入することにより、該薄膜の内部応力を低減することに
ある。
即ち本発明は、マスク支持体上にX線透過性薄膜を形成
し、このX線透過性薄膜上にX線吸収体薄膜を形成し、
このX線吸収体薄膜をバターニングしてX線マスクを製
造するX線マスクの製造方法において、X線吸収体薄膜
をパターニングする前に、該薄膜にアルゴン(Ar)、
クリプトン(K「)又はキセノン(Xe)をイオン注入
して該薄膜の内部応力を低減させる方法である。
また、本発明では、X線吸収体パターンに斜めから、不
活性ガスあるいはX線吸収体薄膜材料と同じ物質をイオ
ン注入することによりパターン表面の隙間を埋めるよう
にしている。
また、本発明では、X線吸収体薄膜のパターニングに際
し、エツチングガス中に反応性ガスを混入せしめ、エツ
チング面に気体進入防IL膜を形成するようにしている
さらに本発明では、X線吸収体パターンの表面を、プラ
ズマ雰囲気中にさらし、プラズマドーピングするように
している。
また本発明は、上記イオン注入に際して、X線吸収体薄
膜に2 X 108dyn /c−以下の圧縮応力を持
たせ、バターニング後の該X線吸収体薄膜の最終的な内
部応力をI X 108dyn /cd以下に設定する
ようにした方法である。
さらに本発明は、上記イオン注入工程と共に、X線吸収
体薄膜の内部応力を測定し、測定された内部応力に応じ
てイオン注入量を制御することにより該X線吸収体薄膜
の内部応力を所望値に制御するようにした方法である。
また本発明は、基板上に形成された薄膜に不純物イオン
を注入して該薄膜の内部応力を所定の値に制御する薄膜
の内部応力制御装置において、薄膜の内部応力を検出す
る内部応力検出手段と、該手段により求められた内部応
力に応じて薄膜に対するイオン注入量を制御する手段と
を設けるようにしたものである。
ここで内部応力検出手段としては、裁板の反り量を検出
し、検出された反り量から薄膜の内部応力を求めるもの
などがある。
ここで、本発明においては、マスク支持体として例えば
Siが用いられる。X線透過性薄膜としては、例えばS
iC,Si3N4.BN、ボロンドープしたSi等が挙
げられる。X線吸収体薄膜として、W、Ta及びその窒
化物(WNx、TaNX ) 、炭化物(WCX、Ta
C)等の化合物或いは合金が挙げられるが、このうちで
も特に、引張り応力を有し高密度のWWi膜が望ましい
。これらの薄膜は、例えばスパッタリング法により形成
される。
また、本発明におけるA「等ををイオン注入をしたX線
吸収体薄膜を熱処理する工程としては、例えばN2.A
r真空中での熱処理が挙げられるが、このうちでもN2
雰囲気中が望ましい。さらに、熱処理工程としてW膜を
微細加工するためのエツチングマスクとなり得る、単層
レジスト或いは多層レジストのベーキング工程を利用す
るのが望ましい。
(作用) 本発明によれば、W等からなるX線吸収体薄膜を形成し
た後、Ar、Kr又はXe等のNeよりも原子番号の大
きい不活性元素をイオン注入することにより、X線吸収
体薄膜の内部応力の低減化が実現できる。薄膜中にAr
5Kr又はXeをイオン注入することによりX線吸収体
薄膜自体の性質(X線遮断能或いは微細加工性等)を改
変させないで低応力化が実現できる。さらに、イオン注
入をしたX線吸収体薄膜をバターニングして、X線吸収
体パターンを形成する際のプロセスで生じる熱工教(レ
ジストベーキング、反応性エツチング)後も、応力が安
定している膜が得られるので、高精度の微細パターン寸
法及び位置精度を達成できる。
本発明の方法では、X線吸収体薄膜あるいはX線吸収体
膜パターンに斜めから、イオン注入を行い、前記パター
ンにおける結晶粒界面の隙間を埋め、気体進入防止機能
を生ぜしめることができ、応力を最低限に抑え、パター
ンの安定化をはかることができる。
また、本発明の方法では、X線吸収体薄膜のバターニン
グに際し、エツチングガス中に反応性ガスを混入せしめ
、エツチング面に気体進入防止膜を形成するようにして
いるため、X線吸収体膜パターン表面の極めて浅い領域
に気体進入時IL膜を形成することができ、気体進入防
止膜自身の応力を最低限に抑え、パターンの安定化をは
かることができる。
さらに、本発明の方法では、X線吸収体パターンの表面
を、プラズマ雰囲気中にさらし、プラズマドーピングす
るようにしているため、X線吸収体膜パターン表面の極
めて浅い領域に、気体進入防止膜を形成することができ
、気体進入防止膜自身の応力を最低限に抑え、パターン
の安定化をはかることができる。
また、本発明によれば、イオン注入した薄膜の応力は経
時変化の影響を受けないために、長駒間にわたって安定
なX線マスク吸収体として作用する。
さらに、X線吸収体薄膜にAr、Xe5Kr等をイオン
注入する際、X線吸収体薄膜の内部応力を測定しながら
イオン注入を行ない、自動工1測制御によりイオン注入
量を制御することにより、所望の内部応力を有する吸収
体薄膜を高精度で再現性よく得ることが可能である。
また、X線吸収体薄膜をバターニングするX線吸収体薄
膜パターン形成工程に先立ち、X線吸収体薄膜の内部応
力を測定し、測定された内部応力に応じてイオン注入量
を制御つつ、X線吸収体薄膜にネオンよりも原子番号の
大きい不活性元素の少なくとも1種をイオン注入して該
X線吸収体薄膜の内部応力を低減させ、該X線吸収体薄
膜の内部応力を所定値に制御するようにすることができ
る。
(実施例) 以下、本発明の実施例について図面を参照しつつ詳細に
説明する。
〈実施例1) 第1図は本発明の第1の実施例のX線マスクの製造工程
を示す断面図である。
まず、高周波加熱方式のLPGVD装置を用い、グラフ
ァイト表面にSiCをコーティングしたサセプタ上に、
面方位(111)の3インチ5iJiE板を設置し、1
100℃においてHCIガスによりSi基板の気相エツ
チングを施すことにより、Si基板上に存とEする自然
酸化膜及び重金属類の汚染物を除去した。これにより、
Si基板の表向マスク清浄化処理が完了する。
次いで、第1図(a>に示す如く、Si原料としてトリ
クロロシラン(SiHCl2)C原料としてプロパン(
C3Ha ) 、キャリアガスとして水素(H2)の各
ガスを供給して基板温度1100℃にて、Si基板11
上にSiC膜12を2μm堆積した。
この際、SiC膜12の内部応力を制御するために、ガ
ス流量比、ガス圧を変化させた。SiC膜12の内部応
力は、反応ガスである5iHC13とC3H,との混合
比及びガス圧力により変化する。なお、ガス圧力は、キ
ャリアガスの流量によって変化する。本実験例では、C
3H8を200cc/win 、 S i HC13を
3Jl/ff1in、H2を7j!/win、ガス圧を
200Pa、基板温度を1100℃の条件でSi’C膜
12を堆積させた。
このSiC膜12は3x 109dyn /c−の引張
り応力を示した。また、このSiC膜12の結晶性を評
価したところ、単結晶β−5iC構造であることが判っ
た。
次いで、第1図(b)に示すように、上記条件と同条件
の下でSi基板11の裏面にSiC膜13を0.5μm
堆積させた後、通常のフォトリソグラフィ技術によりS
iC膜13の中央部に20 mmφの開口部を設けた。
次いで、第1図(C)に示すように、マグネトロンDC
スパッタリング装置によりSiC膜1膜上2上膜14を
0.5μm堆積させた。スパッタリングの電力は、1 
kvとし、ガス圧力を2〜25iTorrまで変化させ
、形成したW@の応力を11−1定したところ第3図に
示すような特性が得られた。
このような種々のガス圧に対して形成されたW膜につい
て、形成されたW膜の応力の経時変化を大気中に1力月
放置することにより調べたところ、第4図に示すように
W膜に圧縮応力方向に応力が変化した。その変化量はA
r圧力が高い膜程大きくなっているが、5 mTorr
以下のAr圧力の下で形成した膜の応力変化量が少なく
なっていることが判明した。このことから、X線マスク
吸収体薄膜トして適した薄膜のスパッタリング条件は、
電力1kv、Arガス圧5 a+Torr以下とすると
よい。
次に、第3図に示した各条件の下で形成されたW膜に対
しN2雰囲気中で200℃、1時間の熱処理を行った結
果を第5図に示す。全ての膜について応力が引張り側に
変化するが、Ar圧力の高い領域で形成した膜の応力変
化が大きいことが判明した。
これより、X線マスク用X線吸収体薄膜として経時変化
が小さく、パターニングの際の熱]二程の下で安定な応
力を有するX線吸収体薄膜を得るためには、第4図及び
第5図よりAr圧力の小さい領域で形成した薄膜でなけ
ればならない。しかし、これらの応力の変化量は、スパ
ッタ中のA「ガス圧のみならず電力にも依存する。そこ
で、種々のスパッタ条件の下で実験を行ない如riiT
なるW膜であれば、経時変化のない、熱的にも安定な膜
が得られるかを調べたところ、W膜の密度の大きな膜(
少なくともバルクW膜の95%以上の密度を有す)であ
れば上記条件を満足する膜であることを見出した。しか
し、これらのW膜は第3図に示す如く、応力が圧縮応力
から引張り応力へと急峻に変化しているため、僅かのA
rガス圧の変動で得られるW膜の応力が大きく変化して
しまい、X線吸収体薄膜として満足するI X 108
dyn /cd以下という応力を再現性良く得ることは
極めて困難であることが判った。
そこで、これらの応力の低応力化を行なうために、さら
に種々の実験を重ねたところ、 Ne。
Si、Kr又はXeをイオン注入することにより、W膜
の応力を低応力化可能であることを見出した。
スパッタリングの条件として、電力IKW、Arガス圧
3 mTorrの下で形成したW膜(応カニ引っ張り応
力で9 X 108dyn /d、密度18.5g/c
+& (バルクW膜の95.6%にm5))にSiをエ
ネルギー] 70 KeySNcを100 KcVSK
 rを340 K eVIX eを500KeVでイオ
ン注入したところ、第2図に示す様に、4種のイオン種
す−べてに対して応力の低減化が実現できた。しかしな
がら、イオン注入したW膜の応力の熱的安定性を見るた
めに、N2雰囲気中で200℃1時間アニールを行なっ
たところStをイオン注入したW膜は5 X 108d
yn /c−もの応力変化を引張り応力方向に示し、ま
た、Neの場合も4X108dyn/cm2もの応力変
化をやはり引張り応力方向に示し、応力の熱的安定性が
悪いことが判明した。
方、K「又はXeをイオン注入したW膜は、アニル後の
応力変化かいずれもI X 10” dyn lcd以
下で非常に安定な応力を示していることが判った。また
、応力の経時変化を測定したところ、SLをイオンl+
X人したW膜は1ケ月後の応力が、2X 10’ dy
n /c−の応力変化を圧縮応力方向に示し、Noをイ
オン注入したW膜はI X 108dyn/ cdの応
力変化を示したのに対してに「又はXeをイオン注入し
たW膜は全く経時変化を示さなかった。 従って、第1
図(C)に示すように、X線吸収体薄膜の形成として、
スパッタリング注によりArガス圧3mTorr 、 
電力IKWのドで密度18゜5g/cm3のW膜14を
形成した。形成したW膜14上にK「のイオン注入15
を行なった。イオン注入の条件は加速電圧340KeV
にて、ドーズ量5 x 1015aLss /c4テあ
る。コレヨリ、W膜の応力がイオン注入する前では8X
108dyn/cm2の引張り応力であったものが、イ
オン注入15により圧縮応力で4X107dyn/c−
の低応力のものが得られた。続いて、HF/HNO3の
混合溶液により、SiC膜13の開口部をマスクとして
St基板11の裏面エツチングを行なった。
次いで、第1図(d)に示す如く、W膜14上に電子ビ
ームレジスト16として膜厚0.6μmのCMS (ク
ロロメチル化ポリスチレン)を塗布し、N2雰囲気中1
50℃にてベーキングすることにより電子ビームレジス
ト16中の溶媒を除去した後、加速電圧50KeVの可
変成形ビームを用いた電子ビームリソグラフィによりド
ーズff1l’50μC/cdにてレジスト16を!7
5画して所望のパターン(最小線幅0.2μm)を形成
した。
次いで、第1図(e)に示すように、ECR型プラズマ
エッチングによりSF6+10%02.ガス圧力5 X
 1014Torr 、マイクロ波パワー2゜OWで、
レジスト16をマスクとしてWM14を異方性エツチン
グによりパターニングした。
以上の工程により形成したX線マスクを評価するため、
X線マスク中の面内パターンの位置ずれを測定した。測
定領域は10X10X10におけるマスク中の特定パタ
ーンの電子ビーム描画により形成したレジストパターン
とバターニング後のW膜のパターンとの位置ずれを測定
することにより評価したところ0.02μm(3σ)と
十分小さい値であった。
本発明者等の実験によれば、上記マスクを通して1μm
厚のPMMAレジストをSOR光により露光・現像し、
0.2μmの微細パターンが形成されたのを確認した。
また、SOR光の長時間照射によるX線マスクの耐久試
験を行なったところ約 20 Mj/ cm” ノ照射
ドース量ニ対して、SOR光による劣化、ダメージは観
察されなかった。
ここで重要なことは、イオン注入によりW膜の応力を低
減することが可能であるが、後工程によりW膜の応力が
僅かであるが引張り方向に変化することである。本発明
者等の実験によれば、密度が16.3g/c+n3以上
のW膜にKr又はXaイオンを注入したのち、このW膜
を加工するために電子ビームレジストを塗布し、N2雰
囲気中(例えば1−50℃)でベーキングすると、いず
れのイオン種も応力が引張り側にI X 108dyn
 /cd変化することが判明している。このため、K「
又はX8イオン注入時の圧縮応力を2xlO8dyn/
C−とすれば最終的な内部応力(圧縮応力)は1×10
8dyn/C−となり、Kr又はXeイオン注入時の圧
縮応力をOとすれば最終的な内部応力(引張応力)はI
 X 108dyn /c−となる。
従って、K「又はXeイオンの注入により、W膜の応力
を圧縮応力でその絶対値が2X108dyn/cJ以下
に制御すれば、最終的な内部応力(圧縮及び引張り応力
)をI X 1.08dyn /c−以下の極めて小さ
い値にすることができる。このように、後工程で生じる
応力(引張り方向)を見越して予めイオン注入する方法
により、最終的には絶対値の小さい応力を有するW膜を
形成するプロセスが有効である。
なお、Kr又はXeイオンのみならず、Arイオンでも
よい。
〈実施例2〉 次に、本発明の第2の実施例について図面を参照しつつ
、詳細に説明する。
第6図(a>乃至第6図(r)は本発明の第2の実施例
のX線露光用マスクの製造工程を示す図である。
この工程では、X線吸収体薄膜としてのW膜にクリプト
ン(Kr)をイオン注入し、応力をほとんど変化させる
ことなく表面層を非晶質化するようにしたことを特徴と
している。
このX線露光用マスクは、シリコン裁板21と、このシ
リコン基板表面および裏面の一部に形成された厚さ1μ
mの炭化シリコン膜からなるX線透過膜22.23と、
このX線透過膜22上に形成され、表面が非晶質化され
たX線吸収体パターン24とから構成されている。そし
てこのX線露光用マスクは、該シリコン基板の対向面側
からこのX線露光用マスクを介して、このシリコン基板
1のX線吸収体膜パターン形成面側に設置されたPMM
A等のレジストを塗布してなるシリコンウェハ上に、S
R光を照射しパターン転写を行うのに用いられるもので
ある。
次に、このX線露光用マスクの製造工程について説明す
る。
まず、前処理として高周波加熱方式のLPCVD装置を
用い、グラファイト表面にSiCをコーティングしたサ
セプタ上に支持体として面方位(111)のシリコン基
板21を設置し、1100℃に加熱しHC1ガスを導入
して気相エツチングを行い、シリコン基板上に存在する
自然酸化膜および重金属類の汚染物を除去する。
次に、反応性ガスとしてのトリクロロシラン(S i 
HCla )およびプロパン(C3Ha )と、キャリ
アガスとしての水素(H2)を導入し、基板温度110
0℃で膜厚2μmのSiC膜22を堆積する。
続いて、同様にしてシリコン基板21の裏面に膜厚0.
5μmのSiC膜23を堆積させた後、通常のフォトリ
ソグラフィ技術によりSiC膜23の中央部に2011
Ilφ開口部を形成する(第6図(a) )。
次に、第6図(b)に示すごとく、マグネトロンDCス
パッタリング装置を用いてSiC膜2膜上2上厚0.5
μmのタングステン膜24を堆積した。このときのスパ
ッタリング電力はlkw、ガス圧力は密度の大きいW膜
を形成できる低圧力側で応力がゼロとなる3 o+To
rrとした。このようにして形成されるタングステン膜
の応力は2 x 10+8dyn/c−であった。
続いて、第6図(C)に示すように、クリプトン25を
エネルギー180keVで、ドーズ量2×1015at
oms/cdとなるようにタングステン膜24内にイオ
ン注入し表面に気体進入防止層24sを形成する。この
ときのKrのW膜内での濃度分布のピークは表面から2
50人と極めて表面に近いので、応力をほとんど変化さ
せることなく表面層を非晶質化することができる。また
、逆にイオン注入により応力を変化させたい場合は、濃
度ピークの位置をより深いところに設定するようにすれ
ば良い。
この後、第6図(d)に示すごと<、HF/HNO3の
混合液を用いて5iC23の開口部をマスクとしてシリ
コン基板21の裏面エツチングを行う。
次に、第6図(e)に示すように、W膜24上に電子ビ
ームレジスト26として膜厚0.6μmのCMS (ク
ロロメチル化ポリスチレン)を塗布し、150℃のN2
雰囲気中でベーキングすることにより電子ビームレジス
ト26中の溶媒を除去した後、電子ビーム描画装置によ
り露光し所望のパターンを形成する。
このようにしてパターン形成した電子ビームレジスト2
6をマスクとして、ECR型プラズマエッチング装置を
用い、SF6+10%02をエツチングガスとし、ガス
圧力5麿Torrsマイクロ波パワー200Wで反応性
イオンエツチングにより、第6図(f’)に示すように
、前記タングステン膜24をパターニングし、線幅0.
2μmのライン及スペースの微細X線吸収体パターンが
形成される。
この後、第6図(g)に示すように、X線露光用マスク
をl r、p、mで回転させながら、W膜パターン24
の側壁にに「を入射角10度、エネルギー180keV
で、ドーズIjk2 X 1015atoms/C−と
なるように斜めイオン注入した。これはパタニング後の
X線吸収体パターンの側壁に気体進入防止層形成するた
めである。この際、パターン側壁のみならず表面にも同
様にに「がイオン注入されるため、前記第6図(C)で
説明した工程は必ずしもおこなわなくてもよい。
このようにして形成したX線マスクの面内パターンの位
置ずれを、測定領域201ffi×201W11におけ
るマスク中の特定パターンについて、電子ビーム描画に
より形成したレジストパターンとパターニング後のタン
グステン膜との位置ずれを、光波式fill長装置で測
定した結果、0.02μm<3σ)と十分に小さい値で
あった。
さらにこのX線露光用マスクを、大気中に6ケ月間放置
した後のパターン位置と、製造直後のパターン位置とを
前記光波式測長装置で測定し比較した結果、位置ずれは
全く検出されなかった。
また、このX線露光用マスクを用い、膜厚1μmのレジ
スト(PMMA)を塗布したシリコンウェハを30μm
離間した位置でSOR光により露光した結果、線幅0.
2μmのライン及スペースのPMMAレジストパターン
が、コントラストよくかつ高位置精度で形成された。ま
た、SOR光の長時間照射によるX線マスクの耐久試験
を行ったところ、20MJ/cs 2の照射量に対して
SOR光による劣化、ダメージは観察されなかった。
このように、本発明実施例のX線露光用マスクは、極め
て高精度で安定となっている。
第7図に、このX線吸収体パターンの応力と形成後経過
時間との関係を曲線aで示す。この曲線からもわかるよ
うに、時間の経過に対してほとんど変化はないことがわ
かった。比較のために、X線吸収体パターンをタングス
テン膜で構成し、イオン注入を行わない場合の、X線吸
収体パターンの応力と形成後経過時間との関係を曲線す
に示す。
これらの比較からも、本発明実施例のX線露光用マスク
は極めて安定であることがわかる。
これは、スパッタリングにより形成したW膜は柱状結晶
粒で構成されているため、結晶粒界面の隙間を通ってW
s内部にガス吸着や酸化が進むためと考えられ、イオン
注入を行わなかった膜は圧縮応力方向に応力変化を起こ
してしまっている。
これに対し、イオン注入により表面を非晶質化したW膜
は、結晶粒界面の隙間がなくなっているため安定な応力
を有するのである。
なお、前記実施例では、タングステン膜に対し、バター
ニング前と後との両方でイオン注入を行うようにしたが
いずれか一方のみでもよい。
〈実施例3〉 次に、本発明の第3の実施例について説明する。
この例では、X線吸収膜のバターニングに際し、エツチ
ングガスに、所望のガスを混入せしめることにより、側
壁にも気体進入防止膜が形成されるようにしたものであ
る。
まず、第8図(a)に示すように、実施例1の場合と同
様にして、シリコン基板31の表面および裏面にSiC
膜32.33を堆積させた後、通常のフォトリソグラフ
ィ技術によりSiC膜33の中央部に開口部を形成し、
さらに表面側のSiC膜33表面にW膜34を堆積する
次に、第8図(b)に示すごとく、実施例1と同様にし
てクリプトンをエネルギー180keVで、ドーズji
2 X 1015ato1Ms/c−となるようニタン
グステン膜34内にイオン注入し表面に気体進入防止層
34sを形成する。
この後、第8図(c)に示すごと<、HF/HNO3の
混合液を用いて5iC33の開口部をマスクとして同様
にシリ・フン基板31の裏面エツチングを行う。
次に、第8図(d)に示すように、W膜34上に電子ビ
ームレジスト36として膜厚0,6μmのCMS (ク
ロロメチル化ポリスチレン)を塗布し、150℃のN2
雰囲気中でベーキングすることにより電子ビームレジス
ト36中の溶媒を除去した後、電子ビーム描画装置によ
り露光し所望のパターンを形成する。
このようにしてパターン形成した電子ビームレジスト3
6をマスクとして、ECR型プラズマエッチング装置を
用い、SF6+IO%02+lO%CCl4をエツチン
グガスとし、ガス圧力5 o+Torr、マイクロ波パ
ワー200Wで反応性イオンエツチングにより、第8図
(e)に示すように、前記タングステン膜34をパター
ニングし、線幅0.2μmのライン及スペースの微細X
線吸収体パターンが形成される。このとき、エツチング
ガス中に混入せしめた炭素系化合物により、W膜34の
側壁に炭素を主成分とする気体進入防止膜37か形成さ
れる。
このようにして形成したX線マスクの面内パターンの位
置ずれを、測定領域20smx20mn+におけるマス
ク中の特定パターンについて、電子ビーム描画により形
成したレジストパターンとパターニング後のタングステ
ン膜との位置ずれを、光波式測長装置で測定した結果、
0.01μm(3σ)と十分に小さい値であった。
さらにこのX線露光用マスクを、大気中に6ケ月間放置
した後のパターン位置と、製造直後のパターン位置とを
前記光波式測長装置で測定し比較した結果、位置ずれは
全く検出されなかった。
このように、本発明の第3の実施例のX線露光用マスク
は、極めて高精度で安定となっている。
なお、この例では、W膜のエツチング時に形成する膜と
しては、炭素を主成分とするものに限定されることなく
、Wの窒化物、5i02等、基体の進入を防止するよう
な膜であればよい。従ってエツチングガスに混入せしめ
るガスとしては、CCl4の他窒素等でも良い。
〈実施例4〉 次に本発明の第4の実施例について説明する。
この方法では、XM吸収膜のパターニング後に、K「の
プラズマドーピングを行い、パターン側壁にも気体進入
防止膜が形成されるようにしたものである。
第9図(a)乃至第9図(d)に示すように第8図(a
)乃至第8図(d)に示した実施例3の工程と金く同様
にして、シリコン基板41の表面および裏面にSiC膜
42.43を堆積させた後、通常のフォトリングラフィ
技術によりSiC膜43の中央部に開口部を形成し、さ
らに表面側のSiC膜43表面にW膜44を堆積する。
そしてクリプトンイオンをW膜44内にイオン注入し表
面に気体進入防止層44sを形成し、この後裏面側のS
iC膜43の開口部をマスクとして同様にシリコン基板
41の裏面エツチングを行う。そしてさらに、W膜44
上に電子ビームレジスト46のパターンを形成する。
このようにしてパターン形成した電子ビームレジスト4
6をマスクとして、ECR型プラズマエッチング装置を
用い、SF6+10%02をエツチングガスとし、ガス
圧力5 mTorr 、マイクロ波パワー200Wで反
応性イオンエツチングにより、Ifi9図(e)に示す
ように、前記タングステン膜44をパターニングし、線
幅0.2μmのライン及スペースの微細X線吸収体パタ
ーンが形成される。
この後第9図(f)に示すように、マイクロ波ECR法
によりWパターン43にに「のプラズマドーピングを行
い、Wパターン43表面に気体進入防止膜47を形成す
る。ここで導入ガスは100%に「ガス、真空度は0.
5mmTorr SE CR加速電圧は500vとし、
100秒間ドーピングを続行した。
このようにして形成したX線マスクの面内パターンの位
置ずれを、前記実施例と同様に、測定領域20IIII
I×20IIIIIこおけるマスク中の特定パターンに
ついて、電子ビーム描画により形成したレジストパター
ンとパターニング後のタングステン膜との位置ずれを、
光波式測長装置で測定した結果、0.02μm(3σ゛
)と十分に小さい値であった。
さらにこのX線露光用マスクを、大気中に6ケ月間放置
した後のパターン位置と、製造直後のパターン位置とを
前記光波式測長装置で測定し比較した結果、位置ずれは
全く検出されなかった。
このように、本発明の第4の実施例のXIj9I露光用
マスクは、極めて高精度で安定となっている。
また、SOR先の長時間照射による耐久試験についても
実施例1乃至実施例3の場合と同様極めて良好な結果を
得ることができた。
ここでプラズマドーピングするドーピング物質としてK
rを用いたが、K「に限定されること無くW膜表面の結
晶粒間の隙間を塞ぐ物質であればよく、他の不活性ガス
、C,N、W等でも有効である。
なお、前記実施例では、マスク基板上に形成されるXa
吸収体薄膜としては、W膜を用いたが、これに限定され
ることなく、金(Au)、タンタル(Ta)、モリブデ
ン(M o )あるいはこれらの窒化物および酸化物等
でも良い。
また、前記実施例では、マスク基板上に形成されるX線
透過性の薄膜としては、炭化シリコン膜を用いたが、こ
れに限定されることなく、シリコン、窒化シリコン、窒
化硼素、硼素ドープのシリコン基板などでもよい。
さらにまた、W膜の加工方法として、CMSの単層レジ
ストを用いたが、高解像度を有するPMMAあるいは化
学増幅因子を含む5AL601などのレジストを用いて
も良い。さらにまた、多層レジストを用いても良い。ま
た、W膜のエツチングにはECRプラズマエッチングに
限定されることなく、マグネトロンタイプの反応性イオ
ンエツチングを用いても良い。このとき、基板を冷却す
ることによりエツチング特性を向上させることもできる
〈実施例5〉 第10図及び第11図は本発明の他の実施例方法に係わ
るX線マスクの製造方法を説明するためのもので、薄膜
の内部応力制御装置を示す図である。この実施例は、前
記第1図(c)で示したに「又はXeのイオン注入の際
イオン注入中にWll!14の内部応力を測定すること
により、所望の内部応力が得られるようにイオン注入量
を制御する方法である。
第1図(C)において、スパッタリングによりArガス
圧3a+Torr、電力IKWの下でW膜をSiC膜1
膜上2上積したところW膜の応力にばらつきが生じた。
これは、スパッタ時における冷却基板ホルダーとの密着
性或いはSiC膜12の表面形態等種々な要因によるも
のである。得られたW膜の応力のばらつきの範囲は、5
×108〜1−×109dyn /cdの引張り応力で
あった。これらのW膜の応力に応じてに「のイオン注入
ドーズ量を変化させW膜の応力を所定の応力まで低減さ
せたところ、中にはX線吸収体として適応可能な応力(
I X 108dyn /cj以下の圧縮応力)を満足
しないものが全体の数%生じた。
従って、本実施例では、W膜の初期応力のばらつきに対
して適当なイオンドーズ量を注入することにより全ての
W@に対して所望の応力が得られるようにするものであ
る。
即ち本実施例では、第10図に示したようなイオン注入
装置に、第11図に示すような回路を付加することによ
り、薄膜の内部応力制御装置を構成した。ここで、第1
0図において、61はイオン源、62は集束レンズ、6
3は質量分析型磁石、64は加速電極、65はY偏向電
極、66はX偏向電極、67はスリット、68はファラ
デーカップ、69はターゲットであり、この構造自体は
周知のイオン注入装置と同様である。第11図において
、71はウェハ反り検出回路であり、72は反り量から
応力を求める反り一応力変換回路であり、73はイオン
注入量制御回路であり、これはイオン注入時にW膜の内
部応力を測定し、測定量が所望の値になるとイオン注入
を停止するものとなっている。
そして、第11図に示す装置を第10図に示すイオン注
入装置の基板ホルダーに設置することにより、所望の内
部応力になるようにW膜の内部応力を自動計測しイオン
注入ドーズ量を制御できるようにしている。なお、第1
1図における反り検出回路としては、He−Neレーザ
による干渉計法を用いた。ウェハの反り量は薄膜の内部
応力に比例しているので、この反り量を検出することに
より内部応力を求めることが可能である。
上記装置を用いてW膜の応力制御を行なった結果を第1
2図に示す。第12図に示す如く、初期応力の異なるW
膜に対して、適度なイオンドーズ量が注入されるために
、全てのW膜に対して所望の応力(I X 108dy
n /c−の圧縮応力)が得られた。従って、歩留り良
く高精度X線マスクの製造が可能となったのである。
なお、本発明は上述した各実施例に限定されるものでは
ない。例えば、X線吸収体薄膜としてはWに限らず、T
B、Mo及びこれらの窒化物及び炭化物を用いることも
できる。X線透過性薄膜としてSiC@を用いたが、S
iNx、BN、ボロンドープしたSi基板を用いること
ができる。W膜の加工方法として、CMSの単層レジス
トを用いたが、高解像性を有しているPMMA或いは化
学増幅因子を含む5AL601等のレジストを用いても
よい。さらに、多層レジストを用いることもできる。こ
れらのレジストをエツチングマスクとしてW膜のエツチ
ングを行なう場合、ECR型のプラズマエッチング方法
に限るものではなく、マグネトロンタイプのRIE(反
応性イオンエツチング)を用いることも可能である。
また、エツチングの際に基板を冷却し、基板温度を一5
0℃近傍にまで下げW膜のエツチングを行ない、エツチ
ング特性を向上させることが可能である。さらに、W膜
をエツチングする際のエツチングガスはSF6等の弗素
系のガスに限るものではなく、塩素系のガス、或いは弗
素系と塩素系とを混合させたガスを用いることができる
。また、実施例ではStウェハ上にW膜を形成し、イオ
ン注入を行なった後にS【ウェハを裏面エツチングする
例を述べたが、W膜のパターン形成後にSfウェハを裏
面エツチングしてもよい。
また、実施例ではレーザ干渉計法による基板の曲り測定
による内部応力の測定法を述べたが、内部応力測定法と
しては、X線回折、ラマン法による結晶格子のひずみ測
定によるW膜内部応力の測定法、或いはニュートン理法
光切断顕微鏡法、単スリット回折法等、高精度に基板の
曲りを測定しW膜の内部応力を測定できる7111定方
法であればよい。さらに、各部の膜厚等の条件は仕様に
応じて適宜変更可能である。その他、本発明の要旨を逸
脱しない範囲で、種々変形して実施することができる。
〔発明の効果〕
以上説明してきたように、本発明によれば、透光性の支
持体上にX線吸収体膜パターンを形成してなるX線マス
クの製造に際し、X線吸収体パターンの表面を、不活性
ガスあるいはX線吸収体薄膜材料と同じ物質をイオン注
入するあるいは、X線吸収体薄膜のバターニングに際し
、エツチングガス中に反応性ガスを混入せしめ、エツチ
ング面に気体進入防止膜を形成するあるいは、X線吸収
体パターンの表面を、プラズマ雰囲気中にさらし、プラ
ズマドーピングし気体進入防止膜を形成するようにして
いるため、応力変化を最低限に抑えつつ、気体進入防止
機能を持たせ、パターンの安定化をはかることができ、
高精度で長期にわたって安定なX線マスクを提供するこ
とが可能となる。
また、このX線吸収体薄膜は、応力の経時変化も無く、
薄膜のパターニングプロセスで生じるアニール工程及び
エツチング工程中においても応力が安定である。
従って、従来困難であった低応力、高密度、熱的に安定
で経時変化のないX線吸収体パターンを、高精度の微細
パターン寸法且つ高精度の位置精度を持ってX線透過薄
膜上に形成することが可能となる。
【図面の簡単な説明】
第1図乃至第5図は本発明の一実施例を説明するための
もので、第1図はX線マスクの製造工程を示す断面図、
第2図はイオン注入によるイオン注入ドーズ量と応力と
の関係を示す特性図、第3図はスパッタリングにおける
A「圧力と応力との関係を示す特性図、第4図はA「圧
力に対するW膜の応力の経時変化を示す特性図、第5図
はA「圧力に対するW膜のアニール前後の変化を示す特
性図、第6図(a)乃至第6図(g)は本発明の第2の
実施例のX線露光用マスクの製造工程を示す図、第7田
は本発明の第1の実施例および従来例の同X線露光用マ
スクのX線吸収体パターンの応力と経過時間との関係を
示す比較図、第8図(a)乃至第8図(e)は本発明の
第3の実施例のX線露光用マスクの製造工程を示す図、
第9図(a)乃至第9図(「)は本発明の第4の実施例
のX線露光用マスクの製造工程を示す図、第10図乃至
第12図は本発明の他の実施例を説明するためのもので
、第10図はイオン注入装置を示す概略図、第11図は
制御装置を示すブロック図、第12図はイオンドーズ量
と応力との関係を示す特性図、第13図(a)乃至第1
3図(e)従来例のX線露光用マスクの製造工程を示す
図である。 11.21,31,41.91・・・シリコン基板、1
2.13,22,23,32.33.42,43.92
・・・X線透過膜、14゜24.34.’44.94・
・・X線吸収膜パターン、15・・・Arイオン、16
・・・CMSレジスト、25,35.45・・・レジス
ト、36・・・気体進入防止膜、71・・・反り検出回
路、72・・・応力変換回路、73・・・イオン注入量
制御回路。 1゜ ドーズ量(10” 1onc/cm2) 第2 図 #圧力 (mTorr) 0 0 #圧力 (mTorr ) Ar尺か (mTorr ) 第5 図 第6 図(′−fの1) 第6 図(イの2) 時間 ( 運 ) 第7 図 第8 図 第9 図 第11図 第12図 第13図 手続辛rt3正書 (方式) %式% 光明の名称 X線マスクの製造方法および薄膜の内部応力制御装置′
う ?l(+己をする者 車外との関係 (307)株式会社

Claims (13)

    【特許請求の範囲】
  1. (1)マスク支持体上にX線透過性薄膜を形成するX線
    透過性薄膜形成工程と、 前記X線透過性薄膜上にX線吸収体薄膜を 形成するX線吸収体薄膜形成工程と、 前記X線吸収体薄膜を所望の形状にパター ニングするX線吸収体薄膜パターン形成工程とを含むX
    線マスクの製造方法において、 前記X線吸収体薄膜パターン形成工程に先 立ち、該X線吸収体薄膜にネオンよりも原子番号の大き
    い不活性元素の少なくとも1種をイオン注入するイオン
    注入工程を含むようにしたことを特徴とするX線マスク
    の製造方法。
  2. (2)前記イオン注入工程は、Ar、Kr、Xeの少な
    くとも一種をイオン種として用いた工程であることを特
    徴とする請求項(1)記載のX線マスクの製造方法。
  3. (3)前記X線吸収体薄膜パターン形成工程後、前記X
    線吸収体薄膜パターンに斜めイオン 注入を行う第2のイオン注入工程を含むようにしたこと
    を特徴とする請求項(1)記載のX線マスクの製造方法
  4. (4)前記X線吸収体薄膜パターン形成工程は、反応性
    プラズマエッチング方法により、所望の形状にパターニ
    ングすると共に、表面に気体進入防止膜を形成する工程
    であることを特徴とする請求項(1)記載のX線マスク
    の製造方法。
  5. (5)前記X線吸収体薄膜パターン形成工程後、前記X
    線吸収体薄膜パターン表面および側 壁にプラズマドーピングを行うプラズマドーピング工程
    を含むようにしたことを特徴とする請求項(1)記載の
    X線マスクの製造方法。
  6. (6)透光性の支持体上にX線吸収体薄膜を形成するX
    線吸収体薄膜形成工程と、 前記X線吸収体薄膜を所望の形状にパター ニングするX線吸収体膜パターン形成工程と、前記X線
    吸収体薄膜パターンに斜めイオン 注入を行いX線吸収体膜パターン表面および側壁にイオ
    ンを注入するイオン注入工程を含むようにしたことを特
    徴とするX線マスクの製造方法。
  7. (7)前記イオン注入工程は、He、Ne、Ar、Kr
    、Xeの少なくとも一種をイオン種として用いた工程で
    あることを特徴とする請求項(8)記載のX線マスクの
    製造方法。
  8. (8)前記イオン注入工程は、前記X線吸収体薄膜と同
    一物質をイオン種として用いた工程であることを特徴と
    する請求項(6)記載のX線マスクの製造方法。
  9. (9)前記X線吸収体膜パターン形成工程は、X線吸収
    体薄膜を反応性プラズマエッチン グ方法により、所望の形状にパターニングすると共に、
    表面に気体進入防止膜を形成する工程であることを特徴
    とする請求項(6)記載のX線マスクの製造方法。
  10. (10)前記X線吸収体薄膜パターン形成工程後、前記
    X線吸収体薄膜パターン表面および側 壁にプラズマドーピングを行うプラズマドーピング工程
    を含むようにしたことを特徴とする請求項(6)記載の
    X線マスクの製造方法。
  11. (11)マスク支持体上にX線透過性薄膜を形成し、該
    X線透過性薄膜上にX線吸収体薄膜を形成し、該X線吸
    収体薄膜をパターニングしてX線マスクを製造するX線
    マスクの製造方法において、前記X線吸収体薄膜をパタ
    ーニングするX 線吸収体薄膜パターン形成工程に先立ち、 該X線吸収体薄膜にネオンよりも原子番号 の大きい不活性元素の少なくとも1種をイオン注入して
    該X線吸収体薄膜に2×10^8dyn/cm^2以下
    の圧縮応力を持たせ、パターニング後の該X線吸収体薄
    膜の最終的な内部応力を1×10^8dyn/cm^2
    以下に設定するようにしたことを特徴とするX線マスク
    の製造方法。
  12. (12)マスク支持体上にX線透過性薄膜を形成し、該
    X線透過性薄膜上にX線吸収体薄膜を形成し、該X線吸
    収体薄膜をパターニングしてX線マスクを製造するX線
    マスクの製造方法において、前記X線吸収体薄膜をパタ
    ーニングするX 線吸収体薄膜パターン形成工程に先立ち、 前記X線吸収体薄膜の内部応力を測定し、 測定された内部応力に応じてイオン注入量を制御つつ、
    前記X線吸収体薄膜にネオンよりも原子番号の大きい不
    活性元素の少なくとも1種をイオン注入して該X線吸収
    体薄膜の内部応力を低減させ、該X線吸収体薄膜の内部
    応力を所定値に制御するようにしたことを特徴とするX
    線マスクの製造方法。
  13. (13)基板上に形成された薄膜に不純物イオンを注入
    して該薄膜の内部応力を所定の値に制御する薄膜の内部
    応力制御装置において、 前記薄膜の内部応力を検出する内部応力検 出手段と、 前記内部応力検出手段により求められた内 部応力に応じて前記薄膜に対するイオン注入量を制御す
    るイオン注入量制御手段とを具備してなることを特徴と
    する薄膜の内部応力制御装置。
JP30419289A 1989-03-18 1989-11-22 X線マスクの製造方法および薄膜の内部応力制御装置 Expired - Fee Related JP2823276B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP30419289A JP2823276B2 (ja) 1989-03-18 1989-11-22 X線マスクの製造方法および薄膜の内部応力制御装置
DE69031576T DE69031576T2 (de) 1989-03-18 1990-03-16 Herstellungsverfahren für Röntgenstrahl-Belichtungsmaske und Vorrichtung zur Spannungsmessung dünner Schichten
US07/494,397 US5188706A (en) 1989-03-18 1990-03-16 Method of manufacturing an x-ray exposure mask and device for controlling the internal stress of thin films
EP90302859A EP0389198B1 (en) 1989-03-18 1990-03-16 Method of manufacturing an X-ray exposure mask and device for controlling the internal stress of thin films

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP6709289 1989-03-18
JP1-67092 1989-03-18
JP30419289A JP2823276B2 (ja) 1989-03-18 1989-11-22 X線マスクの製造方法および薄膜の内部応力制御装置

Publications (2)

Publication Number Publication Date
JPH0334312A true JPH0334312A (ja) 1991-02-14
JP2823276B2 JP2823276B2 (ja) 1998-11-11

Family

ID=26408279

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30419289A Expired - Fee Related JP2823276B2 (ja) 1989-03-18 1989-11-22 X線マスクの製造方法および薄膜の内部応力制御装置

Country Status (4)

Country Link
US (1) US5188706A (ja)
EP (1) EP0389198B1 (ja)
JP (1) JP2823276B2 (ja)
DE (1) DE69031576T2 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0697051A (ja) * 1992-03-13 1994-04-08 American Teleph & Telegr Co <Att> デバイス作製方法および導電膜の共鳴周波数測定装置
KR980003886A (ko) * 1996-06-29 1998-03-30 김주용 반도체 소자의 패턴 형성방법
US6544698B1 (en) 2001-06-27 2003-04-08 University Of South Florida Maskless 2-D and 3-D pattern generation photolithography
US6764796B2 (en) 2001-06-27 2004-07-20 University Of South Florida Maskless photolithography using plasma displays
US6998219B2 (en) 2001-06-27 2006-02-14 University Of South Florida Maskless photolithography for etching and deposition
US7271877B2 (en) 2001-06-27 2007-09-18 University Of South Florida Method and apparatus for maskless photolithography
US7468238B2 (en) 2001-06-27 2008-12-23 University Of South Florida Maskless photolithography for using photoreactive agents
JP2009086287A (ja) * 2007-09-28 2009-04-23 Univ Nagoya 反射鏡、その製造方法、そのクリーニング方法及び光源装置

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5196283A (en) * 1989-03-09 1993-03-23 Canon Kabushiki Kaisha X-ray mask structure, and x-ray exposure process
EP0456479B1 (en) * 1990-05-09 2001-01-31 Canon Kabushiki Kaisha Pattern forming process and process for preparing semiconductor device utilizing said pattern forming process
US5342481A (en) * 1991-02-15 1994-08-30 Sony Corporation Dry etching method
US6714625B1 (en) * 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
JPH05335216A (ja) * 1992-05-29 1993-12-17 Fujitsu Ltd X線マスク及びその製造方法
JPH05343299A (ja) * 1992-06-08 1993-12-24 Mitsubishi Electric Corp X線マスク及びx線マスクの製造方法
US5314768A (en) * 1993-03-19 1994-05-24 National Semiconductor Corporation Thin film mask for use in an x-ray lithographic process and its method of manufacture by forming opaque pattern of ions in a diamond layer
US5464711A (en) * 1994-08-01 1995-11-07 Motorola Inc. Process for fabricating an X-ray absorbing mask
US5733688A (en) * 1994-12-14 1998-03-31 Canon Kabushiki Kaisha Lithographic mask structure and method of producing the same comprising W and molybdenum alloy absorber
US5677090A (en) * 1995-02-23 1997-10-14 Mitsubishi Denki Kabushiki Kaisha Method of making X-ray mask having reduced stress
JP2737764B2 (ja) * 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
US5620573A (en) * 1995-04-28 1997-04-15 Lucent Technologies Inc. Reduced stress tungsten deposition
US5757879A (en) * 1995-06-07 1998-05-26 International Business Machines Corporation Tungsten absorber for x-ray mask
US6066418A (en) * 1996-07-10 2000-05-23 Nec Corporation X-ray mask and fabrication process therefor
US6368752B1 (en) * 1996-10-29 2002-04-09 Motorola, Inc. Low stress hard mask formation method during refractory radiation mask fabrication
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
JPH1138192A (ja) * 1997-07-17 1999-02-12 Nikon Corp 多層膜反射鏡
JPH11274067A (ja) * 1998-01-21 1999-10-08 Mitsubishi Electric Corp X線マスクの応力調整方法
KR100447993B1 (ko) * 1998-12-28 2004-10-14 주식회사 하이닉스반도체 셀 투사 마스크_
US7245018B1 (en) * 1999-06-22 2007-07-17 Semiconductor Energy Laboratory Co., Ltd. Wiring material, semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof
US6661096B1 (en) * 1999-06-29 2003-12-09 Semiconductor Energy Laboratory Co., Ltd. Wiring material semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof
US6410912B1 (en) 1999-11-15 2002-06-25 Sarnoff Corporation Method for reducing variations in arrays of micro-machined cantilever structures using ion implantation
WO2001036319A1 (en) * 1999-11-15 2001-05-25 Sarnoff Corporation Method for reducing variations in arrays of micro-machined cantilever structures using ion implantation
JP4831885B2 (ja) 2001-04-27 2011-12-07 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7402897B2 (en) * 2002-08-08 2008-07-22 Elm Technology Corporation Vertical system integration
JP4220229B2 (ja) * 2002-12-16 2009-02-04 大日本印刷株式会社 荷電粒子線露光用マスクブランクスおよび荷電粒子線露光用マスクの製造方法
US7261919B2 (en) * 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US7945086B2 (en) * 2006-01-12 2011-05-17 Kla-Tencor Technologies Corporation Tungsten plug deposition quality evaluation method by EBACE technology
US7879730B2 (en) * 2006-01-12 2011-02-01 Kla-Tencor Technologies Corporation Etch selectivity enhancement in electron beam activated chemical etch
US7709792B2 (en) * 2006-01-12 2010-05-04 Kla-Tencor Technologies Corporation Three-dimensional imaging using electron beam activated chemical etch
US8052885B2 (en) * 2006-01-12 2011-11-08 Kla-Tencor Corporation Structural modification using electron beam activated chemical etch
WO2007100933A2 (en) * 2006-01-12 2007-09-07 Kla Tencor Technologies Corporation Etch selectivity enhancement, deposition quality evaluation, structural modification and three-dimensional imaging using electron beam activated chemical etch
JP5205042B2 (ja) * 2006-12-20 2013-06-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
US20080242072A1 (en) * 2007-03-26 2008-10-02 Texas Instruments Incorporated Plasma dry etch process for metal-containing gates
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) * 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
FR3048292B1 (fr) * 2016-02-25 2018-03-30 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de lithographie electronique avec ecrantage electrostatique
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4093503A (en) * 1977-03-07 1978-06-06 International Business Machines Corporation Method for fabricating ultra-narrow metallic lines
US4515876A (en) * 1982-07-17 1985-05-07 Nippon Telegraph & Telephone Public Corp. X-Ray lithography mask and method for fabricating the same
JPS6195573A (ja) * 1984-10-16 1986-05-14 Nec Corp ゲ−ト電極薄膜形成法
JPS6398124A (ja) * 1986-10-15 1988-04-28 Hitachi Ltd 半導体装置の製造方法
DE3705993C1 (en) * 1987-02-20 1988-05-05 Fraunhofer Ges Forschung Method of compensating for absorber-induced distortions on X-ray masks
JPS6376325A (ja) * 1987-06-30 1988-04-06 Agency Of Ind Science & Technol X線リソグラフィ−用マスクのx線吸収体膜
US4842676A (en) * 1987-07-16 1989-06-27 Texas Instruments Incorporated Process for etch of tungsten
DE3729432A1 (de) * 1987-09-03 1989-03-16 Philips Patentverwaltung Verfahren zur herstellung einer maske fuer strahlungslithographie
US4915746A (en) * 1988-08-15 1990-04-10 Welsch Gerhard E Method of forming high temperature barriers in structural metals to make such metals creep resistant at high homologous temperatures
US5005075A (en) * 1989-01-31 1991-04-02 Hoya Corporation X-ray mask and method of manufacturing an X-ray mask

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0697051A (ja) * 1992-03-13 1994-04-08 American Teleph & Telegr Co <Att> デバイス作製方法および導電膜の共鳴周波数測定装置
JP2509067B2 (ja) * 1992-03-13 1996-06-19 エイ・ティ・アンド・ティ・コーポレーション デバイス作製方法および導電膜の共鳴周波数測定装置
KR980003886A (ko) * 1996-06-29 1998-03-30 김주용 반도체 소자의 패턴 형성방법
US6544698B1 (en) 2001-06-27 2003-04-08 University Of South Florida Maskless 2-D and 3-D pattern generation photolithography
US6764796B2 (en) 2001-06-27 2004-07-20 University Of South Florida Maskless photolithography using plasma displays
US6998219B2 (en) 2001-06-27 2006-02-14 University Of South Florida Maskless photolithography for etching and deposition
US7271877B2 (en) 2001-06-27 2007-09-18 University Of South Florida Method and apparatus for maskless photolithography
US7468238B2 (en) 2001-06-27 2008-12-23 University Of South Florida Maskless photolithography for using photoreactive agents
US7573561B2 (en) 2001-06-27 2009-08-11 University Of South Florida Method and apparatus for maskless photolithography
US7572573B2 (en) 2001-06-27 2009-08-11 University Of South Florida Maskless photolithography for etching and deposition
JP2009086287A (ja) * 2007-09-28 2009-04-23 Univ Nagoya 反射鏡、その製造方法、そのクリーニング方法及び光源装置

Also Published As

Publication number Publication date
JP2823276B2 (ja) 1998-11-11
EP0389198A3 (en) 1991-07-03
US5188706A (en) 1993-02-23
EP0389198B1 (en) 1997-10-15
DE69031576D1 (de) 1997-11-20
EP0389198A2 (en) 1990-09-26
DE69031576T2 (de) 1998-04-23

Similar Documents

Publication Publication Date Title
JPH0334312A (ja) X線マスクの製造方法および薄膜の内部応力制御装置
US5464711A (en) Process for fabricating an X-ray absorbing mask
KR102482649B1 (ko) 극자외선 리소그라피용 펠리클의 제조방법
US5291536A (en) X-ray mask, method for fabricating the same, and pattern formation method
KR102665789B1 (ko) 마스크 블랭크, 위상 시프트 마스크, 및 반도체 디바이스의 제조 방법
JPH0557729B2 (ja)
US6749968B2 (en) Method for fabricating a thin-membrane stencil mask and method for making a semiconductor device using the same
JP3077393B2 (ja) X線露光用マスク
JP2004179411A (ja) メンブレンマスク及び露光方法
JP4027458B2 (ja) X線マスクブランク及びその製造方法並びにx線マスクの製造方法
JP3119237B2 (ja) X線マスクとその製造方法及び半導体デバイスとその製造方法
JPH10161300A (ja) X線マスクブランク、x線マスク及びパターン転写方法
JP3866912B2 (ja) リソグラフィ用マスク基板およびその製造方法
JPH03173116A (ja) X線マスクおよびその製造方法
JPH10135130A (ja) X線マスクブランク及びその製造方法と,x線マスク
JP3411413B2 (ja) X線マスクの製造方法
JPH09306812A (ja) X線マスクの製造方法
JP2543927B2 (ja) X線マスクの製造方法
JP2000150364A (ja) X線マスクブランク及びその製造方法、並びにx線マスク及びその製造方法
JP2000091197A (ja) X線マスク製造装置およびx線マスク製造方法
JPH0458171B2 (ja)
JPH02503239A (ja) アモルファス/単結晶構造を有するモノリシックチャンネルマスク
JPH04137718A (ja) X線マスクの製造方法
JP2005039082A (ja) マスクブランクス及びステンシルマスク及びその製造方法及びその露光方法
JPH08264419A (ja) X線マスクの製造方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees