JPH03253108A - ダイレクト・デジタル・シンセサイザー及び信号発生方法 - Google Patents

ダイレクト・デジタル・シンセサイザー及び信号発生方法

Info

Publication number
JPH03253108A
JPH03253108A JP2310146A JP31014690A JPH03253108A JP H03253108 A JPH03253108 A JP H03253108A JP 2310146 A JP2310146 A JP 2310146A JP 31014690 A JP31014690 A JP 31014690A JP H03253108 A JPH03253108 A JP H03253108A
Authority
JP
Japan
Prior art keywords
phase
value
waveform
digital synthesizer
direct digital
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2310146A
Other languages
English (en)
Inventor
Tzafrir Sheffer
ツアフリール・シエフア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fluke Corp
Original Assignee
John Fluke Manufacturing Co Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by John Fluke Manufacturing Co Inc filed Critical John Fluke Manufacturing Co Inc
Publication of JPH03253108A publication Critical patent/JPH03253108A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/02Digital function generators
    • G06F1/03Digital function generators working, at least partly, by table look-up
    • G06F1/0321Waveform generators, i.e. devices for generating periodical functions of time, e.g. direct digital synthesizers
    • G06F1/0328Waveform generators, i.e. devices for generating periodical functions of time, e.g. direct digital synthesizers in which the phase increment is adjustable, e.g. by using an adder-accumulator

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [産業上の利用分野コ 本発明は、構成が複雑で動作が低速な位相アキュムレー
タを必要とせずに、同調チャンネルの数ヲ非常に多く得
られるダイレクト・デジタル・シンセサイザー及び信号
発生方法に関する。
[従来の技術] ダイレクト・デジタル・シンセサイザーは、波形ルック
アップ・テーブル(LUT)、即ち、メモリに蓄積され
た瞬時値から周期的波形を発生する電子装置である。こ
の波形ルックアップ・テーブルのアドレスは、位相増分
値だけ連続的に増分された位相数(位相値)を表し、こ
のアドレスをアクセスして瞬時値を出力する。典型的な
ダイレクト・デジタル・シンセサイザーにおいては、位
相ルックアップ・テーブルを繰り返しアドレス指定する
のに用いる位相アキュムレータは、位相増分値をそのア
キュムレーション(累積)値に加算して、連続的なアド
レスを発生する。位相増分値が小さい場合、所定の出力
周期期間中にルックアップ・テーブルから多くのサンプ
ル値を得、出力周波数が低くなる。位相増分値が大きい
場合、周波数が高くなる。波形の完全な1サイクルが波
形ルックアップ・テーブルからアクセスされた後、この
プロセスを繰り返えす。また、デジタル的に蓄積された
サンプル値(瞬時値)を読み出した後、デジタル・アナ
ログ変換器に供給して、所望のアナログ波形出力を発生
する。
第2図を参照して、従来のダイレクト・デジタル・シン
セサイザーの構成及び動作を説明する。
位相アキュムレータは、2進加算器10と、この加算器
の出力信号(並列nビット)を受け、クロック・パルス
CLK (周波数fc)が発生する毎にこの出力信号を
蓄積するレジスタ12とから構成される。レジスタ12
の出力信号は、加算器lOの入力端子Bに帰還する。ま
た、この加算器100入力端子Aには、整数の位相増分
数N(nビットの並列信号)が供給される。整数の位相
増分値Nは、所定周波数の周期的出力信号を発生するよ
うに、回路手段(図示せず)により選択される。
クロック・パルスが発生する毎に、加算器10の出力信
号をレジスタ12に入力し、Nを新たなレジスタ内容に
加算するので、クロック・パルスの次の発生において、
Nだけ増分した新たな数がレジスタ12に蓄積される。
レジスタ12内に蓄積された位相数(位相値)を用いて
、ルックアップ・テーブル(LUT)14をアドレス指
定する。このルックアップ・テーブル14は、発生した
い波形の瞬時振幅値を蓄積した従来のメモリ・アレイに
より適切に構成されている。よって、波形ルックアップ
・テーブル14は、(その位相軸に沿って)わずかずつ
離間したX軸値に対する正弦波の連続した振幅値を蓄積
している。 なお、このX軸値は、振幅をアドレス指定
するのに用いる。レジスタ12からの位相数が大きく増
分すると(Nが大きいと)、波形ル・ツクアップ・テー
ブル14内で多くのアドレスを飛ばし、この波形ルック
アップ・テーブル14からの出力信号は、比較的高い周
波数となる。一方、レジスタ12内の位相数が小さなス
テップずつ増分して(Nが小さい)、波形ルックアップ
・テーブル14をほぼ連続的に総べてのアドレスを指定
すると、得られる出力信号は、比較的低周波数の波形で
ある。
波形ルックアップ・テーブル14の出力信号(並列mビ
ット)は、連続したデジタル数で構成されており、クロ
ック・パルス(fc )が発生する毎に出力レジスタ1
6に入力(蓄積)される。デジタル・アナログ(D/A
)変換器18は、レジスタ16からのデジタル振幅値(
並列mビット)を周波数foのろ波された振幅値に変換
する。
以下の式は、第2図のダイレクト・デジタル。
シンセサイザー回路の動作を数式で示す。ダイレクト・
デジタル・シンセサイザーの出力周波数は、fo = 
fc  (N/2’)          (1)とな
る。なお、foは、ダイレクト・デジタル・シンセサイ
ザーの出力周波数であり、feは、クロック周波数であ
り、nは、2進ビツトにおける位相アキュムレータのサ
イズ(位相アキュムレータの出力ビツト数であり、2n
が出力する波形の1サイクルに相当する波形LUTのア
ドレス数に対応する)であり、Nは、整数位相増分値(
0≦N<2’)である。ダイレクト・デジタル・シンセ
サイザーの周波数分解能、即ち、チャンネル間隔(周波
数fcのクロック信号で、出力波形の1サイクル分の全
瞬時値を読み出したときの出力信号の周波数に相当)は
、次式のようになる。
fr = fc /2’  (Hz/count)  
   (2)有効な同調チャンネルの数(出力周波数の
種類の数に相当)nfは、f c / 2のナイキスト
周波数より低い独立に同調可能な周波数の総合数であり
、次のようになる。
nf=2°−’ −1(3) 第2図の回路に対して一般的に用いる同調手順では、何
らかの計算手段により所望精度の位相増分値N′を計算
し、これに最も近い整数値(切り捨て)Nを第2図の加
算器10の入力信号として発生する。この手順により、
非対称周波数設定エラーは、f c / 2 ’以上に
なる。位相アキュムレータの有限サイズによるこのエラ
ーを最小にするには、計算した実際の位相増分値N′を
最も近い整数値Nに丸め、位相アキュムレータに供給す
る。
この処理により、エラーは±f c / 2 ”’ と
なる。
いずれにしても、式(3)より、同調可能な周波数ノ数
は、アキュムレータのサイズにより制限されることが判
る。
[発明が解決しようとする課題] 上述の如く、ダイレクト・デジタル・シンセサイザーは
、その同調チャンネルの最大値が、波形ルックアップ・
テーブルをアドレス指定するのに用いるアキュムレータ
のサイズ(出力ビツト数)により制限される。アキュム
レータのサイズを大きくすると、チャンネル間隔が減り
、可能な同調チャンネル数が増える(すなわち、周波数
分解能が高くなる)。しかし、回路が複雑となり、回路
が動作できる周波数が低くなる。この周波数の問題は、
アキュムレータの一部を構成する大形加算回路と関連し
た長いキャリー伝搬チェーンの影響による。
従来のダイレクト・デジタル・シンセサイザーの一例は
、ジャクソンの米国特許第3735269号に開示され
ている。10進を基準とした周波数ステップでの合成に
用いるこの従来装置においては、2進コード化10進(
BCD)加算器により位相を累積している。しかし、残
念なことに、BCD演算に関連した大きな遅延がある。
他の従来例は、タザフリア・シェファ及びエリツク・ド
ラカーによる米国特許出願第1.232388−Wrコ
ヒレント・ダイレクト・デジタル・シンセサイザー」で
ある。この出願は、2進位相アキュムレータを基にした
位相ロック回路に関するものである。このアプローチは
、コヒレントな出力信号を発生するが、低域通過フィル
タ及び電圧制御発振器にアナログ部品を必要とするので
、この回路は、構成が一層複雑になると共に、デジタル
ICに一体化できない。
したがって、本発明の目的の1つは、複雑で低速の位相
アキュムレータを必要とせず、また、従来のシンセサイ
ザーと比較して同調チャンネルの数が増加した改良され
たダイレクト・デジタル・シンセサイザーの提供にある
本発明の他の目的は、動作が高速で、実施するのが複雑
でない改良された高分解能ダイレクト・デジタル・シン
セサイザーの提供にある。
本発明の更に他の目的は、デュテイ・サイクルを基本と
して、整数を増分値に加算することにより、この増分値
の小数部分をシミュレーションするダイレクト・デジタ
ル・シンセサイザーであって、この増分値を入力信号と
して受ける位相アキュムレータを有する改良されたダイ
レクト・デジタル・シンセサイザーの提供にある。
本発明の他の目的は、簡単な構成で、はぼコヒレントな
出力信号を発生する改良された高分解能ダイレクト・デ
ジタル・シンセサイザーの提供にある。
本発明の他の目的は、メモリに蓄積された波形ルックア
ップ値から周期的出力信号を発生する方法の提供にある
[課題を解決するための手段及び作用]本発明によれば
、波形ルックアップ・テーブルを位相アキュムレータで
アクセスするが、この位相アキュムレータは、前の累積
値に連続的に加算される増分値に応答して、波形ルック
アップ・テーブルをアドレス指定する。また、この増分
値は、第1及び第2期間中にアキュムレータに夫々供給
される第1整数増分値及び第2整数増分値から構成され
ている。第1増分値が出力される期間、及び第2増分値
が出力される期間は、互いに調和しており、小さな増分
値に対しては所望の分数増分となる。位相アキュムレー
タは、それ程複雑でないので、高速で動作できる一方、
通常なら複雑なアキュムレータで達成する非常に多くの
同調チャンネルを得ることができる。分解能を改善した
ことにより、通常使用する10進を基本とした周波数基
準信号源を用いて、高精度の10進を基本とした周波数
ステップを合成できる。
本発明の特定実施例によれば、加算器及びレジスタから
構成された位相アキュムレータを用いて、波形ルックア
ップ・テーブルをアドレス指定する。
比例重み付け回路は、増分値に1を周期的に加算するこ
とにより、位相アキュムレータに周期的に供給する位相
増分値を変化させる。デュテイ・サイクルは、整数lを
加算しない期間と、この整数lを増分値に加算する期間
とから決まり、増分値への所望分数加算に影響する。
なお、本発明の要旨は、特に、特許請求の範囲に指摘さ
れている。しかし、本発明のその他の利点及び目的と、
構成及び動作方法は、添付図を参照した以下の説明から
理解できよう。なお、添付図において、同じ参照番号は
、同じ素子を示す。
[実施例] 本発明によれば、ダイレクト・デジタル・シンセサイザ
ーの周波数チャンネル数は、アキュムレータのサイズを
増やすことなく大幅に増加できるので、アキュムレータ
が複雑で低速であるという欠点を避けられる。第1図は
、本発明の第1実施例による高分解能ダイレクト・デジ
タル・シンセサイザーのブロック図である。なお、第2
図と対応する素子は、対応する参照番号で示している。
比例重み付け回路20を付加して、位相アキュムレータ
に新たな入力信号を供給している。第2図の従来例のよ
うに、整数の位相増分値Nを加算器10に供給する。こ
の加算器10は、レジスタ12内の総数値をNだけ繰り
返し増分する。しかし、基本的には、Nの値が周期的に
変化するので、ある期間には、レジスタ12の総合数が
Nではなく、N+1だけ増分する。このプラスlの付加
的な加算は、第1図の実施例に別の回路を付加すること
なく、位相アキュムレータの加算器10を用いると共に
、そのキャリー入力端子に周期的に入力信号を供給する
ことにより実現できる。この付加的な「1」入力信号は
、比例重み付け回路20の重み出力端子から供給する。
重み付け回路20は、基本的には、クロック・パルス(
fc)を計数し、クロック・パルスの第1所定数の間、
通常の如く重みを下げる一方、クロック・パルスの第2
所定数の間、重みを上げる。
重み出力がキャリー信号として加算器10に供給される
までの時間の割合は、重み付け回路20に入力信号とし
て供給される制御数「D」により決まる。(しかし、重
みが上がらないように、制御数を選択できる。第7図参
照。) よって、重み付け回路は、N及びN+1の2つの整数位
相増分値の出力を容易に時間に比例させる。出力する第
1整数値Nは、計算した位相増分N′の切り捨てられた
部分か、自然数部分であり、第2整数値N+1は、第1
整数値より1だけ大きい。これら異なる期間に対する2
つの整数位相増分値を適用するために、計算した位相増
分値N′の分数部分をシミュレーションするので、加算
器10が非常な大形である必要がなく(加算器の扱う信
号のビット数が非常に多くする必要がなく)、非常に正
確な位相増分を達成できる。
第1図の回路において、入力信号りは、所望の小数位相
累積値と比例関係にあり、出力信号を制御する。なお、
この出力信号は、N+1を必要とする期間中に1に設定
されるが、Nを必要とする期間中にはOに設定される。
もちろん、連続して発生するクロック信号(fc)に応
答して、このシーケンスは繰り返される。この方法にお
いては、整数位相増分Nは、制御数りが指示するデュテ
イ・サイクルに応じて変調されることになる。
第3図に示す本発明の第2実施例によれば、上述の整数
位相増分を変調する機能を、第2加算器22を設けて達
成している。この第2加算器の出力信号は、加算器10
のA入力端子に供給する。
加算器22は、整数位相増分値Nと、重み付け回路20
の重み出力信号とを夫々受ける。デジタルFMを必要と
するアプリケーションにおいては、この第2加算器を設
けることによって、回路が複雑になることがない。これ
は、この加算器が、FM機能を達成するのに必要なため
である。
第1図の回路実施例や、第3図の回路実施例の場合、同
調周波数の数は、切り捨てられた整数位相増分値Nのみ
により選択可能な数よりも大幅に増加していることが判
る。増加した同調周波数の数は、整数位相増分Nのみに
よる選択可能な数と、重み付け回路20の精度又は分解
能とを乗算した数となる。なお、rkJは、制御数りの
ビット数テする。新たに増えた2に個のチャンネルは、
Nの近似値により決まるチャンネルの間に加わる。
次に、数式関係を用いて、本発明の動作をより詳細に説
明する。所定出力周波数foに対して、所望位相増分値
N′を得るには、NをN“に置換して、周波数式(1)
を解く。
N’ = fo 2’ /fc          (
4)なお、N′は、正の位相増分値である。
本発明によれば、NをN′の整数部分に設定し、Poを
N′の小数部分に割り当てるので、N′は、N及びPo
の重み付けした関数である。
N’=N (1−P’)+ (N+1)P’   (5
)ダイレクト・デジタル・シンセサイザーを高精度に設
定するには、出力周波数1−Poは、値Nが出力されて
いる期間中の時間に比例し、Poは、N+1が出力され
ている時間に比例する。比例重み付け回路20を考察す
れば、そのディスクリートな特性により、この回路は、
その数値分解能(k)の限界内、又は0及び2に−1の
間の整数値の範囲内で、整数値のみに適応できる。よっ
て、実際の小数P′を次式の範囲内の整数重み付け係数
Pに縮尺(スケール変更)するのは容易である。
P≦P’  2k             (6)な
お、kは、重み付け回路のビットの分解能又はサイズに
関連した整数である。Pは、丸め、切り捨てた整数値で
あるので、式(6)は近似である。
この整数値を用いて、比例重み付け回路を設定し、0.
1、・・・・ 2に−1の値を引き受けることができる
実際の位相増分用に変更した式は、上述の縮尺関数を用
いて、次のように書き換えることができる。
N’= N (1−P/2K) +(N+l) P/2K(7) この場合、回路の特定実施例では、PがOでは、位相増
分値は常にNに等しく、Pが2に−1では、デュテイ・
サイクルが50%の周期で、N及びN+1を交互に採用
する。N’  =N+P/2’は、N′の近似である。
これは、その精度がPにより制限されるためであり、そ
の精度は、 ±172 ′に+11に拘束される。
本発明による回路の変調した出力周波数は、次式のよう
になる。
fo=fc(N+(P/2k))/2’   (8)本
発明によるダイレクト・デジタル・シンセサイザーの周
波数分解能は、変数Pに対して出力周波数の導関数とし
て表せる。
d fo/dP=d/dP (fc(N+ (P/2k
))/2’) d f o/ d P = f c/ 2 ”+に’ 
 (Hz/count)(9) 従来の位相アキュムレータと比較すると、本発明による
回路は、同調チャンネルが2に倍となる。
さらに、ナイキスト周波数(fo<fc/2)未満の独
立した同調周波数の総数である同調チャンネルの数nf
は、次式のようになる。
nf =2n′1に−1−1(10) 重み付け回路の出力信号(重み信号)は、この回路の分
解能(サイズ)及びクロック・レートに関連したアップ
デート・レートにより周期的であるので、このアップデ
ート・レートは、次式で示すfuよりも大きくなる。
fu=fc/2’           (11)比例
重み付け回路20を制御して、Pに比例するプログラマ
ブル2進出力信号を発生するので、この出力信号は、P
/2にの間、高ロジック・レベルであり、残りの期間、
低ロジック・レベルである。さらに、この回路の出力信
号は、アップデート周期の1/25の分数増分において
制御可能であり、2にの異なったパルス幅又は異なるデ
ュテイ・サイクルを与える。例えば、k=4に対して、
出力信号は、アップデート期間の0/16から15/1
6の範囲、即ち、PがOから15の範囲において、高ロ
ジック・レベルに設定できる。
本発明による重み付け回路の例を第4図に示す。
図示及び説明を簡単にするために、4ビット分解能(k
=4)の比較的小形の(ビット数の小さい)回路を用い
るが、分解能は任意であり、図示の回路を単に拡張した
高分解能の大形回路が実際的であることが理解できよう
第4図において、74HC393型集積回路でもよい第
1の4ビツト2進カウンタ24は、位相反転器(インバ
ータ)26を介してクロック・パルスCLK(fc)を
受け、このクロック・パルスを計数する。この2進カウ
ンタの4個のQ出力端子は、ナンド・ゲート28の入力
信号を発生する。「ロード」と示したこのゲート28の
出力信号を、第2の4ビツト2進カウンタ30の並列デ
ータ・ロード端子LDに供給する。このカウンタ30は
、74HC161型集積回路で構成してもよく、また、
クロック信号(fc)を受ける。制御数りを、このカウ
ンタ30の並列データ入力端子D 3−0に供給する。
このカウンタ30のリップル・キャリー出力端子RCは
、第1図又は第3図の加算器10又は22に供給する重
み付け回路の重み出力信号を発生する。また、この端子
RCは、コノカウンタ30のP入力端子にも接続されて
、このカウンタに計数を停止させる。
次に、第4図の回路の動作を説明する。クロック信号(
fc)の各正方向エツジにおいて、第1カウンタ24は
、その計数を増分してその出力状態を変化させ、モジュ
ロ16シーケンス(oll、2・・・14.15.0.
1・・・)で、0から15までカウント・アップする。
状態15に達すると、第1カウンタ24は、ナンド・ゲ
ート28の全入力端子に出力信号を与えて、同期並列デ
ータ・ロード(ロード)信号をカウンタ30に供給する
。よって、このカウンタ30は、クロック信号の次の正
方向エツジにて比例重み値(制御数D)をロードする。
そして、カウンタ30は、出力された状態りからその最
大係数値2”−1=15までカウント・アップする。カ
ウンタ30がこの計数値に達すると、そのキャリー・ビ
ット(重み)信号を出力する。次のロード信号が上述の
如く発生するまで、この重み信号は、インバータ32を
介して、カウンタ30の計数を停止させる。この回路は
、このシーケンスを繰り返し、位相アキュムレータが整
数位相増分値としてN+1及びNを交互に与えるように
する。
次に第5〜第9図のタイミング図を参照する。
カウンタ30のキャリー・ビット(重み)信号を第1図
の加算器10のキャリー入力端子C又は第3図の加算器
22の入力端子Aに直接入力する点に留意されたい。こ
の実施例において、カウンタ30のキャリー出カライン
を反転して、P=0値を遠戚している。さらに、P及び
Dの関係を、第5〜第9図のタイミング図及び以下に示
す表1に示す如く、P=OS D=15又はD=15−
Pとなるようにする。この表は、位相アキュムレータが
、整数位相増分Nに対して整数位相増分N+1を累積す
る期間の部分、即ち「デュテイ・サイクル」を示す。
表1 (デュテイ・サイクルの表) D比 5/1 4/1 3/1 2/1 1/1 0/1 9/1 8/1 8    1    7/l 6 9           6/16 10    1    5/16 1 1      l      4/l  612 
   1    3/16 13      l      2/1614    
  l      1/1615     10/16 D=1 6−  (1+P) 第5図のタイミング図において、この状態を示すが、制
御数りは0であり、重み(比)は15716である。よ
って、15/16の期間、即ち、16クロツク・パルス
の15までの期間、キャリー人力を第1図の加算器io
に供給するか、加算器22が付加的な1を第3図の回路
に供給する。
第6〜第9図の連続するタイミング図は、Dが1115
.14及び13に夫々等しいときの対応関係を表す。
図示した回路例において得られる利点としては、従来の
アキュムレータのみを用いる場合に比較して、同調チャ
ンネルの数が16倍に増えることである。付加された位
相ノイズにより、位相増分が前後にシフトするので、従
来のダイレクト・デジタル・シンセサイザーに比較して
、最少の指示を行う。本発明による重み付け回路及び1
0MHzのクロック周波数による28ビット位相アキュ
ムレータでは、重み付け回路の最大アップデート・レー
ト、即ち、変調レートは、 fc/2に=625KHz
である。FM偏差は、fr=0.037Hzである。f
oに対する±0.037Hzでの最高レベルでの刺激は
、はぼ次式のレベルトナル。
201 og (2に−n−’)=−150dBc(1
2) この値は、一般に低位相ノイズである従来のダイレクト
・デジタル・シンセサイザー・システムに対して、低く
みなされる。さらに、このノイズは、キャリーに非常に
近い値まで下がり、システム内の他の位相ノイズの影響
と比較してほとんど区別がつかない。
本発明の複数の実施例について図示し、説明したが、本
発明の要旨を逸脱することなく種々の変形及び変更が可
能なことが当業者には明かであろう。よって、特許請求
の範囲は、本発明の要旨内でのこれら総べての変形及び
変更を含む。
[発明の効果] したがって、本発明によれば、構成が大形で(ビット数
が多く)、動作が低速の位相アキュムレータを必要とせ
ずに、ダイレクト・デジタル・シンセサイザーの分解能
を改善する。よって、非常に多くの同調チャンネルが得
られ、シンセサイザーの周波数設定における精度をより
高めることができる。本発明は、充分な精度の周波数出
力を発生するので、多くの合成(シンセサイズ)アプリ
ケーションに対してコヒレントとみなせる。これは、利
用するクロック周波数に応じて、この回路は、周波数基
準に関連して大幅な位相のずれになるのに数分から数時
間かかるからである。
【図面の簡単な説明】
第1図は、本発明の第1実施例による高分解能ダイレク
ト・デジタル・シンセサイザーのブロック図、 第2図は、従来のダイレクト・デジタル・シンセサイザ
ーのブロック図、 第3図は、本発明の第2実施例による高分解能ダイレク
ト・デジタル・シンセサイザーのブロック図、 第4図は、第1及び第3図の実施例に用いる比例重み付
け回路のブロック図、 第5〜第9図は、第4図の重み付け回路の動作を説明す
るタイミング波形図である。 図において、 10:位相アキュムレータを構成する2進加算器 12:2進加算器10と共に位相アキュムレータを構成
するレジスタ 22:2進加算器 16:レジスタ 14:波形ルックアップ・テーブル 18:D/A変換器 20:比例重み付け回路 24.30:2進カウンタ

Claims (11)

    【特許請求の範囲】
  1. (1)周期的な出力信号を発生するダイレクト・デジタ
    ル・シンセサイザーであって、 波形ルックアップ手段と、 位相増分値に応じて上記波形ルックアップ手段をアドレ
    ス指定し、上記増分値だけアドレスを変化させる手段と
    、 第1期間中は、第1増分値を出力し、第2期間中は、第
    2増分値を出力して、上記第1及び第2増分値が出力す
    る時間に比例し、上記第1及び第2増分値の間の有効増
    分値を与える手段とを具えたダイレクト・デジタル・シ
    ンセサイザー。
  2. (2)メモリに蓄積された波形ルックアップ値から周期
    的出力信号を発生する方法であって、上記メモリに蓄積
    された波形上の連続した振幅点をアクセスするのに適す
    る連続的な増分アドレスにより、上記ルックアップ値を
    アクセスし、デュテイ・サイクルに応じて、所望の正確
    な増分値に整数を付加した値及び付加しない値で、上記
    アドレスを交互に増分することを特徴とする信号発生方
    法。
  3. (3)周期的出力信号を発生するダイレクト・デジタル
    ・シンセサイザーであって、 波形ルックアップ手段と、 位相数により上記波形ルックアップ手段をアドレス指定
    し、上記位相数の値に連続的に加算する位相増分値を受
    ける位相アキュムレータと、デュティ・サイクルに応じ
    て、上記位相増分値を周期的に増分し、上記位相増分値
    の所望小数部分をシミュレーションする加算手段と、 上記波形ルックアップ手段からアクセスされた出力信号
    を変換して、周期的なアナログ波形を発生するデジタル
    ・アナログ変換手段とを具えたダイレクト・デジタル・
    シンセサイザー。
  4. (4)周期的出力信号を発生するダイレクト・デジタル
    ・シンセサイザーであって、 少なくとも波形の一部を表す波形ルックアップ手段と、 該波形ルックアップ手段に関連してアドレス指定するよ
    うに配置され、位相増分値を総数に連続的に加算して、
    上記波形ルックアップ手段を連続的にアクセスすること
    により、上記波形の上記部分にわたって上記波形ルック
    アップ手段から連続的に値をアクセスする位相アキュム
    レータ手段と、上記波形の上記部分にわたって周期的に
    上記位相増分値を変化させる手段とを具えたダイレクト
    ・デジタル・シンセサイザー。
  5. (5)周期的に上記位相増分値を変化させる手段は、上
    記波形部分期間中、所定のデュティ・サイクルで、上記
    増分値に1及び0を連続的に付加し、上記デュティ・サ
    イクルは、上記増分値の所望小数部分と比例関係である
    ことを特徴とする請求項4記載のダイレクト・デジタル
    ・シンセサイザー。
  6. (6)周期的出力信号を発生するダイレクト・デジタル
    ・シンセサイザーであって、 累積値に位相増分数を連続的に加算し、この位相増分数
    に応じて波形データを連続的にアクセスする位相アキュ
    ムレータ手段と、 位相調整に応じて、上記位相アキュムレータ手段が実行
    した加算を変調して、上記周期的出力信号の周波数を内
    挿法的に変化させる重み付け回路手段とを具えたダイレ
    クト・デジタル・シンセサイザー。
  7. (7)上記位相増加数内での小数変化を表すデュティ・
    サイクルに応じて、上記位相調整を周期的に行うことを
    特徴とする請求項6記載のダイレクト・デジタル・シン
    セサイザー。
  8. (8)上記位相アキュムレータ手段は、第1増分数を前
    の総数に連続的に加算して新たな総数を発生する累積加
    算器及びレジスタを具えていることを特徴とする請求項
    7記載のダイレクト・デジタル・シンセサイザー。
  9. (9)上記重み付け回路は、 所定計数値に達すると周期的に出力信号を発生する第1
    クロック・パルス・カウンタと、所望の位相調整を表す
    データ入力を受け、オバーフローまで計数を行う第2パ
    ルス・カウンタと、該第2パルス・カウンタがオバーフ
    ローまで計数する期間中、分数でない値だけ上記増分数
    を変化させる手段と を具えたことを特徴とする請求項8記載のダイレクト・
    デジタル・シンセサイザー。
  10. (10)上記累積加算器及びレジスタは、整数位相調整
    信号を受けるキャリー入力端子を有することを特徴とす
    る請求項8記載のダイレクト・デジタル・シンセサイザ
    ー。
  11. (11)上記位相増分数及び整数位相調整信号を受け、
    これらの和を上記累積加算器及びレジスタ用の入力信号
    として発生する別の加算器を更に具えたことを特徴とす
    る請求項8記載のダイレクト・デジタル・シンセサイザ
    ー。
JP2310146A 1990-02-20 1990-11-15 ダイレクト・デジタル・シンセサイザー及び信号発生方法 Pending JPH03253108A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/482,290 US4998072A (en) 1990-02-20 1990-02-20 High resolution direct digital synthesizer
US482,290 1990-02-20

Publications (1)

Publication Number Publication Date
JPH03253108A true JPH03253108A (ja) 1991-11-12

Family

ID=23915485

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2310146A Pending JPH03253108A (ja) 1990-02-20 1990-11-15 ダイレクト・デジタル・シンセサイザー及び信号発生方法

Country Status (3)

Country Link
US (1) US4998072A (ja)
EP (1) EP0443242A3 (ja)
JP (1) JPH03253108A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5475627A (en) * 1993-02-24 1995-12-12 Mitsubishi Denki Kabushiki Kaisha Digital wave synthesizer with address conversion for reducing memory capacity
JP2007536768A (ja) * 2004-05-10 2007-12-13 株式会社アドバンテスト 直接デジタルシンセサイザの周波数分解能を向上させるための方法および装置
JP2008286974A (ja) * 2007-05-17 2008-11-27 Canon Inc 揺動体装置、光偏向装置、及び駆動信号生成方法
JP2020047263A (ja) * 2018-08-24 2020-03-26 シナプティクス インコーポレイテッド 波形ジェネレーター、入力装置、及び、方法

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5146473A (en) * 1989-08-14 1992-09-08 International Mobile Machines Corporation Subscriber unit for wireless digital subscriber communication system
US5126960A (en) * 1990-06-21 1992-06-30 Tektronix, Inc. Generation of phase related waveforms
US5495505A (en) * 1990-12-20 1996-02-27 Motorola, Inc. Increased frequency resolution in a synthesizer
GB9102400D0 (en) * 1991-02-05 1991-03-20 Cambridge Consultants Frequency synthesizer
US5329260A (en) * 1992-07-17 1994-07-12 Ii Morrow Inc. Numerically-controlled modulated oscillator and modulation method
US5361046A (en) * 1992-12-22 1994-11-01 Hughes Aircraft Company Modulator having fractional sample/symbol time
US5598437A (en) * 1993-07-16 1997-01-28 Litton Systems, Inc. Multichannel frequency and phase variable radio frequency simulator
DE4340520C1 (de) * 1993-11-29 1995-09-14 Telefunken Microelectron Verfahren zur Ansteuerung von analogen Stellgliedern
US5467294A (en) * 1994-03-09 1995-11-14 Hu; Vince High speed, low power direct digital synthesizer
US5436600A (en) * 1994-07-29 1995-07-25 The Regents Of The University Of California MRI multi-frequency DSB and SSB RF synthesizer
US5519343A (en) * 1995-07-10 1996-05-21 Fluke Corporation Two channel direct digital synthesizer with waveform memory interleaving circuit
US5781459A (en) * 1996-04-16 1998-07-14 Bienz; Richard Alan Method and system for rational frequency synthesis using a numerically controlled oscillator
US6128726A (en) * 1996-06-04 2000-10-03 Sigma Designs, Inc. Accurate high speed digital signal processor
FI101916B (fi) 1996-12-18 1998-09-15 Nokia Telecommunications Oy Menetelmä muodostaa halutun funktion mukaisesti käyttäytyvä signaalin amplitudi ja muunnin
US6066967A (en) * 1997-02-07 2000-05-23 Sensytech, Inc. Phase-coherent frequency synthesis with a DDS circuit
US5936438A (en) * 1997-10-01 1999-08-10 Ford Motor Company Digital oscillator using lookup table with multiple cycles
US5892692A (en) * 1997-10-01 1999-04-06 Ford Motor Company Method for generating a lookup table for a digital oscillator
US6412024B1 (en) 1998-08-17 2002-06-25 Sigma Designs, Inc. Sound board emulation using digital signal processor
US6347325B1 (en) * 1999-03-16 2002-02-12 Analog Devices, Inc. Direct-digital synthesizers
JP2002182898A (ja) 2000-12-14 2002-06-28 Nec Microsystems Ltd 積算値及び周期関数の生成方法及び回路
JP3884244B2 (ja) * 2001-07-04 2007-02-21 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー Rf送信回路およびmri装置
JP4660409B2 (ja) * 2005-09-22 2011-03-30 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー ダイレクト・デジタル・シンセサイザ、送信・検波用ダイレクト・デジタル・シンセサイザおよびmri装置
TW200736509A (en) * 2006-03-21 2007-10-01 King Motor Technology Co Ltd A position-locating method for servomotor controller
US8489664B2 (en) * 2007-12-12 2013-07-16 Applied Micro Circuits Corporation Single clock cycle first order limited accumulator for supplying weighted corrections
US8570203B2 (en) 2010-08-27 2013-10-29 M.S. Ramaiah School Of Advanced Studies Method and apparatus for direct digital synthesis of signals using Taylor series expansion
US11689191B2 (en) 2021-03-30 2023-06-27 Stmicroelectronics International N.V. High frequency resolution digital sinusoid generator

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0199322A (ja) * 1987-10-13 1989-04-18 Matsushita Electric Ind Co Ltd 分周装置

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4331941A (en) * 1980-02-29 1982-05-25 Hewlett-Packard Company Digital phase domain amplitude modulation method and apparatus
US4901265A (en) * 1987-12-14 1990-02-13 Qualcomm, Inc. Pseudorandom dither for frequency synthesis noise
JP2627758B2 (ja) * 1987-12-28 1997-07-09 株式会社アドバンテスト 信号発生装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0199322A (ja) * 1987-10-13 1989-04-18 Matsushita Electric Ind Co Ltd 分周装置
US4959616A (en) * 1987-10-13 1990-09-25 Tokikazu Matsumoto Digital oscillation apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5475627A (en) * 1993-02-24 1995-12-12 Mitsubishi Denki Kabushiki Kaisha Digital wave synthesizer with address conversion for reducing memory capacity
JP2007536768A (ja) * 2004-05-10 2007-12-13 株式会社アドバンテスト 直接デジタルシンセサイザの周波数分解能を向上させるための方法および装置
JP2008286974A (ja) * 2007-05-17 2008-11-27 Canon Inc 揺動体装置、光偏向装置、及び駆動信号生成方法
JP2020047263A (ja) * 2018-08-24 2020-03-26 シナプティクス インコーポレイテッド 波形ジェネレーター、入力装置、及び、方法

Also Published As

Publication number Publication date
EP0443242A2 (en) 1991-08-28
EP0443242A3 (en) 1992-11-19
US4998072A (en) 1991-03-05

Similar Documents

Publication Publication Date Title
JPH03253108A (ja) ダイレクト・デジタル・シンセサイザー及び信号発生方法
US7064616B2 (en) Multi-stage numeric counter oscillator
US3976945A (en) Frequency synthesizer
KR930001296B1 (ko) 보간용 시간이산 필터장치
US7015733B2 (en) Spread-spectrum clock generator using processing in the bitstream domain
US5014231A (en) Randomized digital/analog converter direct digital synthesizer
US4992743A (en) Dual-tone direct digital synthesizer
US4349887A (en) Precise digitally programmed frequency source
JPH04229703A (ja) 位相相関波形の発生方法
JPH05506338A (ja) 周波数シンセサイザ
US5619535A (en) Digital frequency synthesizer
JPH0683067B2 (ja) 分周装置
EP0391524B1 (en) Phase accumulation dual tone multiple frequency generator
CA2081757C (en) Variable frequency signal generating method
RU2149503C1 (ru) Цифровой синтезатор частот
RU2030092C1 (ru) Цифровой синтезатор частот
US6518802B1 (en) Circuits and methods for generating an accurate digital representation of a sinusoidal wave
CN1797955B (zh) 多级数字计数振荡器
JP3536426B2 (ja) 波形発生器
US4745566A (en) Angle modulated waveform synthesizer
RU2170490C1 (ru) Генератор импульсов с цифровой перестройкой периода
JP4520586B2 (ja) 周波数シンセサイザおよびガウス雑音発生装置
JP2003264431A (ja) 信号発生装置
SU1385238A2 (ru) Формирователь сигналов с заданным законом изменени фазы
SU868973A1 (ru) Синтезатор частот