JP7501982B2 - 信頼ドメインを用いた、仮想化されたシステムにおける分離の提供 - Google Patents

信頼ドメインを用いた、仮想化されたシステムにおける分離の提供 Download PDF

Info

Publication number
JP7501982B2
JP7501982B2 JP2022124071A JP2022124071A JP7501982B2 JP 7501982 B2 JP7501982 B2 JP 7501982B2 JP 2022124071 A JP2022124071 A JP 2022124071A JP 2022124071 A JP2022124071 A JP 2022124071A JP 7501982 B2 JP7501982 B2 JP 7501982B2
Authority
JP
Japan
Prior art keywords
memory
tdrm
tenant workload
instruction
tenant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022124071A
Other languages
English (en)
Other versions
JP2022172095A (ja
Inventor
ローザス カルロス
ネイガー ギルバート
パテル バイジュ
スコイナス イオアニス
サヒータ ラヴィ
ダラム デイヴィッド
コスラヴィ ホームズ
オウジール イド
ゲルゾン ギデオン
チャブラ シッダールタ
ハントリー バリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2022172095A publication Critical patent/JP2022172095A/ja
Application granted granted Critical
Publication of JP7501982B2 publication Critical patent/JP7501982B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/60Protecting data
    • G06F21/602Providing cryptographic facilities or services
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/60Protecting data
    • G06F21/62Protecting access to data via a platform, e.g. using keys or access control rules
    • G06F21/6218Protecting access to data via a platform, e.g. using keys or access control rules to a system of files or objects, e.g. local or distributed file system or database
    • G06F21/6245Protecting personal data, e.g. for financial or medical purposes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/0223User address space allocation, e.g. contiguous or non contiguous base addressing
    • G06F12/0292User address space allocation, e.g. contiguous or non contiguous base addressing using tables or multilevel address translation means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/14Protection against unauthorised use of memory or access to memory
    • G06F12/1408Protection against unauthorised use of memory or access to memory by using cryptography
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/50Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems
    • G06F21/52Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems during program execution, e.g. stack integrity ; Preventing unwanted data erasure; Buffer overflow
    • G06F21/53Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems during program execution, e.g. stack integrity ; Preventing unwanted data erasure; Buffer overflow by executing in a restricted environment, e.g. sandbox or secure virtual machine
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/50Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems
    • G06F21/57Certifying or maintaining trusted computer platforms, e.g. secure boots or power-downs, version controls, system software checks, secure updates or assessing vulnerabilities
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/60Protecting data
    • G06F21/62Protecting access to data via a platform, e.g. using keys or access control rules
    • G06F21/6218Protecting access to data via a platform, e.g. using keys or access control rules to a system of files or objects, e.g. local or distributed file system or database
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/60Protecting data
    • G06F21/64Protecting data integrity, e.g. using checksums, certificates or signatures
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/71Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/78Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure storage of data
    • G06F21/79Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure storage of data in semiconductor storage media, e.g. directly-addressable memories
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L63/00Network architectures or network communication protocols for network security
    • H04L63/06Network architectures or network communication protocols for network security for supporting key management in a packet data network
    • H04L63/061Network architectures or network communication protocols for network security for supporting key management in a packet data network for key exchange, e.g. in peer-to-peer networks
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L9/00Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols
    • H04L9/06Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols the encryption apparatus using shift registers or memories for block-wise or stream coding, e.g. DES systems or RC4; Hash functions; Pseudorandom sequence generators
    • H04L9/0618Block ciphers, i.e. encrypting groups of characters of a plain text message using fixed encryption transformation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/455Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
    • G06F9/45533Hypervisors; Virtual machine monitors
    • G06F9/45558Hypervisor-specific management and integration aspects
    • G06F2009/45587Isolation or security of virtual machine instances
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1052Security improvement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2221/00Indexing scheme relating to security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F2221/21Indexing scheme relating to G06F21/00 and subgroups addressing additional information or applications relating to security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F2221/2107File encryption
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2221/00Indexing scheme relating to security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F2221/21Indexing scheme relating to G06F21/00 and subgroups addressing additional information or applications relating to security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F2221/2149Restricted operating environment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/455Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
    • G06F9/45533Hypervisors; Virtual machine monitors
    • G06F9/45558Hypervisor-specific management and integration aspects

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Security & Cryptography (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Bioethics (AREA)
  • General Health & Medical Sciences (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Databases & Information Systems (AREA)
  • Mathematical Physics (AREA)
  • Computing Systems (AREA)
  • Medical Informatics (AREA)
  • Storage Device Security (AREA)

Description

本開示は、コンピュータシステムに関し、より具体的には、信頼ドメインを用いて仮想化されたシステムにおける分離を提供することに関する。
近年の処理デバイスは、ディスク暗号化を使用し、静止時のデータを保護する。しかしながら、メモリ内のデータは、プレーンテキストであり、攻撃に対して脆弱である。攻撃者は、ソフトウェア及びハードウェアベースのバススキャン、メモリスキャン、ハードウェアプロービング等を含む様々な技術を用い、メモリからデータを取得することができる。この、メモリからのデータには、例えば、プライバシーに敏感なデータ、IPに敏感なデータ、及びまたファイル暗号化又は通信に用いられるキーなどの機微データが含まれ得る。クラウドサービスプロバイダにより提供される仮想化ベースのホスティングサービスを利用して、データ及びエンタプライズワークロードをクラウドに移行する現在のトレンドで、データの公開は更に悪化する。
一実施例に係る、信頼ドメインを用いて仮想化されたシステムにおける分離を提供する例示的なコンピューティングシステムを示すブロック図である。
一実施例に係る、信頼ドメインを用いて仮想化されたシステムにおける分離を提供する別の例示的なコンピューティングシステムを示すブロック図である。
一実施例に係る、信頼ドメインアーキテクチャの例のブロック図である。
一実施例に係る、信頼ドメインアーキテクチャの別の例のブロック図である。
一実施例に係る、信頼ドメインアーキテクチャの更なる例のブロック図である。
一実施例に係る、信頼ドメインを用いて仮想化されたシステムにおける分離を提供するための例示的な方法のフロー図である。
一実施例に係る、信頼ドメインを用いて仮想化されたシステムにおける分離を提供しながら、信頼ドメイン終了ルーチンを実行するための例示的な方法のフロー図である。
一実施例に係る、信頼ドメインを用いて仮想化されたシステムにおける分離を提供しながら、信頼ドメイン入力ルーチンを実行するための例示的な方法のフロー図である。
本開示の一実施例が用いられ得る、プロセッサのためのマイクロアーキテクチャを示すブロック図である。
本開示の少なくとも1つの実施例に従って実施される、インオーダパイプライン及びレジスタリネーミング段階、アウトオブオーダ発行/実行パイプラインを示すブロック図である。
一実施例に係る、信頼ドメインを用いて仮想化されたシステムにおける分離を提供するロジック回路を含む処理デバイスのためのマイクロアーキテクチャのブロック図を示す。
一実施例に係る、コンピュータシステムのブロック図である。
別の実施例に係る、コンピュータシステムのブロック図である。
一実施例に係る、システムオンチップのブロック図である。
コンピューティングシステムのためのブロック図の別の実施例を示す。
コンピューティングシステムのためのブロック図の別の実施例を示す。
信頼ドメイン(TD)を用いて仮想化されたシステムにおける分離を提供するアーキテクチャが、説明される。コンピューティングの現在のトレンドは、クラウドサービスプロバイダ(CSP)により提供されるホスティングサービスを利用して、クラウド内にデータ及びエンタプライズワークロードを配置することである。クラウド内のデータ及びエンタプライズワークロードのホスティングの結果、CSPの顧客(本明細書でテナントと称される)は、それらのワークロードのためのより良いセキュリティ及び分離ソリューションを要求している。具体的には、顧客は、テナントのソフトウェアの、信頼できるコンピューティングベース(TCB)の外部でCSP提供ソフトウェアのオペレーションを可能にするソリューションを探している。システムのTCBは、システムの動作全体の信頼に影響する能力を有するハードウェア、ファームウェア、及び/又はソフトウェアコンポーネントのセットを指す。
本開示の実施例において、TDアーキテクチャ及びTDアーキテクチャのための命令セットアーキテクチャ(ISA)拡張(本明細書では、TD拡張(TDX)と称される)が提供され、信頼できないCSPインフラストラクチャにおいて実行される顧客(テナント)ソフトウェアのための機密性(及び完全性)を提供する。システムオンチップ(SoC)機能であってもよいTDアーキテクチャは、TDワークロードと、CSPの仮想マシンマネージャ(VMM)等のCSPソフトウェアとの間の分離を提供する。TDアーキテクチャのコンポーネントには、1)MK-Totalメモリ暗号化(MK-TME)エンジンを介したメモリ暗号化、2)本明細書で信頼ドメインリソースマネージャ(TDRM)と称されるリソース管理機能、TDRMは、仮想マシンモニタ(VMM)のソフトウェア拡張であり得る、3)CPU管理メモリ所有権テーブル(MOT)を介して及びCPUアクセス制御TD制御構造を介して提供されるプロセッサにおける実行状態及びメモリ分離機能、が含まれ得る。TDアーキテクチャは、TDワークロードの安全なオペレーションのために、MK-TMEエンジン、MOT、及びアクセス制御TD制御構造を活用するTDを配備する、プロセッサの能力を提供する。
一実施例において、テナントのソフトウェアは、TDとして知られるアーキテクチャ概念において実行される。TD(テナントTDとも称される)は、(例えば、オペレーティングシステム(OS)上で実行される他のリング3アプリケーションと共にOSだけを備えてもよく、又は他のリング3アプリケーションと共にVMM上で実行する仮想マシン(VM)を備えてもよい)テナントワークロードを指す。各TDが、システムにおける他のTDとは独立して動作し、プラットフォーム上のTDRMにより割り当てられたロジックプロセッサ、メモリ、及びI/Oを用いる。各TDは、信頼ドメインと関連付けられたメモリ(コード及び/又はデータを保持する)を暗号化するために、MK-TMEエンジンの少なくとも1つの専用の暗号化キーを用いてメモリにおいて暗号で分離される。
本開示の実施例において、TDアーキテクチャにおけるTDRMは、TDのホストとして機能し、コア及び他のプラットフォームハードウェアを完全に制御する。TDRMが、TDにおけるソフトウェアに、ロジックプロセッサを割り当てる。しかしながら、TDRMは、割り当てられたロジックプロセッサ上のTDの実行状態にアクセスできない。同様に、TDRMは、物理メモリ及びI/OリソースをTDに割り当てるが、TD毎のCPUにより強制される別個の暗号化キーの使用、及びメモリ上の他の完全性及びリプレイ制御に起因して、TDのメモリ状態にアクセスすることに関与していない。TDにおいて実行されるソフトウェアは、TDRMは、プラットフォームリソースの制御を保持できるように、より少ない特権で動作する。しかしながら、TDRMは、規定された状況下で、メモリにおける又はCPU構造におけるTD状態の機密性又は完全性に影響を与えることはできない。
仮想化されたシステムにおいて分離を提供する従来のシステムは、テナントのTCBからCSPソフトウェアを完全に抽出しない。更に、従来のシステムは、本開示の実施例が回避する別個のチップセットサブシステムを用いて、TCBを大幅に増加させ得る。本開示の実施例のTDアーキテクチャは、TCBからCSPソフトウェアを除去することにより、TCBを明示的に削減することにより、顧客(テナント)ワークロードとCSPソフトウェアとの間の分離を提供する。実施例は、CSP顧客ワークロード(テナントTD)のための安全な分離を提供することにより、従来のシステムよりも技術的な改善を提供し、CSPのセキュリティ及び機能要件を満たしながら、顧客のTCBからのCSPソフトウェアの除去を可能にする。加えて、TDアーキテクチャは、複数のTDに拡張可能であり、これは、複数のテナントワークロードをサポートし得る。更に、本明細書において説明されているTDアーキテクチャは、汎用であり、任意のダイナミックランダムアクセスメモリ(DRAM)、又は不揮発性デュアルインラインメモリモジュール(NV‐DIMM)等の、ストレージクラスメモリ(SCM)ベースのメモリに適用され得る。このように、本開示の実施例は、ソフトウェアが、プラットフォームセキュリティ要件を損なうことなく、SCMのためのNVDIMMの直接アクセス型ストレージ(DAS)モード等の、性能上の利点を利用することを可能にする。
図1Aは、本開示の実施例に係る、TDを用いて仮想化されたシステムにおける分離を提供するコンピューティングシステム100の概略ブロック図である。仮想化システム100は、いくつかのクライアントデバイス101A~101Cをサポートする仮想化サーバ110を含む。仮想化サーバ110は、TDRM180を実行する、少なくとも1つのプロセッサ112(処理デバイスとも称される)を含む。TDRM180は、ネットワークインタフェース170を介してクライアントデバイス101A~101Cによりアクセス可能な1又は複数のTD190A~190Cをインスタンス化し得るVMM(ハイパーバイザとも称され得る)を含み得る。クライアントデバイス101A~101Cは、これらに限定されるものではないが、デスクトップコンピュータ、タブレットコンピュータ、ラップトップコンピュータ、ネットブック、ノートブックコンピュータ、携帯情報端末(PDA)、サーバ、ワークステーション、携帯電話、モバイルコンピューティングデバイス、スマートフォン、インターネットアプライアンス、又は任意の他のタイプのコンピューティングデバイスを含み得る。
TDとは、テナント(例えば、顧客)のワークロードを指し得る。テナントワークロードは、例えば、OS上で実行される他のリング3アプリケーションと共にOSだけを含んでもよく、又は他のリング3アプリケーションと共にVMM上で実行するVMを含んでもよい。本開示の実施例において、各TDは、TDと関連付けられたメモリ(コード及びデータを保持する)を暗号化するために、別個の専用のキーを用いてメモリにおいて暗号で分離され得る。
プロセッサ112は、1又は複数のコア120(処理コア120とも称される)、レンジレジスタ130、メモリ管理ユニット(MMU)140、及び出力ポート150を含み得る。図1Bは、図1Aに示すような、MOT160及び1又は複数の信頼ドメイン制御構造(TDCS)124及び信頼ドメインスレッド制御構造(TDTCS)128と通信する、TDRM180を実行するプロセッサコア120の詳細図の概略ブロック図である。TDTCS及びTD-TCSが、本明細書において交換可能に用いられ得る。プロセッサ112は、これらに限定されるものではないが、デスクトップコンピュータ、タブレットコンピュータ、ラップトップコンピュータ、ネットブック、ノートブックコンピュータ、PDA、サーバ、ワークステーション、携帯電話、モバイルコンピューティングデバイス、スマートフォン、インターネットアプライアンス、又は任意の他のタイプのコンピューティングデバイスを含むシステムにおいて用いられ得る。別の実施例において、プロセッサ112は、SoCシステムにおいて用いられ得る。
コンピューティングシステム100は、カリフォルニア州サンタクララのインテルコーポレーションから入手可能なPENTIUM(登録商標)III、PENTIUM(登録商標)4、Xeon(商標)、Itanium、XScale(商標)及び/又はストロングアーム(登録商標)マイクロプロセッシングデバイスに基づいた処理システムを表すが、他のシステム(他のマイクロプロセッシングデバイス、エンジニアリングワークステーション、セットトップボックス等を有するPCを含む)も用いられてもよい。一実施例において、サンプルシステム100は、ワシントン州、レドモンドのマイクロソフトコーポレーションから入手可能なウィンドウズ(登録商標)オペレーティングシステムのバージョンを実行するが、他のオペレーティングシステム(例えば、UNIX(登録商標)及びLinux(登録商標))、エンベデッドソフトウェア、及び/又はグラフィックスユーザインタフェースも用いられてもよい。従って、本開示の実施例は、いかなる具体的なハードウェア回路及びソフトウェアの組み合わせにも限定されない。
1又は複数の処理コア120は、システムの命令を実行する。処理コア120は、これらに限定されるものではないが、命令をフェッチするプリフェッチロジック、命令をデコードするデコードロジック、命令を実行する実行ロジック等を含む。実施例において、コンピューティングシステム100は、プロセッサ112等のコンポーネントを含み、データを処理するためのアルゴリズムを実行するロジックを含む実行ユニットを使用する。
仮想化サーバ110は、メインメモリ114及び二次記憶装置118を含み、プログラムバイナリ及びOSドライバイベントを格納する。二次記憶装置118におけるデータは、ページと称されるブロックに格納され得、各ページは、物理メモリアドレスのセットに対応し得る。仮想化サーバ110は、仮想メモリ管理を使用し得、この中で、TD190A~190C等の、コア120により実行されるアプリケーションが、ゲスト物理メモリアドレスにマッピングされた仮想メモリアドレスを用い、ゲスト物理メモリアドレスは、MMU140によりホスト/システム物理アドレスにマッピングされる。
コア120は、MMU140を実行し得、プロセッサ112上で(例えば、コア上で)実行されるソフトウェアによるより高速なアクセスのために、二次記憶装置118から、メインメモリ114(揮発性メモリ及び/又は不揮発性メモリを含む)にページをロードする。TD190A~190Cのうちの1つが、メインメモリ114にロードされたページの物理メモリアドレスに対応する仮想メモリアドレスにアクセスしようとする場合に、MMU140は、要求されたデータを返す。コア120は、TDRM180のVMM部分を実行し得、ゲスト物理アドレスをメインメモリのホスト物理アドレスにトランスレートし、コア120がこれらのマッピングを読み取り、ウォークし、変換することを可能にするプロトコルのためのパラメータを提供する。
一実施例において、プロセッサ112は、TDアーキテクチャ及びTDアーキテクチャのためのISA拡張(TDX)を実装する。TDアーキテクチャは、TDワークロード190A~190C間の、及びプロセッサ112上で実行されるCSPソフトウェア(例えば、TDRM180及び/又はCSP VMM(例えば、ルートVMM180))からの分離を提供する。TDアーキテクチャのコンポーネントは、1)MK-TMEエンジン145を介したメモリ暗号化、2)本明細書でTDRM180と称されるリソース管理機能、3)MOT160を介して、及びアクセス制御TD制御構造(即ち、TDCS124及びTDTCS128)を介して提供されるプロセッサ112における実行状態及びメモリ分離機能、を含み得る。TDXアーキテクチャは、TDワークロード190A~190Cの安全なオペレーションのために、MK-TMEエンジン145、MOT160、及びアクセス制御TD制御構造(即ち、TDCS124及びTDTCS128)を活用するTD190A~190Cを配備する、プロセッサ112の能力を提供する。
本開示の実施例において、TDRM180は、ホストとして機能し、コア120及び他のプラットフォームハードウェアを完全に制御する。TDRM180は、TD190A~190Cにおけるソフトウェアに、ロジックプロセッサを割り当てる。しかしながら、TDRM180は、割り当てられたロジックプロセッサ上のTD190A~190Cの実行状態にアクセスできない。同様に、TDRM180が、物理メモリ及びI/Oリソースを、TD190A~190Cに割り当てるが、別個の暗号化キー、及びメモリ上の他の完全性及びリプレイ制御に起因して、TD190Aのメモリ状態にアクセスすることに関与していない。
別個の暗号化キーに関して、プロセッサは、MK-TMEエンジン145を利用し、実行中に用いられるメモリを暗号化(及び復号化)し得る。トータルメモリ暗号化(TME)では、コア120上で実行されるソフトウェアによる任意のメモリアクセスが、暗号化キーでメモリにおいて暗号化され得る。MK-TMEは、複数の暗号化キーの使用を可能にするTMEの拡張機能である(サポートされるキーの数は、実装に依存する)。プロセッサ112は、MK-TMEエンジン145を利用し得、異なるページを、異なるMK-TMEキーを用いて暗号化させる。MK-TMEエンジン145は、本明細書において説明されているTDアーキテクチャにおいて利用され得、各TD190A~190C毎に1又は複数の暗号化キーをサポートし、異なるCSP顧客ワークロード間の暗号分離を達成するのを助ける。例えば、MK-TMEエンジン145がTDアーキテクチャにおいて用いられる場合に、CPUは、TD(全てのページ)が、TD固有のキーを用いて暗号化されることをデフォルトで強制する。更に、TDが、特定のTDページがプレーンテキストであるか、又はCSPソフトウェアに対して不透明である、異なるエフェメラルキーを用いて暗号化されるかを更に選択し得る。
各TD190A~190Cは、(例えば、仮想マシン拡張(VMX)を用いる)VMM、OS、及び/又は(OSによりホスティングされる)アプリケーションソフトウェアからなるソフトウェアスタックをサポートするソフトウェア環境である。各TD190A~190Cは、他のTD190A~190Cとは独立して動作し、プラットフォーム上のTDRM180により割り当てられたロジックプロセッサ、メモリ、及びI/Oを用いる。TD190A~190Cにおいて実行されるソフトウェアは、TDRM180は、プラットフォームリソースの制御を保持できるように、より少ない特権で動作する。しかしながら、TDRMは、規定された状況下で、TD190A~190Cの機密性又は完全性に影響を与えることはできない。TDアーキテクチャ及びTDXの更なる詳細が、図1Bを参照して以下でより詳細に説明される。
本開示の実施例は、コンピュータシステムに限定されるものではない。本開示の代替的な実施例は、ハンドヘルドデバイス及びエンベデッドアプリケーション等の他のデバイスにおいて用いられ得る。ハンドヘルドデバイスのいくつかの例には、携帯電話、インターネットプロトコルデバイス、デジタルカメラ、携帯情報端末(PDA)、及びハンドヘルドPCが含まれる。エンベデッドアプリケーションは、マイクロコントローラ、デジタル信号処理デバイス(DSP)、システムオンチップ、ネットワークコンピュータ(NetPC)、セットトップボックス、ネットワークハブ、広域ネットワーク(WAN)スイッチ、又は少なくとも1つの実施例に係る1又は複数の命令を実行し得る任意の他のシステムを含み得る。
一実施例が、シングル処理デバイスのデスクトップ又はサーバシステムの文脈で説明され得るが、代替的な実施例は、マルチプロセッシングデバイスシステムに含まれてもよい。コンピューティングシステム100は、「ハブ」システムアーキテクチャの例であり得る。コンピューティングシステム100は、データ信号を処理するプロセッサ112を含む。1つの図示的な例として、プロセッサ112は、複合命令セットコンピュータ(CISC)マイクロプロセッシングデバイス、縮小命令セットコンピューティング(RISC)マイクロプロセッシングデバイス、超長命令語(VLIW)マイクロプロセッシングデバイス、命令セットの組み合わせを実施した処理デバイス、又は、例えばデジタル信号処理デバイス等、任意の他の処理デバイスを含む。プロセッサ112は、命令、データ又はそれらの任意の組み合わせを格納する、メインメモリ114及び/又は二次記憶装置118等、コンピューティングシステム100におけるプロセッサ112と他のコンポーネントとの間のデータ信号を送信する処理デバイスバスに結合される。コンピューティングシステム100の他のコンポーネントとしては、グラフィックスアクセラレータ、メモリコントローラハブ、I/Oコントローラハブ、無線トランシーバ、フラッシュBIOS、ネットワークコントローラ、オーディオコントローラ、シリアル拡張ポート、I/Oコントローラ等が挙げられ得る。これらの要素は、当業者によく知られたこれらの従来の機能を実行する。
一実施例において、プロセッサ112は、レベル1(L1)内部キャッシュメモリを備える。アーキテクチャに応じて、プロセッサ112は、単一の内部キャッシュ又は複数のレベルの内部キャッシュを有し得る。他の実施例は、特定の実施例及び必要性に応じて、内部キャッシュ及び外部キャッシュの両方の組み合わせを含む。レジスタファイルは、整数レジスタ、浮動小数点レジスタ、ベクトルレジスタ、バンクドレジスタ、シャドーレジスタ、チェックポイントレジスタ、ステータスレジスタ、構成レジスタ及び命令ポインタレジスタを含む様々なレジスタに異なるタイプのデータを格納する。
実行ユニットは、浮動小数点ユニットを有し得、又は有しない場合があることに留意されたい。一実施例において、プロセッサ112は、マイクロコードを格納するマイクロコード(ucode)ROMを含み、これは、実行されると、特定のマクロ命令のためのアルゴリズムを実行し、或いは複合シナリオを処理する。ここで、マイクロコードは、プロセッサ112のための論理バグ/修正を処理するべく、潜在的にアップデート可能である。
実行ユニットの代替的な実施例は、マイクロコントローラ、エンベデッド処理デバイス、グラフィックスデバイス、DSP及び他のタイプのロジック回路においても用いられ得る。システム100は、メインメモリ114(メモリ114とも称され得る)を含む。メインメモリ114は、DRAMデバイス、スタティックランダムアクセスメモリ(SRAM)デバイス、フラッシュメモリデバイス、又は他のメモリデバイスを含む。メインメモリ114は、プロセッサ112により実行されるべきデータ信号によって表される命令及び/又はデータを格納する。プロセッサ112は、処理デバイスバスを介してメインメモリ114に結合される。メモリコントローラハブ(MCH)等のシステムロジックチップは、処理デバイスバス及びメインメモリ114に結合され得る。MCHは、命令及びデータの格納、並びにグラフィックスコマンド、データ及びテクスチャの格納用に、高帯域幅メモリパスをメインメモリ114に提供し得る。また、MCHは例えば、プロセッサ112、メインメモリ114、及びシステム100内の他のコンポーネントの間でデータ信号を導き、処理デバイスバス、メモリ114、及びシステムI/Oの間でデータ信号をブリッジするように用いられ得る。MCHは、メモリインタフェースを介してメモリ114に結合され得る。いくつかの実施例において、システムロジックチップは、アクセラレーテッドグラフィックスポート(AGP)相互接続を介してグラフィックスコントローラに結合するためのグラフィックスポートを提供し得る。
コンピューティングシステム100は、I/Oコントローラハブ(ICH)も含み得る。ICHは、ローカルI/Oバスを介して、いくつかのI/Oデバイスに直接的接続を提供し得る。ローカルI/Oバスは、周辺装置をメモリ114、チップセット、及びプロセッサ112に接続するための高速I/Oバスである。いくつかの例は、オーディオコントローラ、ファームウェアハブ(フラッシュBIOS)、無線トランシーバ、データストレージ、ユーザ入力及びキーボードインタフェースを含むレガシーI/Oコントローラ、ユニバーサルシリアルバス(USB)等のシリアル拡張ポート、及びネットワークコントローラである。データストレージデバイスは、ハードディスクドライブ、フロッピーディスクドライブ、CD‐ROMデバイス、フラッシュメモリデバイス、又は他の大容量ストレージデバイスを備え得る。
システムの別の実施例については、上記の処理デバイスコア120により実行される命令は、システムオンチップと共に用いられ得る。システムオンチップの一実施例は、処理デバイス及びメモリから構成される。そのような1つのシステムのためのメモリは、フラッシュメモリである。フラッシュメモリは、処理デバイス及び他のシステムコンポーネントと同一のダイ上に位置し得る。更に、メモリコントローラ又はグラフィックスコントローラ等の他のロジックブロックも、システムオンチップ上に位置付けられ得る。
図1Bを参照すると、この図は、本開示の一実施例に係る、図1Aのプロセッサ112のブロック図を示す。一実施例において、プロセッサ112は、単一のコア120を介して、又はいくつかのコア120にわたってアプリケーションスタック101を実行し得る。上述のように、プロセッサ112は、TDアーキテクチャ及びTDXを提供し得、信頼できないクラウドサービスプロバイダ(CSP)インフラストラクチャにおいて、顧客/テナント(即ち、TD190A)において実行される顧客ソフトウェアのための機密性(及び完全性)を提供する。TDアーキテクチャは、MOT160を介したメモリ分離、TDCS124及び/又はTDTCS128を介してCPUキー管理を組み込むCPU状態分離、及びTD190AソフトウェアのためのCPU測定インフラストラクチャを提供する。
一実施例において、TDアーキテクチャは、OS及びOS管理アプリケーション(仮想化及び非仮想化)の機密オペレーションをサポートするISA拡張(TDXと称される)を提供する。TDXがイネーブルされた、プロセッサ112を含むもの等のプラットフォームが、TDと称される複数の暗号化されたコンテキストとして機能し得る。説明を容易にするために、単一のTD190Aが図1Bにおいて示される。各TD190Aは、VMM、VM、OS、及び/又はアプリケーションを実行し得る。例えば、TD190Aは、VM195Aをホスティングするように示される。
一実施例において、TDRM180は、VMM機能(例えば、ルートVMM)の一部として含み得る。VMMが、ソフトウェア、ファームウェア、又はハードウェアを指し得、VM195A等の仮想マシン(VM)を作成、実行、及び管理する。VMMが、1又は複数のVMを作成、実行、及び管理し得ることに留意されたい。示されるとおり、VMM180は、処理デバイス112の1又は複数の処理コア120のコンポーネントとして含まれる。VMM180は、VM195Aを作成及び実行し、1又は複数の仮想プロセッサ(例えば、vCPU)をVM195Aに割り当て得る。VM195Aは、本明細書でゲスト195Aと称され得る。VMMは、VM195Aが、図1Aのコンピューティングシステム100等の、コンピューティングシステムの下層のハードウェアにアクセスすることを可能にし得る。VM195Aは、ゲストオペレーティングシステム(OS)を実行し得る。VMMは、ゲストOSの実行を管理し得る。ゲストOSは、コンピューティングシステム100の、ハードウェア及びソフトウェアリソースの下層に対するVM195Aの仮想プロセッサのアクセスを制御するために機能し得る。処理デバイス112上で動作する様々なVM195Aがある場合に、VMMは、様々なゲスト上で実行されるゲストOSの各々を管理し得ることに留意されたい。いくつかの実施例において、VMMが、TD190Aで実施され得、VM195Aを管理する。このVMMは、テナントVMM及び/又は非ルートVMMと称され得、以下で更に詳細に検討される。
TDXはまた、TDRM180と称されるTDアーキテクチャのTD管理層のためのプログラミングインタフェースを提供する。TDRMが、CSP/ルートVMMの一部として実施され得る。TDRM180は、TD190Aの動作を管理する。TDRM180は、CPU、メモリ、及び入/出力(I/O)等のリソースをTD190Aに割り当て、管理し得るが、TDRM180は、TD190AのTCBの外部で動作するように設計される。システムのTCBは、システムの動作全体のために信頼に影響を及ぼす能力を有するハードウェア、ファームウェア、及び/又はソフトウェアコンポーネントのセットを指す。
一実施例において、TDアーキテクチャは、従って、TD190Aにおいて実行されるソフトウェアを保護する機能である。上述のように、TDアーキテクチャのコンポーネントには、1)TMEに対するマルチキー拡張を有するTMEエンジン(例えば、図1AのMK-TMEエンジン145)を介したメモリ暗号化、2)ソフトウェアリソース管理層(TDRM180)、及び3)TDアーキテクチャにおける実行状態及びメモリ分離機能、が含まれ得る。
図2Aは、TDアーキテクチャ200を実施する例示的なコンピューティングシステムを示すブロック図である。TDアーキテクチャ200は、2つのタイプのTDをサポートする。第1のタイプのTDは、テナントが、機密性を強制するCSPを信頼し、本開示の実施例のTDアーキテクチャを実装しないTDである。このタイプのレガシーTDは、TD1 210として示される。TD1 210は、CSP VMM管理TCB202を有するCSP TDである。TD1 210は、CSP VM214及び/又は1又は複数のテナントVM216A、216Bを管理するCSP VMM212を含み得る。この場合、テナントVM216A、216Bは、VM216A、216BのTCB202内にある、CSP VMM212により管理される。 本開示の実施例において、テナントVM216A、216Bは、このモデルにおいてTME又はMK-TMEを介してメモリ暗号化を更に活用し得る(以下で更に説明される)。
他のタイプのTDは、テナントが、機密性を強制するCSPを信頼せず、従って、本開示の実施例のTDアーキテクチャを有するCPUに依存するTDである。このタイプのTDは、TD2 220及びTD3 230として2つの変形例で示される。TD2 220は、管理されたテナントVM225A、225Bに対してTD2 220において実行されるテナントVMM(非ルート)222により利用されている仮想化モード(VMX等)と共に示される。TD3 230は、仮想化モードを用いるソフトウェアを含まないが、代わりに、直接TD3 230における啓発された(enlightened)OS235を実行する。TD2 220及びTD3 230は、本開示の実施例において説明されるようなハードウェア強制TCB204を有するテナントTDである。一実施例において、TD2 220又はTD3 230は、図1A及び/又は図1Bに関して説明されているTD190Aと同じであり得る。
TDRM180は、リソースの割り当てを含む、3つのタイプのTD210、220、230全てのライフサイクルを管理する。しかしながら、TDRM180は、TDタイプTD2 220及びTD3 230のためのTCBにはない。TDアーキテクチャ200は、システム上でアクティブなTDの数又は組み合わせに対して任意のアーキテクチャの制約を加えない。しかしながら、特定の実施例におけるソフトウェア及び特定のハードウェア制限が、他の制約に起因してシステム上で同時に実行されるTDの数を限定し得る。
図2Bは、TDアーキテクチャ250、及びTD220とTDRM180との間のやり取りの例を示すブロック図である。一実施例において、TD220及びTDRM180は、図2Aに関して説明されているそれらの対応するものと同一である。TDアーキテクチャ250は、図1A及び図1Bのコンピューティングデバイス100により提供されるTDアーキテクチャ、及び/又は図2AのTDアーキテクチャ200と同じであり得る。TDアーキテクチャ250は、システム上でアクティブなTDのライフサイクルを管理する層を提供する。TDに関するプロセッササポートが、TDXオペレーションと呼ばれるプロセッサオペレーションの形態により提供される。TDXオペレーションには、リソースマネージャオペレーション、及びテナントオペレーションの2つの種類がある。一般に、TDRM180は、TDXリソースマネージャオペレーションで実行し、TD2 220等のTDは、TDXテナントオペレーションで実行する。リソースマネージャオペレーションとテナントオペレーションとの間の遷移は、TDX遷移と呼ばれる。
TDX遷移には、TDエントリ270及びTD終了260の2つの種類がある。TDXリソースマネージャオペレーションからTDXテナントオペレーションへの遷移は、TDエントリ270と呼ばれる。TDXテナントオペレーションからTDXリソースマネージャオペレーションへの遷移は、TD終了260と呼ばれる。
TDXリソースマネージャオペレーションにおけるプロセッサの挙動は、それが、TDXオペレーションの外部にあるときと類似である。主要な相違は、TDXオペレーション(TDX命令)のセットが、利用可能であること、特定の制御レジスタにロードされ得る値が、限定され、TDRM180のモード及び能力を制限すること、である。
TDXテナントオペレーションにおけるプロセッサの挙動は、分離を容易にするために同様に制限される。例えば、通常のオペレーションの代わりに、特定のイベントが、TDRM180にTD終了260を引き起こす。これらのTD終了260は、TDRM180が、TD220の挙動又は状態を修正することをできないようにする。TDRM180は、プラットフォーム機能を用い、プラットフォームリソースの制御を保持する。TD220において実行されるソフトウェアは、ソフトウェアビジブル情報を用い得、それがTD220において実行されていると決定し、TD220にロードされた追加のソフトウェア上で、ローカル測定ポリシーを強制し得る。しかしながら、TD220のセキュリティ状態を検証することは、機密性を保証するために遠隔の証明団体により実行される。
TDアーキテクチャ250は、TD220において実行される場合に仮想化に依存するソフトウェアに対する互換性の影響を最小限にするように設計され、従って、テナントオペレーションにおいて実行されるVM225A、225Bと、テナントオペレーションにおいて実行されるテナントVMM222との間のほとんどのやり取りを、変化しないままにする。TD220にVMM222が存在しない場合、VM OSが、ルートVMMとしてTDRM180と共に機能するように修正され得る。
一実施例において、TDRM180は、TD終了260に、例えば、TD220を終了させる、又はメモリリソースを管理させる(例えば、割り当てられたメモリリソースをもたらす、空きメモリリソースを要求する、等)ように明示的に決定し得る。TDアーキテクチャ250はまた、TDRM180に、TD終了260をプリエンプションに強制する能力を提供する。TD終了260では、TDアーキテクチャは、TD220の実行状態が、TD220に割り当てられたCPUアクセス制御メモリに保存され、TDRM180又は他のTDからTD状態の機密性を保護するために、TDRM180又は他のTDに見えないTD220の一意の暗号化キー(以下で更に検討される)を用いて暗号化されることを強制する。TD実行状態は、メモリ上の完全性制御を介してスプーフィング、再マッピング、及び/又はリプレイから同様に保護され得る。
TD入力270は、TD終了260に対する相補的なイベントである。例えば、TDRM180がロジックプロセッサ上で実行されるようにTD220をスケジューリングし、実行をTD220において実行されるソフトウェアに転送する場合に、TD入力270が発生し得る。TD入力270の間に、TDアーキテクチャ250は、TDRM180の実行状態が、TDRMにより所有されるメモリに保存されることを強制し、これは、TDRM180による単独の使用のために割り当てられた一意の暗号化キーを用いて暗号化される。
TD220等のTDは、TDCREATE(TDCSを作成する)、TDTCREATE(TD-TCSを作成する)、及びTDADDPAGE命令を用いて、TDRM180によりセットアップされ得、TDRM180は、TD220に属するメモリを、TDRM180又は他のTDに見えない又はアクセス不可能であるTDの一意の暗号化キーを用いて暗号化させる。TDに属する任意の命令を実行する前に、全てのTDメモリが、TDの一意のキーを用いて暗号化される。特定の命令名称が本明細書で参照されるが、これらの命令に関する他の名称が、本開示の実施例において利用され得、本明細書で提供されている特定の名称に限定されない。
一実施例において、TDRM180は、署名検証後に小さいソフトウェアイメージ(IBB又は初期ブートブロックに類似)で各TD220を起動し、信頼のプラットフォームルートを用いて(後続の証明のために)IBB測定を記録し得る。TD220の測定された起動を完了し、TDRM180から追加のリソースを要求することを担当するのは、TD220において実行されるIBBソフトウェアである。TD220は、TD220内で実行される場合に、TD220全体に単一の暗号化キーを用いるか、又は異なるテナントVM225A、225B(及び/又はコンテナ又はNVRAM等の異なるメモリリソース)に追加の暗号化キーを用いるか、の選択肢を有する。従って、TD220が最初にセットアップされる場合に、TD220は、専用のCPU生成MK-TMEキーを用いている。その後、TD220は、TD220内で動作する各テナントソフトウェア管理コンテキスト(例えば、テナントVM225A、225B、コンテナ又は他のメモリタイプ)に関して、追加のMK-TME暗号化キーを任意でセットアップし得る。
CSPの両方のVMM(例えば、TDRM ルートVMM180及びテナントVMM222)に対するソフトウェア互換性影響を最小限にするべく、仮想化(例えば、VMX)オペレーションは、TDアーキテクチャ250においてTD220内で修正されないままであり得る。同様に、拡張ページテーブル(EPT)管理等のVMMソフトウェアのオペレーションは、テナントVMM222の制御下のままであり得る(それが、TD220においてアクティブであり、TDRM180により管理されない場合)。TDRM180が各TD220に関して物理メモリを割り当てるので、TDアーキテクチャ250は、MOT(即ち、図1A及び図1Bに関して説明されているMOT160)を含む。プロセッサ112は、TDRM180管理MOTを参照し、メモリの割り当てをTD220に割り当てる。これが、TDRM180に、割り当てられたTDメモリに常駐するデータへのいかなる可視性も有することなく、リソースとしてメモリを管理する完全な能力を可能にする。いくつかの実施例において、上述のように、プラットフォーム(例えば、ルート)VMM及びTDRM180は、同一の暗号化キードメイン内にあり得、従って、メモリ管理及びスケジューラ機能を共有する(しかし、依然としてテナントのTCBの外部のままである)。
図3は、TDアーキテクチャ300の別の例を示すブロック図である。TDアーキテクチャ300は、TDに関するI/O概念を示す。一実施例において、TDアーキテクチャ300は、全てのI/Oデバイス(例えば、NIC320、ストレージ330、単一ルート入/出力仮想化(SR‐IOV)NIC340、等)が、CSP及びTDRMを信頼するTD1 210(例えば、レガシーTD1 210)に取り付けられることを可能にし得る。一実施例において、TDアーキテクチャ300は、(SR‐IOV及び拡張可能I/Oを含む)デバイスの、CSPソフトウェアを信頼しない、テナントTD2 220等のTD(例えば、テナントTD2 220)への直接割り当てを可能にし得ない。代わりに、TDRM180は、TD1 210等の、CSP TDと、テナントTD2 220等の、他のTDとの間でメモリ310を共有し、非CSP TD(例えば、テナントTD2 220)において合成(「syn」)デバイス(例えば、syn NIC325、syn ストレージ335)を実施する機能を提供し得る。いくつかの実施例において、テナントTD2 220等の、CSPソフトウェアを信頼しないテナントTDが、I/Oデータの保護を担当し得る。TDアーキテクチャ300は、共有メモリ310を介して公開されたI/Oデータを保護し得ない。いくつかの実施例において、I/Oデータが、通信エンドポイント間の既存のセキュリティプロトコルを用いて保護され得る。
図1Bに戻って参照すると、MOT160(TD‐MOTと称され得る)は、プロセッサ112により管理される、テーブル等の構造であり、TD190A等の、実行しているTDへ、物理メモリページの割り当てを強制する。プロセッサ112はまた、MOT160を用い、テナントTD190A又はTDRM180として動作するソフトウェアにより参照される物理アドレスが、それに明示的に割り当てられていないメモリにアクセスできないことを強制する。
MOT160は、以下の特性を強制する。第1に、TD190Aの外部のソフトウェアは、異なるTD(これは、TDRM180を含む)に属する任意のメモリに、プレーンテキストでアクセス(読み取り/書き込み/実行)できるべきでない。第2に、MOT160を介してTD190A等の特定のTDに割り当てられたメモリページは、(プロセッサが、メモリが割り当てられたTDを実行している)システムにおける任意のプロセッサからアクセス可能であるべきである。
MOT160構造は、メモリの各4KBページのメタデータ属性を保持するために用いられる。追加のページサイズ(2MB、1GB)のために追加の構造が規定され得る。メモリの各4KBページのためのメタデータは、物理ページアドレスにより直接インデックス付けされる。他の実施例において、他のページサイズが、(ページテーブルのような)階層構造によりサポートされ得る。
MOT160において参照される4KBページが、TD190Aの1つの実行インスタンスに属し得る。MOT160において参照される4KBページが、有効なメモリであってもよく、又は、無効であるとマークされてもよい(従って、例えばIOであり得る)。一実施例において、各TDインスタンス190Aが、そのTD190Aに関するTDCS124を保持する1つのページを含む。
一実施例において、MOT160は、メモリの4KBの境界に位置合わせされ、プラットフォーム初期化後、ソフトウェアによるアクセスから保護されたメモリの物理的に連続した領域を占有する。実施例において、MOTは、マイクロアーキテクチャ構造であり、ソフトウェアから直接アクセスされ得ない。アーキテクチャ的に、MOT160は、ホスト物理メモリの各4KBページに関して以下のセキュリティ属性を保持する:
―ページステータス162―有効/無効ビット(ページが、有効なメモリであるか否か)
―ページカテゴリ―DRAM、NVRAM、IO、予約
―ページ状態163―(4ビットベクトル)ページが次の場合、指定する、
―ビット1-フリー(TDに割り当てられておらず、TDRMにより用いられていないページ)
―ビット2-割り当て済(TD又はTDRMに割り当てられたページ)
―ビット3-ブロック済(解放/(再)割り当ての処理にある間にブロックされたページ)
―ビット4-ペンディング(TDに割り当てられているが、まだTDにより受け入れられていないダイナミックなページ)
―TDID164(40ビット)ページを特定の一意TDに割り当てるTD識別子。TDCSのアドレス。
いくつかの実施例において、拡張MOT160エントリがサポートされ得、次を更に含む。
―ページキーID165―(8ビット―サイズは実装固有である)TDにより参照される物理メモリのためのプロセッサページウォーク中にフェッチされたキーIDと一致すると予期されるページ毎暗号化キーを指定する。MOT160エントリが拡張エントリでない場合、ページキーIDは、TDCS124から導出される。MOTにおいて指定されたキーID値のうちの1つが、TDRM(又はルートVMM)とメモリコンテンツを共有するために用いられ得る。共有ページは、入出力バッファがTDRMにより管理されるハードウェアデバイスに送信されるように保持し得る。同様に、共有ページが、TDRMによりTDに公開される仮想デバイスのエミュレーションのために用いられ得る。
―ゲスト物理アドレス166―(52ビット)TDにおいて実行されるソフトウェアにより用いられる予期されるゲスト物理アドレスを指定する。(このフィールドは、TDRM180がメモリ再マッピングを実行し、メモリをスワップする能力を実施することを予期する場合に用いられる)。
―ゲスト許可167―最終ページにアサートする(ユーザ及びスーパーバイザーのための読み取り、書き込み、実行)。TDにおいて実行されるVMMをサポートするためにこれらの許可ビットの複数のセットがあり得る。
MOT160は、TDXがプロセッサ112においてイネーブルされる場合に(例えば、CPUIDベースの列挙の後、CR4イネーブルビットを介して)イネーブルされ得る。一旦MOT160がイネーブルされると、MOT160は、プロセッサ112により用いられ得、TDRM180を含む、ソフトウェアにより開始された全ての物理メモリアクセスに関するメモリアクセス制御を強制し得る。一実施例において、アクセス制御は、ソフトウェアによりなされるメモリアクセスに関するページウォーク中に強制される。テナントTD190A又はTDRM180に割り当てられていないメモリに対する、プロセッサ112により実行される物理メモリアクセスは、Abortページセマンティクスと共に失敗する。
本開示の実施例において、TDRM180は、以下の命令リーフと共にMOTオペレーション命令(TDMOTOP)を用いてMOT160を介してメモリリソースを管理する。
ページをMOTに追加する(TDMOTADDPAGE)―ホスト物理アドレス(HPA)に対応するフリーMOT160エントリを、TDIDにより指定されたTD190Aに(排他的に)割り当てられているとマークする。任意の他の以前のページ状態は、障害を引き起こす。この命令は、このHPAへのマッピングをキャッシュしている他のTD190Aがないことを確認するために、クロススレッドTLBシュートダウンを強制する。この命令リーフは、TDRM180により呼び出され得る。TDRM180が拡張MOTをイネーブルにした場合、次に、命令は、指定されたHPAにマッピングされる初期ゲスト物理アドレス(GPA)を指定し得る。プロセッサ112は、TDRM180により管理されるEPT構造をウォークすることにより、GPAがHPAにマッピングされていることを検証する。ページをTDに割り当てる(TDMOTAUGPAGE)がページの測定をキャプチャしない、追加ページの変形例が実施され得る。
ページをMOTから破棄する(TDMOTREVOKEPAGE)―割り当てられたページをフリーページとしてマークする。この命令は、クロススレッドTLBシュートダウンを強制し、後続のTD190Aアクセスが、HPA所有権をチェックすること、及びページコンテンツが、プロセッサ112によりクリアされることを確認する。TLB充填中にMOT160ページ障害を経験するTD190Aアクセスは、プロセッサ112に、TDCS124を無効化させ、これは、更なるTDがTD190Aに入ることを防止する。この命令リーフは、TDRM180により呼び出され得る。
MOTにおいてページをブロックする(TDMOTBLOCKPAGE)―HPAに対応するフリー又は割り当てられたMOT160エントリを、ソフトウェア使用のためにブロックされたものとしてマークする。任意の他の以前のページ状態が、TDRM180障害を引き起こす。この命令は、クロススレッドTLBシュートダウンを強制し、後続のTD190Aアクセスが、HPA所有権をチェックすることを確認する。この命令リーフは、TDRM180により呼び出され得る。
MOTにおいてページをブロック解除する(TDMOTUNBLOCKPAGE)―HPAに対応するブロックされたMOT160エントリを、ソフトウェア使用/割り当てに関して有効であるとマークする。任意の他の以前のページ状態が、障害を引き起こす。この命令リーフは、TDRM180により呼び出され得る。
TDソフトウェアがメモリにおける任意の秘密をクリアした後、TD190Aに割り当てられたメモリが、明示的なTDCALLを介してTDRM180に返され得る。(1)TD190AにおけるVMMが、TD内で使用中の再マッピングされたGPAを有し得る、及び/又は(2)TDRM180が、TD190Aに割り当てられたメモリをスワップしたい場合に、MOT160の拡張オペレーションが用いられる。上記の両方の場合において、TDRM180 EPT違反が、ページウォーク中に用いられた不一致のGPAで生成される。以下の拡張MOT命令リーフは、上記の場合に対応する。
MOTにおいてPGAを修正する(TDMOTMODPMA)―上記の第1の場合を処理するため、TDRM180は、この拡張MOT160命令を利用し、TD190Aにより用いられるページに関するMOT160セキュリティ属性を更新する。TDRM180は、TD VMM管理EPT構造をウォークするためにCPUにより用いられるGPAを提供し、TD VMMにより参照される新しいGPAを取得する。プロセッサ112は、次に、TDRM180EPTのウォークを実行し、参照されるHPAを見つけ、ページがアクティブなTD190Aに割り当てられている場合、予期されるGPA属性は、フォールトしたウォーク中に報告された不一致のGPAと一致するように更新される。TDRM180は、次にTD190Aを再開し得る。
上記の第2の場合に関して、TDRM180は、既にそのEPT構造からGPAをアンマッピングし、障害中に、MOTにおいてページをブロックする命令(TDMOTBLOCKPAGE)を用いるべきであり、ページを、ソフトウェアとして使用不能(フラッシュあり)としてマークし、拡張MOT160命令を用いるべきである。TDEXTRACT及びTDINJECTは、新しく割り当てられたHPAに関して復元され得る、暗号で保護されたスワップ可能なバージョンのページコンテンツを作成する。TDEXTRACT(及びTDINJECT)命令は、スワップされたTDページのための暗号で署名された完全性情報をキャプチャ(及びそれぞれ検証)し、復元される場合にそれらが検証され得るようにする。暗号情報は、悪意のあるTDRMが古いページをリプレイできないようにするカウンタを含み得る。
一実施例において、TDRM180の初期化は、例えば、CR4.TDXEビットをセットして、又はVMXON中にVMX MSR制御ビットを介して、プロセッサ112におけるTDXをイネーブルにすることから開始する。TDXサポートは、CPUIDを介して列挙され得る。一旦TDXがイネーブルされると、TDRM180は、TDXモードをイネーブルにする命令(TDXON)を実行(performs)(即ち、実行(executes))し、プロセッサのTDXモードをイネーブルにする。あるいは、モードは、VMXONの一部としてイネーブルされ得る。TDXONは、ロジックプロセッサがTDRM180状態領域のために用いる自然に位置合わせされた4‐KBのメモリ領域を登録する。一実施例において、TDRM180状態領域は、TDRM状態185としてTDRM制御構造(TDRCS)182に格納される。TD-RCSはまた、ホスト状態、制御及びTD終了情報のみを含む新しいタイプのVMCSとして実施され得る。一実施例において、TDCS及びTD-TCSは、MOT160を介してアクセス制御される(例えば、MOT160に格納された暗号化キーIDが、メモリアクセス制御を強制するために用いられる)。別の実施例において、TDCS及びTD-TCSは、ソフトウェアアクセスにアクセス不可能であるプロセッサ112の、レンジレジスタ130等の制限されたレンジレジスタにおけるストレージを介してアクセス制御される。TDRM状態185は、以下で更に詳細に説明される。TDRCS182のために用いられる4KBページの物理アドレスは、TDXONへのオペランドにおいて提供される。TDRM180は、このページを、MOT160を介した全てのTD190Aにアクセス不可能とする。TDRM180は、TDRM状態185を初期化及びTDRM状態185にアクセスするべきである。TDRM180は、各ロジックプロセッサに関して別個のTDRM状態185を用いるべきである。
一実施例において、TDRM180により初期化され、TD終了でプロセッサ112によりロードされる例示的なTDRM状態185は、これに限定されるものではないが、表1において以下で示される以下の状態を含み得る。
Figure 0007501982000001
以下のプロセッサ状態は、TD終了中に自動的にセット/固定される(従って、TD-RCSにおいて指定されない):
-64ビットモードのためのCR0、CR4(追加のCR4マスク値を必要とし得る)
-DR7、スクラブDR:クリア:PDRビットの影響を考慮する必要がある
-IA32_DEBUGCTL、IA32_PERF_GLOBAL_CTRL、IA32_PAT、IA32_BNDCFGS
-IA32_EFER(64ビットモードを保証する)
-セグメントレジスタ(ベース限定アクセス):VM終了と同じ
-RFLAGS:VM終了と同じ―0x2にセット
-LDTR:VM終了と同じ―ヌル
以下のプロセッサ状態は、TD終了中に自動的にクリアされる(従って、TD-RCSにおいて指定されない):
-IA32_SYSENTER_CS/EIP/ESP
-IA32_KERNEL_GS_BASE
-IA32_STAR/FMASK/LSTAR
-GPR(RSPを除く)
-XSAVE状態
-拡張状態(x87/SSE、CET等)―任意の及び他の条件付き状態として扱い得る。
TD-RCSはまた、表2において以下で提供されるように、制御フィールド及び終了情報構造(TD終了情報を報告するため)を保持する。
Figure 0007501982000002
以下に示される表3は、TD-RCSにおける終了情報フィールドを詳述する。
Figure 0007501982000003
一実施例において、TD190Aが、TDRM180により作成され、起動され得る。TDRM180は、TD作成命令(TDCREATE及びTDTCREATE)を用いてTD190Aを作成する。TDRM180は、物理メモリの4KBに位置合わせされた領域を選択し、これをパラメータとしてTD作成命令に提供する。このメモリ領域は、TD190Aに関してTDCS124として用いられる。実行された場合に、TDCREATE命令は、プロセッサ112に、宛先4KBページが、(MOT160を用いて)TDに割り当てられていることを検証させる。TDCREATE命令は更に、プロセッサ112に、TD190Aに関するエフェメラルメモリ暗号化キー及びキーIDを生成させ、TDCS124にキーIDを格納させる。プロセッサ112は、次に、TDに割り当てられた暗号化キーを用いて宛先ページ上のページコンテンツを初期化する。一実施例において、ページコンテンツを初期化することは、TDのTD状態を開始することを含み、これは、TDTCS128に関して以下で更に説明される。TDCREATE命令は、次に、プロセッサ112に、TDCS124におけるTD測定のためのハッシュを初期化させる。
一実施例において、TDRM180は、TDADDPAGE命令(上述)を用いてTD190AのためのIBBコード/データをセットアップし、(TD190Aの)TDCS124ページのアドレスを、パラメータ、TDRMアドレス空間におけるTD画像のためのコード/データページのアドレス、及びTD190Aに割り当てられた物理ページとして指定する。プロセッサ112は、次に、宛先4KBページがTD190Aに割り当てられていることを検証する。一旦検証されると、プロセッサ112は、TDCS124におけるTD190Aのためのハッシュを拡張する。次に、プロセッサは、TD190Aに割り当てられた一意の暗号化キーを用いて、ソースから宛先ページにページコンテンツをコピーする。
TDRM180は、物理メモリマップ(及び識別ページテーブル)を含むデータページを介してTDブート構成を提供する。TDRM180は、物理メモリを初期化し、プロセッサ112は、ページが、TD190Aに割り当てられ、ページテーブルを識別することを検証する。TDRM180は、次に、TDINIT命令を用いてTD190Aの測定を完結する。TDRM180は、次に、TDENTER命令を用いてTD190Aの実行を開始し得る(これは、以下で更に説明されるようにTDTCS128を用いる)。
ここでTDCS124を参照すると、この制御構造は、TD190Aが正常に作成される場合にプロセッサ112が初期化する制御を指定する。TDCS124は、TD190Aがイネーブルされた場合に利用可能である。一実施例において、TDCSは、4Kの自然に位置合わせされたメモリ領域を占有する。MOT160においてTDCS124として識別されたページが、TDCREATE命令が正常に実行された後、ソフトウェア読み取り/書き込みに対してブロックされる。一実施例において、TDCS124は、MOT160を介してアクセス制御される(例えば、上述のように、MOT160に格納されたTDCS124のための割り当てられたキーIDが、プロセッサ112のページウォーク中に用いられ、不正なソフトウェア読み取り/書き込みを防止する)。別の実施例において、TDCS124は、ソフトウェアアクセスにアクセス不可能であるプロセッサ112の、制限されたレンジレジスタにおけるストレージを介してアクセス制御される。TDCS124は、これらに限定されるものではないが、表4において以下で示される以下のフィールドを含み得る。
TDCS.ATTRIBUTESフィールドは、表5において以下で示される以下のビット構造を有する。
Figure 0007501982000005
TD190Aが、N個のロジックプロセッサ(CPU)をTD190Aに割り当てるようにTDRM180に要求し得る。各要求されたCPUに関して、TDRM180は、TDADDPAGE(パラメータ<op, TDCS, TD CPU index, HPA>)を用いて、TDTCS128ページをTD190Aに追加する。プロセッサ112は、宛先4KBページがTD190Aに割り当てられていることを検証する。プロセッサ112は、TD190Aに関してTDCS124におけるTCSList[インデックス]142を更新する。TDTCS128は、その親TDCS124(TDADDPAGE命令パラメータにおいて指定される)を後方参照し得る。
TDRM180は、TD190AにTDENTER(パラメータ<TDCS, CPU index>)へのTDTCS128を用いる。これは、TDTCS128(及び参照されたTDCS124)を起動する。TDENTER命令は、TDTCS128が既にアクティブでないことをチェックする。TDENTERで、プロセッサ112は、ページミスハンドラ(PMH)/TLBによるTD190AキーID強制を起動する。プロセッサ112は、次に、TDTCS128からTD状態をロードし、TD190A実行を開始する。
TDTCS128は、TD190Aに割り当てられたロジックプロセッサに関する実行状態を保持する。プロセッサ112がTDテナントモードにある場合にTD終了条件が発生する場合、TD終了は、テナントの実行状態をTDTCS128に保存する。一実施例において、TDTCS128は、MOT160を介してアクセス制御される(例えば、上述のように、キーIDは、プロセッサ112のページウォーク中に用いられ、不正なソフトウェアの読み取り/書き込みを防止する)。別の実施例において、TDTCS128は、ソフトウェアアクセスにアクセス不可能であるプロセッサ112の、制限されたレンジレジスタにおけるストレージを介してアクセス制御される。
プロセッサ112がTD190A内の非ルートVMMのコンテキストにおいて動作している場合にTD終了が発生する場合、TD終了は、(まだ報告されていない)TD VMM(例えば、TD VMM222)へのVM終了(例えば、図2BのVM終了280)を実行し、テナントVMM状態をTDTCS128に保存し、TD終了を実行する(キーID強制を切り替える)。TDRM180により呼び出された後続のTDENTERは、キー‐ID強制切り替えを実行し、テナントVMM又はOSを再開するべく、(TD190A内の)TDTCS128からテナント状態を復元する。対応して、プロセッサ112が以前のTD終了の間に非ルートVMMのコンテキストにおいて動作していた場合、TD入力は、テナントVMMに(TDエントリ上の)VM終了を報告する。
上述のように、TDTCS128は、TD190Aの実行状態を保持する。TD190Aの実行状態は、TDTCS128に格納される。TDTCSは、非アーキテクチャであり得、表6から表9において以下で詳述されるフィールドを保持し得る。
Figure 0007501982000006
Figure 0007501982000007
Figure 0007501982000008
Figure 0007501982000009
一実施例において、TD190Aが、TDRM180により破壊され得る。TDRM180は、TD破壊命令(TDDESTROY及びTDTDESTROY)を用いてTD190Aを破壊する。CPUは、TDに割り当てられた全てのメモリが破棄されたことを検証し、それが、TDCSが破壊されることを可能にする前に、全てのTD-TCSが破壊される。
図4は、一実施例に係る、TDを用いて仮想化されたシステムにおける分離を提供するための例示的な方法400のフロー図である。方法400は、ハードウェア(例えば、回路、専用ロジック、プログラマブルロジック、マイクロコード等)、ソフトウェア(MCUにより実行されるオペレーション等)、ファームウェア又はこれらの組み合わせを備え得る処理ロジックにより実行され得る。一実施例において、方法400が、図1A又は図1Bの処理デバイス112により実行される。別の実施例において、方法400は、図7A~図12に関して説明されている処理デバイスの何れかにより実行される。あるいは、コンピューティングシステム100の他のコンポーネント(又は処理デバイス112上で実行されるソフトウェア)は、方法400の動作の一部又は全てを実行し得る。
図4を参照すると、方法400は、処理ロジックが、VMを備えるTDを管理するTDRMを実行し、TDは、処理デバイスにより実行される場合に、ブロック410で開始する。ブロック420で、処理ロジックは、処理ロジックにより実行されるTD又は他のTDのうち1又は複数のグローバルメタデータを管理するためのTDCSを維持する。次に、ブロック430で、処理ロジックは、処理デバイスにより実行されるTDRM、VMM、又は他のTDのうちの少なくとも1つからのソフトウェアアクセスに対してアクセス制御される、TD-TCSにおけるTDの実行状態を維持する。
続いて、ブロック440で、処理ロジックは、MOTを参照し、TDに割り当てられた暗号化キーに対応する少なくとも1つのキーIDを取得する。一実施例において、キーIDは、処理ロジックが、TDのコンテキストにおいて実行される処理デバイスに応答してTDに割り当てられたメモリページに機密アクセスすることを可能にし、ここで、TDに割り当てられたメモリページは、暗号化キーで暗号化される。最後に、ブロック450で、処理ロジックは、MOTを参照し、TDに割り当てられたホスト物理メモリページに対応するゲスト物理アドレスを取得する。一実施例において、MOTから取得されたゲスト物理アドレスの、アクセスされたゲスト物理アドレスとの一致は、処理デバイスが、TDのコンテキストにおいて実行される処理デバイスに応答してTDに割り当てられたメモリページにアクセスすることを可能にする。
図5は、一実施例に係る、TDを用いて仮想化されたシステムにおける分離を提供する場合に、TD終了を実行するための例示的な方法500のフロー図である。方法500は、ハードウェア(例えば、回路、専用ロジック、プログラマブルロジック、マイクロコード等)、ソフトウェア(MCUにより実行されるオペレーション等)、ファームウェア又はこれらの組み合わせを備え得る処理ロジックにより実行され得る。一実施例において、方法500は、図1A又は図1Bの処理デバイス112により実行される。別の実施例において、方法500は、図7A~図12に関して説明されている処理デバイスの何れかにより実行される。あるいは、コンピューティングシステム100の他のコンポーネント(又は処理デバイス112上で実行されるソフトウェア)は、方法500の動作の一部又は全てを実行し得る。
図5を参照すると、方法500は、処理ロジックが、TD終了イベントを識別する場合にブロック510で開始する。一実施例において、TDRMが、TD終了イベントと関連付けられたTDを管理しており、ここで、処理ロジックは、TD終了イベントが識別された場合にTDのコンテキストにおいて実行している。
ブロック520で、処理ロジックは、TD終了イベントの識別に応答して、TDに割り当てられた第1暗号化キーに対応する第1キー識別子(ID)を利用し、TDのTDスーパーバイザー実行状態及びユーザ実行状態を、TDに対応するTD-TCSに保存する。一実施例において、実行状態は、第1暗号化キーで暗号化され、TD-TCSは、処理デバイスにより実行されるTDRM、VMM、又は他のTDのうちの少なくとも1つからのソフトウェアアクセスに対してアクセス制御される。
続いて、ブロック530で、処理ロジックは、処理デバイスのキーID状態を、第1キーIDから、TDRM又はVMMのうちの少なくとも1つに対応する第2キーIDに修正する。最後に、ブロック540で、処理ロジックは、TDRM実行及び制御状態並びにTDRMの終了情報をロードし、処理デバイスに、TDRMのコンテキストにおいて動作させる。
図6は、一実施例に係る、TDを用いて仮想化されたシステムにおける分離を提供する場合に、TD入力を実行するための例示的な方法600のフロー図である。方法600は、ハードウェア(例えば、回路、専用ロジック、プログラマブルロジック、マイクロコード等)、ソフトウェア(MCUにより実行されるオペレーション等)、ファームウェア又はこれらの組み合わせを備え得る処理ロジックにより実行され得る。一実施例において、方法600が、図1A又は図1Bの処理デバイス112により実行される。別の実施例において、方法600は、図7A~図12に関して説明されている処理デバイスの何れかにより実行される。あるいは、コンピューティングシステム100の他のコンポーネント(又は処理デバイス112上で実行されるソフトウェア)は、方法600の動作の一部又は全てを実行し得る。
図6を参照すると、方法600は、処理ロジックが、TDRMのコンテキストにおいて実行している間にTD入力イベントを識別する場合に、ブロック610で開始する。一実施例において、処理ロジックは、TDを管理するそのTDRMを実行する。
ブロック620で、処理ロジックは、TD入力イベントの識別に応答して、TDRMに割り当てられた第1暗号化キーに対応する第1キーIDを利用し、TDRMに対応するTDRCSから、TDRMのTDRM制御状態をロードする。一実施例において、実行状態は、第1暗号化キーで暗号化される。更に、TDRCSは、処理デバイスにより実行されるTD又は他のTDのうちの少なくとも1つからのソフトウェアアクセスに対してアクセス制御され得る。
続いて、ブロック630で、処理ロジックは、処理デバイスのキーID状態を、第1キーIDから、TDに割り当てられた第2暗合化キーに対応する第2キーIDに修正する。最後に、ブロック640で、処理ロジックは、TD-TCSからTDのTDユーザ実行状態及びスーパーバイザー実行状態をロードし、処理デバイスに、TDのコンテキストにおいて動作させる。一実施例において、TD-TCSは、処理デバイスにより実行されるTDRM又は他のTDのうちの少なくとも1つからのソフトウェアアクセスに対してアクセス制御される。
図7Aは、本開示の少なくとも1つの実施例に係る、信頼ドメインを用いて仮想化されたシステムにおける分離を提供する処理デバイスの性能を監視するプロセッサの、インオーダパイプライン及びレジスタリネーミング段階、アウトオブオーダ発行/実行パイプラインを示すブロック図である。図7Bは、本開示の少なくとも1つの実施例に係るプロセッサに含まれるインオーダアーキテクチャコア及びレジスタリネーミングロジック、アウトオブオーダ発行/実行ロジックを示すブロック図である。図7Aにおける実線ボックスは、インオーダパイプラインを示す一方、破線ボックスは、レジスタリネーミング、アウトオブオーダ発行/実行パイプラインを示す。同様に、図7Bにおける実線ボックスは、インオーダアーキテクチャロジックを示すが、破線ボックスは、レジスタリネーミングロジック及びアウトオブオーダ発行/実行ロジックを示す。
図7Aにおいて、プロセッサパイプライン700は、フェッチ段階702、長さデコード段階704、デコード段階706、割り当て段階708、リネーミング段階710、スケジューリング(ディスパッチ又は発行としても知られる)段階712、レジスタ読み取り/メモリ読み取り段階714、実行段階716、ライトバック/メモリ書き込み段階718、例外処理段階722、及びコミット段階724を含む。いくつかの実施例において、段階は、異なる順序で提供され、異なる段階が、インオーダ及びアウトオブオーダとみなされ得る。
図7Bにおいて、矢印は、2又はそれより多くのユニット間の結合を示し、矢印の方向は、それらのユニット間のデータフロー方向を示す。図7Bは、プロセッサコア(コア)790を示し、プロセッサコア(コア)790は、実行エンジンユニット750に結合されたフロントエンドユニット730を含み、フロントエンドユニット730と実行エンジンユニット750の両方がメモリユニット770に結合される。
コア790は、縮小命令セットコンピューティング(RISC)コア、複合命令セットコンピューティング(CISC)コア、超長命令語(VLIW)コア又はハイブリッド若しくは代替的なコアタイプであってもよい。なおも別の選択肢として、コア790は、例えば、ネットワーク若しくは通信コア、圧縮エンジン、グラフィックスコア等のような特定用途コアであってもよい。
フロントエンドユニット730は、命令キャッシュユニット734に結合された分岐予測ユニット732を含み、命令キャッシュユニット734は、命令トランスレーションルックアサイドバッファ(TLB)736に結合され、命令トランスレーションルックアサイドバッファ(TLB)736は、命令フェッチユニット738に結合され、命令フェッチユニット738は、デコードユニット740に結合される。デコードユニット又はデコーダは、命令をデコードし、出力として1又は複数のマイクロオペレーション、マイクロコードエントリポイント、マイクロ命令、他の命令、又は他の制御信号を生成することができ、これらは、元の命令からデコードされたり、又はそうでなければ元の命令を反映していたり、又は元の命令から導出されていたりする。デコーダは、様々な異なるメカニズムを用いて実施され得る。好適なメカニズムの例は、これらに限定されるものではないが、ルックアップテーブル、ハードウェア実装、プログラマブルロジックアレイ(PLA)、マイクロコードリードオンリメモリ(ROM)等を含む。命令キャッシュユニット734は更に、メモリユニット770内のレベル2(L2)キャッシュユニット776に結合される。デコードユニット740は、実行エンジンユニット750内のリネーム/アロケータユニット752に結合される。
実行エンジンユニット750は、リタイアメントユニット754及び1又は複数のスケジューラユニット756のセットに結合されたリネーム/アロケータユニット752を含む。スケジューラユニット756は、任意の数の異なるスケジューラを表し、保留局、中央命令ウィンドウ等を含む。スケジューラユニット756は、物理レジスタファイルユニット758に結合される。物理レジスタファイルユニット758の各々は、1又は複数の物理レジスタファイルを表し、その異なるものが、スカラ整数、スカラ浮動小数点、パックド整数、パックド浮動小数点、ベクトル整数、ベクトル浮動小数点等、ステータス(例えば、実行される次の命令のアドレスである命令ポインタ)等のような、1又は複数の異なるデータタイプを格納する。物理レジスタファイルユニット758は、リタイアメントユニット754と重複しており、レジスタリネーミング及びアウトオブオーダ実行が実施され得る(例えば、リオーダバッファ、及びリタイアメントレジスタファイルを用い、将来のファイル、履歴バッファ、及びリタイアメントレジスタファイルを用い、レジスタマップ及びレジスタのプールを用いる等して)様々な様式を示す。
一般に、アーキテクチャレジスタは、プロセッサの外部から、又はプログラマの観点から見える。レジスタは、任意の知られた特定のタイプの回路に限定されない。様々な異なるタイプのレジスタは、本明細書で説明されるデータを格納及び提供することができる限りにおいて、好適である。好適なレジスタの例としては、これらに限定されるものではないが、専用物理レジスタ、レジスタリネーミングを用いて動的に割り当てられる物理レジスタ、専用物理レジスタ及び動的に割り当てられる物理レジスタの組み合わせ等が挙げられる。リタイアメントユニット754及び物理レジスタファイルユニット758は、実行クラスタ760に結合される。 実行クラスタ760は、1又は複数の実行ユニット762のセット及び1又は複数のメモリアクセスユニット764のセットを含む。実行ユニット762は、様々なオペレーション(例えば、シフト、加算、減算、乗算)を実行し、様々なタイプのデータ(例えば、スカラ浮動小数点、パックド整数、パックド浮動小数点、ベクトル整数、ベクトル浮動小数点)上で処理し得る。
いくつかの実施例は、具体的関数又は関数のセットに専用のいくつかの実行ユニットを含んでもよいが、他の実施例は、1つの実行ユニット又は複数の実行ユニットを含んでもよく、これらは全て、あらゆる関数を実行する。スケジューラユニット756、物理レジスタファイルユニット758、及び実行クラスタ760は、場合によっては複数であるものとして示される。なぜなら、特定の実施例が、特定のタイプのデータ/オペレーション(例えば、スカラ整数パイプライン、スカラ浮動小数点/パックド整数/パックド浮動小数点/ベクトル整数/ベクトル浮動小数点パイプライン、及び/又はメモリアクセスパイプライン。これらは、各々がそれら自体のスケジューラユニット、物理レジスタファイルユニット、及び/又は実行クラスタを有し、別個のメモリアクセスパイプラインの場合には、このパイプラインの実行クラスタが、メモリアクセスユニット764を有する特定の実施例が実施される)用に別個のパイプラインを作成するからである。別個のパイプラインが用いられる場合、これらのパイプラインのうち1又は複数は、アウトオブオーダ発行/実行であり、残りは、インオーダであってもよいことも理解されたい。
メモリアクセスユニット764のセットは、メモリユニット770に結合され、メモリユニット770は、レベル2(L2)キャッシュユニット776に結合されたデータキャッシュユニット774に結合されたデータTLBユニット772を含む。1つの例示的な実施例において、メモリアクセスユニット764は、ロードユニット、格納アドレスユニット、及び格納データユニットを含み得、それらの各々は、メモリユニット770内のデータTLBユニット772に結合される。L2キャッシュユニット776は、1又は複数の他のレベルのキャッシュに結合され、最終的にはメインメモリに結合される。
例として、例示的なレジスタリネーミング、アウトオブオーダ発行/実行コアアーキテクチャは、次のように図7Aのパイプライン700を実施し得る。1)命令フェッチユニット738が、フェッチ段階702及び長さデコード段階704をそれぞれ実行し、2)デコードユニット740が、デコード段階706を実行し、3)リネーム/アロケータユニット752が、割り当て段階708及びリネーミング段階710を実行し、4)スケジューラユニット756が、スケジューリング段階712を実行し、5)物理レジスタファイルユニット758及びメモリユニット770が、レジスタ読み取り/メモリ読み取り段階714を実行し、実行クラスタ760が、実行段階716を実行し、6)メモリユニット770及び物理レジスタファイルユニット758が、ライトバック/メモリ書き込み段階718を実行し、7)様々なユニットが、例外処理段階722に関与することができ、8)リタイアメントユニット754及び物理レジスタファイルユニット758が、コミット段階724を実行する。
コア790は、1又は複数の命令セット(例えば、x86命令セット(より新しいバージョンについてはいくつかの拡張が追加されている)、カリフォルニア州サニーベールのMIPS Technologies社のMIPS命令セット、カリフォルニア州サニーベールのARM Holdings社のARM命令セット(NEON等の追加の拡張を有する)をサポートすることができる。
コアは、(オペレーション又はスレッドの2又はそれより多くの並列セットを実行する)マルチスレッディングをサポートし得、タイムスライスマルチスレッディング、同時マルチスレッディング(物理コアが同時にマルチスレッディングしているスレッドの各々のための論理コアを、単一の物理コアが提供する)、又はこれらの組み合わせ(例えば、タイムスライスフェッチ及びデコードを行い、その後にインテル(登録商標)ハイパースレッディング技術等の同時マルチスレッディングを行う)を含む様々な態様でこれを実行し得ることを理解されたい。
レジスタリネーミングは、アウトオブオーダ実行の文脈で説明されるが、レジスタリネーミングは、インオーダアーキテクチャにおいて用いられ得ることを理解されたい。また、プロセッサの図示される実施例は、別個の命令及びデータキャッシュユニット734/774、並びに共有L2キャッシュユニット776を含むが、代替的な実施例は、例えば、レベル1(L1)内部キャッシュ又は複数のレベルの内部キャッシュ等、命令及びデータの両方に対して単一の内部キャッシュを有してもよい。いくつかの実施例において、システムは、内部キャッシュ、及びコア及び/又はプロセッサの外部にある外部キャッシュの組み合わせを含んでもよい。あるいは、キャッシュの全ては、コア及び/又はプロセッサの外部にあってもよい。
図8は、一実施例に係る、信頼ドメインを用いて仮想化されたシステムにおける分離を提供するロジック回路を含む処理デバイス800のためのマイクロアーキテクチャのブロック図を示す。いくつかの実施例において、命令は、バイト、ワード、ダブルワード、クワッドワード等のサイズ、並びに単及び倍精度の整数及び浮動小数点データタイプ等のデータタイプを有するデータ要素上で演算するように実施され得る。一実施例において、インオーダフロントエンド801は、実行されるべき命令をフェッチし、それらを処理デバイスパイプラインにおいて後に用いるために用意する、処理デバイス800の一部である。信頼ドメインを用いて仮想化されたシステムにおける分離を提供する実施例は、処理デバイス800において実施され得る。
フロントエンド801は、いくつかのユニットを含み得る。一実施例において、命令プリフェッチャ826は、メモリから命令をフェッチし、それらを命令デコーダ828へ供給し、命令デコーダ828は次にそれらをデコード又は変換する。例えば、一実施例において、デコーダは、機械が実行し得る「マイクロ命令」若しくは「マイクロオペレーション」と呼ばれる1又は複数のオペレーション(マイクロop又はuopとも呼ばれる)に受信済の命令をデコードする。他の実施例において、デコーダは、命令を解析し、一実施例に係るオペレーションを実行するマイクロアーキテクチャにより用いられるオペコード及び対応するデータ、並びに制御フィールドにする。一実施例において、トレースキャッシュ830は、デコード済のuopを受け取り、それらをプログラムで順序付けられたシーケンスにアセンブルし、又は実行するべくuopキュー834においてトレースする。トレースキャッシュ830が複合命令に遭遇する場合に、マイクロコードROM832は、オペレーションを完了するのに必要とされるuopを提供する。
いくつかの命令は、単一のマイクロopに変換されるが、他のものは、オペレーション全体を完了するのにいくつかのマイクロopを必要とする。一実施例において、命令を完了するのに4つより多いマイクロopが必要とされる場合、デコーダ828は、命令を実行するべくマイクロコードROM832にアクセスする。一実施例については、命令は、命令デコーダ828において処理するべく少数のマイクロopにデコードされ得る。別の実施例において、オペレーションを実現するのにいくつかのマイクロopが必要とされる場合、命令は、マイクロコードROM832内に格納され得る。トレースキャッシュ830は、マイクロコードシーケンスを読み取り、一実施例に係るマイクロコードROM832の1又は複数の命令を完了する正しいマイクロ命令ポインタを決定する、エントリポイントプログラマブルロジックアレイ(PLA)を指す。マイクロコードROM832が命令のマイクロopをシーケンス化することを完了した後、マシンのフロントエンド801は、トレースキャッシュ830からマイクロopをフェッチすることを再開する。
アウトオブオーダ実行エンジン803は、命令が実行のために用意される場所である。アウトオブオーダ実行ロジックは、いくつかのバッファを有し、これにより、命令のフローを平滑化及びリオーダし、これらの命令がパイプラインを進み実行のためにスケジューリングされるにあたり、性能を最適化する。アロケータロジックは、各uopが実行するために必要とするマシンバッファ及びリソースを割り当てる。レジスタリネーミングロジックは、ロジックレジスタをレジスタファイルにおけるエントリへとリネームする。また、アロケータは、メモリスケジューラ、高速スケジューラ802、低速/一般浮動小数点スケジューラ804、及び単純浮動小数点スケジューラ806などの命令スケジューラの前に、1つはメモリオペレーションに関するものであり、1つは非メモリオペレーションに関するものである、2つのuopキューのうちの1つにおけるuop毎にエントリを割り当てる。uopがそのオペレーションを完了するのに必要とする依存性入力レジスタオペランドソース及び実行リソースの利用可能性の準備が整ったことに基づいて、uopスケジューラ802、804、806は、uopがいつ実行する準備が整うかを判断する。一実施例の高速スケジューラ802は、メインクロックサイクルの半分毎にスケジューリングし得るが、他のスケジューラは、メイン処理デバイスのクロックサイクル毎に1回のみスケジューリングし得る。スケジューラは、実行のためにuopをスケジューリングするディスパッチポートについて仲裁する。
レジスタファイル808、810は、スケジューラ802、804、806と、実行ブロック811における実行ユニット812、814、816、818、820、822、824との間にある。整数及び浮動小数点のオペレーションのために、それぞれ、別個のレジスタファイル808、810が存在する。また、一実施例の各レジスタファイル808、810は、完了したばかりの、レジスタファイルにまだ書き込まれていない結果を、新しい依存性uopへとバイパスし、又は進め得るバイパスネットワークを含む。また整数レジスタファイル808及び浮動小数点レジスタファイル810は、他のものとデータを通信することができる。一実施例については、整数レジスタファイル808は、2つの別個のレジスタファイルに分割され、1つのレジスタファイルは、データの下位の32ビットのためのものであり、第2レジスタファイルは、データの上位の32ビットのためのものである。浮動小数点命令は通常、64~128ビット幅のオペランドを有するので、一実施例の浮動小数点レジスタファイル810は、128ビット幅のエントリを有する。
実行ブロック811は、実行ユニット812、814、816、818、820、822、824を含み、ここで命令が実際に実行される。このセクションは、マイクロ命令を実行するのに必要とする整数及び浮動小数点データオペランド値を格納するレジスタファイル808、810を含む。一実施例の処理デバイス800は、いくつかの実行ユニット、アドレス生成ユニット(AGU)812、AGU814、高速ALU816、高速ALU818、低速ALU820、浮動小数点ALU822、浮動小数点移動ユニット824から構成される。一実施例については、浮動小数点実行ブロック822,824は、浮動小数点、MMX、SIMD、及びSSE、又は他のオペレーションを実行する。一実施例の浮動小数点ALU822は、除算、平方根、及び残余のマイクロopを実行する、64ビット×64ビット浮動小数点ディバイダを含む。本開示の実施例については、浮動小数点値を含む命令は、浮動小数点ハードウェアにより扱われ得る。
一実施例において、ALUオペレーションは、高速ALU実行ユニット816、818に向かう。一実施例の高速ALU816、818は、クロックサイクルの半分の有効レイテンシで高速のオペレーションを実行し得る。一実施例については、複素整数演算の大部分は、低速ALU820に向かう。なぜなら、低速ALU820は、マルチプライア、シフト、フラグロジック、及び分岐処理等の長レイテンシタイプのオペレーションに対する整数実行ハードウェアを含むからである。メモリロード/ストアオペレーションは、AGU812、814により実行される。一実施例については、整数ALU816、818、820は、64ビットデータオペランドに対して整数演算を実行する文脈で説明される。代替的な実施例において、ALU816、818、820は、16、32、128、256等を含む様々なデータビットをサポートするように実施され得る。同様に、浮動小数点ユニット822、824は、様々な幅のビットを有する範囲のオペランドをサポートするように実施され得る。一実施例については、浮動小数点ユニット822、824は、128ビット幅のパックドデータオペランドで、SIMD及びマルチメディア命令を伴って処理し得る。
一実施例において、uopスケジューラ802、804、806は、親ロードが実行を終了する前に依存性オペレーションをディスパッチする。uopは、処理デバイス800において投機的にスケジューリングされ実行されるので、処理デバイス800は、メモリミスを処理するロジックも含む。データロードがデータキャッシュ内でミスを起こした場合、一時的に誤ったデータと共にスケジューラを離れた依存性オペレーションがパイプライン内でインフライトであり得る。リプレイメカニズムが、誤ったデータを用いる命令を追跡し、再実行する。依存性オペレーションのみがリプレイされる必要があり、独立したものは、完了することが可能である。処理デバイスの一実施例のスケジューラ及びリプレイメカニズムは、テキスト文字列比較オペレーションのための命令シーケンスをキャッチするようにも設計される。
処理デバイス800はまた、一実施例に係る、信頼ドメインを用いて仮想化されたシステムにおける分離を提供するロジックを含む。一実施例において、処理デバイス800の実行ブロック811は、本明細書における説明に係る、信頼ドメインを用いて仮想化されたシステムにおける分離を提供するTDRM180、MOT160、TDCS124、及びTDTCS128を含み得る。
「レジスタ」という用語は、オペランドを識別する命令の一部として用いられるオンボード処理デバイスストレージの位置を指し得る。換言すれば、レジスタは、処理デバイスの(プログラマの観点から)外部から利用可能であるものであり得る。しかしながら、実施例のレジスタは、特定のタイプの回路に、その意味が限定されるべきではない。むしろ、実施例のレジスタは、データを格納及び提供し、本明細書に説明されている機能を実行することができる。本明細書において説明されるレジスタは、専用物理レジスタ、レジスタリネーミングを用いる動的に割り当てられた物理レジスタ、専用及び動的に割り当てられた物理レジスタ等の組み合わせ等、任意の数の異なる技術を用いる処理デバイス内の回路により実施され得る。一実施例において、整数レジスタは、32ビットの整数データを格納する。また、一実施例のレジスタファイルは、パックドデータのための8つのマルチメディアSIMDレジスタを含む。
本明細書での検討において、レジスタは、カリフォルニア州サンタクララのインテルコーポレーションのMMX技術により可能にされるマイクロプロセッシングデバイスにおける64ビット幅のMMX(商標)レジスタ(いくつかの例において「mm」レジスタとも称される)等、パックドデータを保持するように設計されたデータレジスタであるものと理解されている。これらのMMXレジスタは、整数及び浮動小数点の両方の形式で利用可能であり、SIMD及びSSE命令を伴うパックドデータ要素で動作し得る。同様に、SSE2、SSE3、SSE4、又はそれ以降(一般に「SSEx」と称される)の技術に関する128ビット幅のXMMレジスタは、そのようなパックドデータオペランドを保持するようにも用いられ得る。一実施例において、パックドデータ及び整数データを格納するときに、レジスタは、2つのデータタイプを区別する必要はない。一実施例において、整数及び浮動小数点は、同一のレジスタファイル、又は異なるレジスタファイルの何れかに含まれる。更に、一実施例において、浮動小数点及び整数データは、異なるレジスタ、又は同一のレジスタに格納され得る。
実施例は、多くの異なるシステムのタイプに実施され得る。ここで図9を参照すると、実施例に係る、マルチプロセッシングデバイスシステム900のブロック図が示される。図9に示すとおり、マルチプロセッシングデバイスシステム900は、ポイントツーポイント相互接続システムであり、第1処理デバイス970、及びポイントツーポイント相互接続950を介して結合される第2処理デバイス980を含む。図9に示すとおり、処理デバイス970及び980の各々は、第1及び第2処理デバイスコア(不図示)を含むマルチコア処理デバイスであり得るが、潜在的に更に多くのコアが処理デバイスに存在し得る。処理デバイスは各々、本発明の実施例に係るハイブリッド書き込みモードロジックを含み得る。信頼ドメインを用いて仮想化されたシステムにおける分離を提供することの実施例は、処理デバイス970、処理デバイス980、又はその両方において実施され得る。
2つの処理デバイス970、980と共に示されているが、本開示の範囲は、そのように限定されないものと理解されたい。他の実施例において、1又は複数の追加の処理デバイスは、所与の処理デバイス内に存在し得る。
処理デバイス970及び980は、統合メモリコントローラユニット972及び982を各々含むように示されている。処理デバイス970はまた、そのバスコントローラユニットの一部として、ポイントツーポイント(P-P)インタフェース976及び978を含む。同様に、第2処理デバイス980は、P-Pインタフェース986及び988を含む。処理デバイス970、980は、P-Pインタフェース回路978、988を用いて、ポイントツーポイント(P-P)インタフェース950を介して情報を交換し得る。図9に示すとおり、IMC972及び982は、処理デバイスを、それぞれのメモリ、即ちメモリ932及びメモリ934に結合させるが、メモリ932及びメモリ934は、それぞれの処理デバイスにローカルに取り付けられたメインメモリの一部であり得る。
処理デバイス970、980は各々、ポイントツーポイントインタフェース回路976、994、986、998を用い、個々のP-Pインタフェース952、954を介し、チップセット990と情報を交換し得る。また、チップセット990は、高性能グラフィックスインタフェース939を介し、高性能グラフィックス回路938と情報を交換し得る。
共有キャッシュ(不図示)は、どちらかの処理デバイス内又は両方の処理デバイスの外側に含まれ得るが、P-P相互接続を介して処理デバイスとなおも接続され得、従って、処理デバイスが低電力モードに置かれる場合に、どちらか又は両方の処理デバイスのローカルキャッシュ情報は、共有キャッシュ内に格納され得る。
チップセット990は、インタフェース996を介して第1のバス916に結合され得る。 一実施例において、第1のバス916は、周辺構成要素相互接続(PCI)バス、又は、PCIエクスプレスバス若しくは別の第3世代I/O相互接続バス等のバスであってもよいが、本開示の範囲は、そのように限定されない。
図9に示すとおり、様々なI/Oデバイス914が、第1のバス916を第2のバス920に結合するバスブリッジ918と共に、第1のバス916に結合され得る。一実施例において、第2のバス920は、低ピンカウント(LPC)バスであり得る。一実施例において、様々なデバイスは、例えば、キーボード及び/若しくはマウス922、通信デバイス927、及び命令/コード及びデータ930を含み得るディスクドライブ若しくは他の大容量ストレージデバイス等、ストレージユニット928を含む、第2のバス920に結合され得る。更に、オーディオI/O924が、第2のバス920に結合され得る。他のアーキテクチャが可能であることに留意されたい。例えば、図9のポイントツーポイントアーキテクチャに代えて、システムは、マルチドロップバス又は他のそのようなアーキテクチャを実施してもよい。
ここで図10を参照すると、本開示の実施例に係る第3のシステム1000のブロック図が示されている。図9及び図10における同様の要素は、同様の参照番号を有し、図9の特定の態様は、図10の他の態様を不明瞭にしないように、図10では省略されている。
図10は、処理デバイス970、980が、統合メモリ及びI/O制御ロジック(「CL」)972及び982を各々含み得ることを示す。少なくとも1つの実施例については、CL972、982は、本明細書において説明されるもの等、統合メモリコントローラユニットを含み得る。更に、CL972、982は、I/O制御ロジックも含み得る。図10は、メモリ932、934が、CL972、982に結合され、I/Oデバイス1014も、制御ロジック972、982に結合されていることを示す。レガシーI/Oデバイス1015は、チップセット990に結合される。信頼ドメインを用いて仮想化されたシステムにおける分離を提供することの実施例は、処理デバイス970、処理デバイス980、又はその両方において実施され得る。
図11は、コア1102のうち1又は複数を含み得る例示的なシステムオンチップ(SoC)である。当技術分野で知られたラップトップ、デスクトップ、ハンドヘルドPC、携帯情報端末、エンジニアリングワークステーション、サーバ、ネットワークデバイス、ネットワークハブ、スイッチ、エンベデッド処理デバイス、デジタル信号処理デバイス(DSP)、グラフィックスデバイス、ビデオゲームデバイス、セットトップボックス、マイクロコントローラ、携帯電話、ポータブルメディアプレイヤ、ハンドヘルド型デバイス、及び他の様々な電子デバイスのための他のシステム設計及び構成も好適である。一般に、本明細書において開示される処理デバイス及び/又は他の実行ロジックを組み込むことができる多種多様なシステム又は電子デバイスが、概ね好適である。
ここで図11を参照すると、本開示の実施例に係るSoC1100のブロック図が示されている。また、破線ボックスは、より高度なSoCにおける機能である。図11において、相互接続ユニット1102が、1若しくは複数のコア1102A~N並びに共有キャッシュユニット1106のセットを含むアプリケーション処理デバイス1110、システムエージェントユニット1112、バスコントローラユニット1116、統合メモリコントローラユニット1114、統合グラフィックスロジック1108と、静止画及び/若しくは動画カメラ機能を提供するための画像処理デバイス1124と、ハードウェアのオーディオアクセラレーションを提供するためのオーディオ処理デバイス1126と、動画エンコード/デコードアクセラレーションを提供するためのビデオ処理デバイス1128とを含み得る、1セット又は1若しくは複数のメディア処理デバイス1120、スタティックランダムアクセスメモリ(SRAM)ユニット1130、直接メモリアクセス(DMA)ユニット1132、並びに1若しくは複数の外部ディスプレイに結合するためのディスプレイユニット1140に結合される。信頼ドメインを用いて仮想化されたシステムにおける分離を提供することの実施例は、SoC1100において実施され得る。
次に図12を参照すると、本開示の実施例に係る、SoC設計の実施例が示される。図示的な例として、SoC1200は、ユーザ機器(UE)に含まれる。一実施例において、UEは、携帯電話、スマートフォン、タブレット、超薄型ノートブック、ブロードバンドアダプタ付ノートブック、又は、任意の他の類似の通信デバイス等、通信目的でエンドユーザにより用いられる任意のデバイスを指す。UEは、性質においてGSM(登録商標)ネットワークにおける移動局(MS)に対応し得る、基地局又はノードに接続し得る。信頼ドメインを用いて仮想化されたシステムにおける分離を提供することの実施例は、SoC1200において実施され得る。
ここでは、SoC1220は、2つのコア1206及び1207を含む。上記の検討と同様に、コア1206及び1207は、インテル(登録商標)のアーキテクチャコア(登録商標)を有する処理デバイス、アドバンストマイクロデバイセズ株式会社(AMD)の処理デバイス、MIPSベースの処理デバイス、ARMベースの処理デバイス設計、又はそれらの顧客、並びにそれらの特許権者若しくは採用者等の命令セットアーキテクチャに適合し得る。コア1206及び1207は、バスインタフェースユニット1209及びL2キャッシュ1210と関連付けられ、システム1200の他の一部と通信するキャッシュ制御1208に結合されている。相互接続1211は、IOSF、AMBA等のオンチップ相互接続、又は上述の他の相互接続を含み、これらは説明される本開示の1又は複数の態様を実施し得る。
相互接続1211は、SIMカードにインタフェース接続する加入者識別モジュール(SIM)1230、SoC1200を初期化及びブートするべくコア1206及び1207により実行するブートコードを保持するブートROM1235、外部メモリ(例えば、DRAM1260)とインタフェースするSDRAMコントローラ1240、不揮発性メモリ(例えば、フラッシュ1265)とインタフェース接続するフラッシュコントローラ1245、周辺機器とインタフェース接続する周辺制御器1250(例えば、シリアル周辺機器インタフェース)、入力(例えば、タッチでイネーブルされる入力)を表示及び受信する動画コーデック1220及び動画インタフェース1225、グラフィックス関連の計算を実行するGPU1215等のような、他のコンポーネントに通信チャネルを提供する。これらのインタフェースの何れも、本明細書において説明される実施例の態様を組み込み得る。
加えて、システムは、Bluetooth(登録商標)モジュール1270、3Gモデム1275、GPS1280、及びWi-Fi(登録商標)1285等、通信用周辺機器を示す。上述のように、UEは通信用無線を含むことに留意されたい。結果として、これらの周辺機器通信モジュールは、全てが含まれない場合がある。しかしながら、UEにおいて、何らかの形態の外部通信用の無線が備えられるべきである。
図13は、例示的な形態のコンピューティングシステム1300における図的表現のマシンを示し、この中で、マシンに本明細書において検討される方法論のうち任意の1又は複数を実行させる命令のセットが実行され得る。代替的な実施例において、マシンが、LAN、イントラネット、エクストラネット、又はインターネット内の他のマシンに接続され得る(例えば、ネットワーク接続)。マシンは、クライアントサーバネットワーク環境におけるサーバ若しくはクライアントデバイスの容量で、又はピアツーピア(若しくは分散された)ネットワーク環境におけるピアマシンとして動作し得る。マシンは、パーソナルコンピュータ(PC)、タブレットPC、セットトップボックス(STB)、携帯情報端末(PDA)、携帯電話、ウェブ機器、サーバ、ネットワークルータ、スイッチ若しくはブリッジ、又は当該マシンにより取られるべきアクションを指定する(シーケンシャル又はその他の)命令のセットを実行することができる任意のマシンであってもよい。更に、単一のマシンのみが図示されているが、「マシン」という用語はまた、本明細書において検討される方法論のうち任意の1又は複数を実行する命令のセット(又は複数のセット)を個々に、又は共同して実行するマシンの任意の集合を含むと解釈されるものとする。変換ページ及びセクションの実施例は、コンピューティングシステム1300に実施され得る。
コンピューティングシステム1300は、処理デバイス1302、メインメモリ1304(例えば、リードオンリメモリ(ROM)、フラッシュメモリ、ダイナミックランダムアクセスメモリ(DRAM)(同期DRAM(SDRAM)若しくはDRAM(RDRAM)等のような))、スタティックメモリ1306(例えば、フラッシュメモリ、スタティックランダムアクセスメモリ(SRAM)等)、及びデータストレージデバイス1318を含み、これらは、バス1330を介して互いに通信する。
処理デバイス1302は、マイクロプロセッシングデバイス、中央処理装置等のような、1又は複数の汎用処理デバイスを表す。より具体的には、処理デバイスは、複合命令セットコンピューティング(CISC)マイクロプロセッシングデバイス、縮小命令セットコンピュータ(RISC)マイクロプロセッシングデバイス、超長命令語(VLIW)マイクロプロセッシングデバイス、若しくは他の命令セットを実施する処理デバイス、又は命令セットの組み合わせを実施する処理デバイスであってもよい。また、処理デバイス1302は、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号処理デバイス(DSP)、ネットワーク処理デバイス等のような、1又は複数の特定用途処理デバイスであってもよい。一実施例において、処理デバイス1302は、1又は複数の処理デバイスコアを含み得る。処理デバイス1302は、本明細書において検討されるオペレーションを実行するための処理ロジック1326を実行するように構成される。一実施例において、処理デバイス1302は、図1Aのコンピューティングシステム100の一部であり得る。あるいは、コンピューティングシステム1300は、本明細書において説明される他のコンポーネントを含み得る。コアは、(オペレーション又はスレッドの2又はそれより多くの並列セットを実行する)マルチスレッディングをサポートし得、タイムスライスマルチスレッディング、同時マルチスレッディング(物理コアが同時にマルチスレッディングしているスレッドの各々のための論理コアを、単一の物理コアが提供する)、又はこれらの組み合わせ(例えば、タイムスライスフェッチ及びデコードを行い、その後にインテル(登録商標)ハイパースレッディング技術等の同時マルチスレッディングを行う)を含む様々な態様でこれを実行し得ることを理解されたい。
コンピューティングシステム1300は、ネットワーク1320に通信可能に結合されたネットワークインタフェースデバイス1308を更に含み得る。コンピューティングシステム1300は、動画ディスプレイユニット1310(例えば、液晶ディスプレイ(LCD)若しくはブラウン管(CRT))、英数字入力デバイス1312(例えばキーボード)、カーソル制御デバイス1314(例えばマウス)、信号生成デバイス1316(例えばスピーカ)、又は他の周辺デバイスも含み得る。更に、コンピューティングシステム1300は、グラフィックス処理ユニット1322、動画処理ユニット1328、及びオーディオ処理ユニット1332を含み得る。別の実施例において、コンピューティングシステム1300は、チップセット(図示せず)を含み得、これは、処理デバイス1302と共に機能するように設計された集積回路若しくはチップのグループを指し、処理デバイス1302と外部デバイスとの間の通信を制御する。例えば、チップセットは、処理デバイス1302を、メインメモリ1304及びグラフィックコントローラ等の超高速デバイスにリンクさせ、並びに処理デバイス1302を、USB、PCI若しくはISAバス等の周辺機器の低速周辺バスにリンクさせるマザーボード上のチップのセットであってもよい。
データストレージデバイス1318は、コンピュータ可読ストレージ媒体1324を含み得、この上に、本明細書において説明される機能の方法論のうち任意の1又は複数を実施するソフトウェア1326が格納されている。また、ソフトウェア1326は、コンピューティングシステム1300により実行される間、命令1326としてメインメモリ1304内に、及び/又は処理ロジック1326として処理デバイス1302の内部に、完全に、又は少なくとも部分的に存在し得る。メインメモリ1304及び処理デバイス1302もコンピュータ可読ストレージ媒体を構成する。
また、コンピュータ可読ストレージ媒体1324は、図1Aに関して説明されたような処理デバイス1302、及び/又は上記のアプリケーションを呼び出す方法を含むソフトウェアライブラリを利用して、命令1326を格納するべく用いられ得る。コンピュータ可読ストレージ媒体1324は、例示的な実施例において単一の媒体として示されているが、「コンピュータ可読ストレージ媒体」という用語は、1若しくは複数のセットの命令を格納する単一の媒体若しくは複数の媒体(例えば、集中若しくは分散データベース、及び/又は関連するキャッシュ及びサーバ)を含むものと解釈されるべきである。また、「コンピュータ可読ストレージ媒体」という用語は、マシンにより実行する命令のセットを格納、エンコード若しくは搬送することができ、かつ本実施例の方法論のうち任意の1又は複数をマシンに実行させる任意の媒体を含むものと解釈されるものである。従って、「コンピュータ可読ストレージ媒体」という用語は、ソリッドステートメモリ、ならびに光及び磁気媒体を含むが、これらに限定されないものと解釈されるものである。
以下の例は、更なる実施例に関する。例1は、信頼ドメインを用いて仮想化されたシステムにおける分離を提供するための処理デバイスである。例1に追加して、処理デバイスが、ソフトウェアアクセスに対してアクセス制御されるメモリ所有権テーブル(MOT)と、
処理コアと
を備える。
例1に追加して、処理コアは、
信頼ドメイン(TD)及びTDを管理する信頼ドメインリソースマネージャ(TDRM)を実行し、
TD又は処理デバイスにより実行される他のTDのうち1又は複数のグローバルメタデータを管理するために信頼ドメイン制御構造(TDCS)を維持し、
TDCSにより参照される、及びTDRM、仮想マシンマネージャ(VMM)、又は他のTDのうちの少なくとも1つからのソフトウェアアクセスに対してアクセス制御される、1又は複数の信頼ドメインスレッド制御構造(TD-TCS)におけるTDの実行状態を維持し、
MOTを参照し、TDに割り当てられた暗号化キーに対応する少なくとも1つのキー識別子(ID)を取得し、キーIDは、処理デバイスが、TDのコンテキストにおいて実行される処理デバイスに応答してTDに割り当てられたメモリページを復号化することを可能にし、TDに割り当てられたメモリページは、暗号化キーで暗号化され、
MOTを参照し、TDに割り当てられたホスト物理メモリページに対応するゲスト物理アドレスを取得し、
MOTから取得されたゲスト物理アドレスを、アクセスされたゲスト物理アドレスと一致させることは、処理デバイスが、TDのコンテキストにおいて実行される処理デバイスに応答して、TDに割り当てられたメモリページへアクセスすることを可能にする。
例2において、例1の主題は、
VMMは、拡張ページテーブル(EPT)を介して、TD、他のTD、又は1又は複数の仮想マシン(VM)のうちの少なくとも1つに関してメモリ管理を提供するTDRMコンポーネントを備える、
を任意で含み得る。例3において、例1~2の何れか1つの主題は、
TD-TCSは、TDCSを参照し、TDCSは、TDのロジックプロセッサに対応する1又は複数のTD-TCSのカウントを維持し、TD-TCSは、TDのスーパーバイザー実行状態及びユーザ実行状態を格納する、
を任意で含み得る。例4において、例1~3の何れか1つの主題は、
暗号化キーは、処理デバイスのマルチキートータルメモリ暗号化(MK-TME)エンジンにより生成される、
を任意で含み得る。
例5において、例1~4の何れか1つの主題は、
MK-TMEエンジンは、TDのメモリページを暗号化及び復号化すること、及びTDに割り当てられた永続メモリに対応するメモリページを暗号化及び復号化することにおける使用のためにTDに割り当てられたキーIDを介してアクセスされた複数の暗号化キーを生成し、MOTは、MOTにおいて各エントリと関連付けられた1つのキーIDを介して複数のキーIDを追跡する、
を任意で含み得る。例6において、例1~5の何れか1つの主題は、
処理コアは、EPTによりマッピングされたゲスト物理メモリページにアクセスするためにページウォークオペレーションの一部としてアクセスされたホスト物理メモリページに関してMOTを参照する、
を任意で含み得る。例7において、例1~6の何れか1つの主題は、
TDは、1又は複数のアプリケーションを管理するオペレーティングシステム(OS)、又は1又は複数の仮想マシン(VM)を管理するVMMのうちの少なくとも1つを備え、TD入力オペレーションが、VMMのうちの少なくとも1つからTDのOSへ、又はTDRMからTDのVMMへ、処理コアの動作コンテキストを遷移させる、
を任意で含み得る。
例8において、例1~7の何れか1つの主題は、
TDRMは、TDの信頼できるコンピューティングベース(TCB)に含まれない、
を任意で含み得る。例9において、例1~8の何れか1つの主題は、
TDCSは、TDの暗号測定をキャプチャする署名構造を備え、暗号測定は、処理デバイスの信頼のハードウェア・ルートにより署名され、署名構造は、暗号測定の検証のために証明団体に提供される、
を任意で含み得る。
例10において、例1~9の何れか1つの主題は、
処理コアは更に、少なくともTDRM、VMM、又は処理デバイスにより実行される他のTDを備えるソフトウェアからのソフトウェアアクセスに対してアクセス制御されるTDCSにおけるTDの測定状態を維持する、
を任意で含み得る。例11において、例1~10の何れか1つの主題は、
TDRMは、TD及び他のTDを管理する、
を任意で含み得る。上記の装置の全ての任意の特徴はまた、本明細書に記載の方法又は処理に関して実施され得る。
例12は、信頼ドメインを用いて仮想化されたシステムにおける分離を提供するための方法であって、
処理デバイス上で実行される信頼ドメイン(TD)を管理する信頼ドメインリソースマネージャ(TDRM)を実行する処理デバイスが、TD終了イベントを識別する段階と、
TD終了イベントの識別に応答して、TDに割り当てられた第1暗号化キーに対応する第1キー識別子(ID)を利用し、TDのTDスーパーバイザー実行状態及びユーザ実行状態を、TDに割り当てられたロジックプロセッサに対応する信頼ドメインスレッド制御構造(TD-TCS)に保存する段階であって、実行状態は、第1暗号化キーで暗号化され、TD-TCSは、TDRM、仮想マシンマネージャ(VMM)、又は処理デバイスにより実行される他のTDのうちの少なくとも1つからのソフトウェアアクセスに対してアクセス制御される、段階と、
処理デバイスのキーID状態を、第1キーIDから、TDRM、又はVMMのうちの少なくとも1つに対応する第2キーIDに修正する段階と、
TDRMに関するTDRM実行及び制御状態及び終了情報をロードし、処理デバイスに、TDRMのコンテキストにおいて動作させる、段階と
を備える
方法。
例13において、例12の主題は、
TDRMのコンテキストにおいて、TD入力イベントを実行する段階と、
TDRMに割り当てられた第2暗合化キーに対応する第2キー識別子(ID)を利用し、TDRMにより指定されたTDRM実行制御を、TDに割り当てられたロジックプロセッサに対応する信頼ドメインリソースマネージャ制御構造(TD-RCS)からロードする段階であって、実行状態は、第2暗合化キーで暗号化され、TD-RCSは、TD又は処理デバイスにより実行される他のVMのうちの少なくとも1つから拡張ページテーブル(EPT)を用いてアクセス制御される、段階と、
処理デバイスのキーID状態を、第2キーIDからTDに対応する第1キーIDに修正する段階と、
ユーザ実行状態及びスーパーバイザー実行状態を、TD-TCSからロードし、処理デバイスを、TDのコンテキストにおいて動作させる、段階と
を任意で含み得る。例14において、例12~13の何れか1つの主題は、
TDCS及びTD-TCSは、処理デバイスのメモリ所有権テーブル(MOT)を介して機密保護され、及びアクセス制御され、MOTは、第1キーIDをTDに関連付けるTDCSのための第1のエントリを備え、MOTは、第1キーIDを利用し、TDに対応するメモリページへのメモリアクセスのためのメモリ機密性を強制する、
を任意で含み得る。
例15において、例12~14の何れか1つの主題は、
MOTは、レンジレジスタを介してアクセス制御される、
を任意で含み得る。例16において、例12~15の何れか1つの主題は、
TDRM実行及び制御状態は、EPT及びMOTを介してアクセス制御されるTD-RCS構造からロードされ、MOTは、第2キーIDをTD-RCSを含む物理メモリページと関連付けるTD-RCS構造のための第2のエントリを備え、MOTは、第2キーIDを利用し、TDRMに対応するメモリページへのメモリアクセスのためのメモリ機密性を強制する、
を任意で含み得る。例17において、例12~16の何れか1つの主題は、
VMMは、1又は複数のTDを管理するTDRMを備えるルートVMMであり、TDは、1又は複数の仮想マシン(VM)を管理する非ルートVMMを備え、TD終了は、非ルートVMM又はTDの1又は複数のVMからルートVMM及びTDRMに処理コアの動作コンテキストを遷移させる、
を任意で含み得る。
例18において、例12~17の何れか1つの主題は、
暗号化キーは、処理デバイスのマルチキートータルメモリ暗号化(MK-TME)エンジンにより生成され、MK-TMEエンジンは、TDのエフェメラルメモリページ又は永続メモリページを暗号化することにおける使用のために、キーIDを介してTDに割り当てられた複数の暗号化キーを生成し、MOTは、MOTにおいて参照されるホスト物理ページ毎に1つのキーIDで、複数の暗号化キーIDを追跡する、
を任意で含み得る。
例19は、信頼ドメインを用いて仮想化されたシステムにおける分離を提供するためのシステムである。例19において、システムは、
命令を格納するメモリデバイスと、
メモリデバイスに動作可能に結合された処理デバイスと
を含む。例19に追加して、処理デバイスは、
信頼ドメイン(TD)を管理する信頼ドメインリソースマネージャ(TDRM)を実行する命令であって、TDRMは、TDの信頼できるコンピューティングベース(TCB)に含まれない、命令と、
TDRM、仮想マシンマネージャ(VMM)、又は処理デバイスにより実行される他のTDのうちの少なくとも1つからのソフトウェアアクセスに対してアクセス制御される、信頼ドメインスレッド制御構造(TD-TCS)におけるTDのユーザ実行状態及びスーパーバイザー実行状態を維持する命令と、
MOTを参照し、TDに割り当てられた暗号化キーに対応する少なくとも1つの暗号化キー識別子(ID)を取得する命令であって、キーIDは、処理デバイスが、TDのコンテキストにおいて実行される処理デバイスに応答してTDに割り当てられたメモリページを復号化することを可能にし、TDに割り当てられたメモリページは、暗号化キーIDを介して識別される暗号化キーで暗号化される、命令と、
MOTを参照し、TDに割り当てられたホスト物理メモリページに対応するゲスト物理アドレスを取得する命令であって、ゲスト物理アドレスを、アクセスされたゲスト物理アドレスと一致させることは、TDのコンテキストにおいて実行される処理デバイスに応答して、処理デバイスが、TDに割り当てられたメモリページへアクセスすることを可能にする、命令と
を実行する。
例20において、例19の主題は、
VMMは、拡張ページテーブル(EPT)を介してTD、他のTD、又は1又は複数の仮想マシン(VM)のうち1又は複数に関してメモリ管理を提供するTDRMコンポーネントを備える、
を任意で含み得る。例21において、例19~20の何れか1つの主題は、
TD-TCSは、TDのロジックプロセッサに対応し、TD-TCSは、TDのユーザ実行状態及びスーパーバイザー実行状態を、TD終了オペレーション上に格納し、TDのユーザ及びスーパーバイザー実行状態を、TD入力オペレーション上にロードし、TD-TCSは、TDRM、VMM、又は処理デバイスにより実行される他のTDのうちの少なくとも1つからのソフトウェアアクセスに対してアクセス制御される、
を任意で含み得る。例22において、例19~21の何れか1つの主題は、
暗号化キーは、処理デバイスのマルチキートータルメモリ暗号化(MK-TME)エンジンにより生成され、MK-TMEエンジンは、TDのエフェメラルメモリページ又は永続メモリページを暗号化することにおける使用のために、キーIDを介してTDに割り当てられた複数の暗号化キーを生成し、MOTは、MOTにおいて各エントリと関連付けられた1つのキーIDを介して複数の暗号化キーIDを追跡する、
を任意で含み得る。
例23において、例19~22の何れか1つの主題は、
VMMは、TDを管理するTDRMを備え、TDは、オペレーティングシステム(OS)、又は1又は複数の仮想マシン(VM)を管理する非ルートVMMを備え、TD入力オペレーションが、TDRMからTDの非ルートVMMへ、処理コアの動作コンテキストを遷移させる、
を任意で含み得る。上記のシステムの全ての任意の特徴はまた、本明細書に記載の方法又は処理に関して実施され得る。
例24は、信頼ドメインを用いて仮想化されたシステムにおける分離を提供するための非一時的コンピュータ可読媒体である。例24において、非一時的機械可読媒体は、
処理デバイスによりアクセスされる場合に、処理デバイスに、
信頼ドメイン(TD)を管理する信頼ドメインリソースマネージャ(TDRM)を実行する処理デバイスが、処理デバイスが、TDRMのコンテキストにおいて実行している間に、TD入力イベントを識別する段階と、
TD入力イベントの識別に応答して、TDRMに割り当てられた第1暗号化キーに対応する第1キー識別子(ID)を利用し、TDRMのTDRM制御状態を、TDRMに対応する信頼ドメインリソースマネージャ制御構造(TDRCS)からロードする段階であって、TDRM制御状態は、第1暗号化キーで暗号化され、TDRCSは、TD、又は処理デバイスにより実行される他のTDのうちの少なくとも1つからのソフトウェアアクセスに対してアクセス制御される、段階と、
処理デバイスのキーID状態を、第1キーIDから、TDに割り当てられた第2暗合化キーに対応する第2キーIDに修正する段階と、
信頼ドメインスレッド制御構造(TD-TCS)から、TDのTDユーザ実行状態及びスーパーバイザー実行状態をロードし、処理デバイスに、TDのコンテキストにおいて動作させる段階であって、TD-TCSは、TDRM、又は処理デバイスにより実行される他のTDのうちの少なくとも1つからのソフトウェアアクセスに対してアクセス制御される、段階と
を備えるオペレーションを実行させるデータを含む。
例25において、例24の主題は、
TDRMのコンテキストにおいて、TD入力イベントを実行する段階と、
TDRMに割り当てられた第2暗合化キーに対応する第2キー識別子(ID)を利用し、TDRMにより指定されたTDRM実行制御を、TDに割り当てられたロジックプロセッサに対応する信頼ドメインリソースマネージャ制御構造(TD-RCS)からロードする段階であって、実行状態は、第2暗合化キーで暗号化され、TD-RCSは、TD又は処理デバイスにより実行される他のVMのうちの少なくとも1つから拡張ページテーブル(EPT)を用いてアクセス制御される、段階と、
処理デバイスのキーID状態を、第2キーIDからTDに対応する第1キーIDに修正する段階と、
ユーザ実行状態及びスーパーバイザー実行状態を、TD-TCSからロードし、処理デバイスを、TDのコンテキストにおいて動作させる、段階と
を任意で含み得る。
例26において、例30~31の主題は、
TDCS及びTD-TCSは、処理デバイスのメモリ所有権テーブル(MOT)を介して機密保護及びアクセス制御され、MOTは、第1キーIDをTDに関連付けるTDCSのための第1のエントリを備え、MOTは、第1キーIDを利用し、TDに対応するメモリページへのメモリアクセスのためのメモリ機密を強制する、
を任意で含み得る。例27において、例30~32の主題は、
MOTは、レンジレジスタを介してアクセス制御される、
を任意で含み得る。
例28において、例30~33の主題は、
TDRM実行及び制御状態は、EPT及びMOTを介してアクセス制御されるTD-RCS構造からロードされ、MOTは、第2キーIDをTD-RCSを含む物理メモリページと関連付けるTD-RCS構造のための第2のエントリを備え、MOTは、第2キーIDを利用し、TDRMに対応するメモリページへのメモリアクセスのためのメモリ機密性を強制する、
を任意で含み得る。
例29において、例30~34の主題は、
VMMは、1又は複数のTDを管理するTDRMを備えるルートVMMであり、TDは、1又は複数の仮想マシン(VM)を管理する非ルートVMMを備え、TD終了は、非ルートVMM又はTDの1又は複数のVMからルートVMM及びTDRMに処理コアの動作コンテキストを遷移させる、
を任意で含み得る。例30において、例30~35の主題は、
暗号化キーは、処理デバイスのマルチキートータルメモリ暗号化(MK-TME)エンジンにより生成され、MK-TMEエンジンは、TDのエフェメラルメモリページ又は永続メモリページを暗号化することにおける使用のために、キーIDを介してTDに割り当てられた複数の暗号化キーを生成し、MOTは、MOTにおいて参照されるホスト物理ページ毎に1つのキーIDで、複数の暗号化キーIDを追跡する、
を任意で含み得る。
例31は、信頼ドメインを用いて仮想化されたシステムにおける分離を提供するための装置であり、装置は、
処理デバイスが、信頼ドメイン(TD)を管理する信頼ドメインリソースマネージャ(TDRM)を実行するための手段であって、TDは、処理デバイスにより実行される、手段と、
TD又は処理デバイスにより実行される他のTDのうち1又は複数のグローバルメタデータを管理するために信頼ドメイン制御構造(TDCS)を維持するための手段と、
TDRM、仮想マシンマネージャ(VMM)、又は他のTDのうちの少なくとも1つからのソフトウェアアクセスに対してアクセス制御される、1又は複数の信頼ドメインスレッド制御構造(TD-TCS)におけるTDの実行状態を維持するための手段と、
MOTを参照し、TDに割り当てられた暗号化キーに対応する少なくとも1つのキー識別子(ID)を取得し、キーIDは、処理デバイスが、TDのコンテキストにおいて実行される処理デバイスに応答してTDに割り当てられたメモリページに機密アクセスすることを可能にし、TDに割り当てられたメモリページは、暗号化キーで暗号化される、手段と、
MOTを参照し、TDに割り当てられたホスト物理メモリページに対応するゲスト物理アドレスを取得する手段であって、MOTから取得されたゲスト物理アドレスを、アクセスされたゲスト物理アドレスと一致させることは、TDのコンテキストにおいて実行される処理デバイスに応答して、処理デバイスに、TDに割り当てられたメモリページへのアクセスを可能にする、手段と
を備える。例32において、例31の主題は、例2から11の何れか1つの主題を含むように更に構成された装置を任意で含み得る。
例33は、信頼ドメインを用いて仮想化されたシステムにおける分離を提供するためのシステムであって、システムは、命令を格納するメモリデバイスと、メモリデバイスに動作可能に結合された処理コアとを備える。例33に追加して、処理コアは、
処理デバイス上で実行される信頼ドメイン(TD)を管理する、信頼ドメインリソースマネージャ(TDRM)を実行する、
TD終了イベントを識別する、
TD終了イベントの識別に応答して、TDに割り当てられた第1暗号化キーに対応する第1キー識別子(ID)を利用し、TDのTDスーパーバイザー実行状態及びユーザ実行状態を、TDに割り当てられたロジックプロセッサに対応する信頼ドメインスレッド制御構造(TD-TCS)に保存することであって、実行状態は、第1暗号化キーで暗号化され、TD-TCSは、TDRM、仮想マシンマネージャ(VMM)、又は処理デバイスにより実行される他のTDのうちの少なくとも1つからのソフトウェアアクセスに対してアクセス制御される、
処理デバイスのキーID状態を、第1キーIDから、TDRM、又はVMMのうちの少なくとも1つに対応する第2キーIDに修正する、及び
TDRMに関するTDRM実行及び制御状態及び終了情報をロードし、処理デバイスに、TDRMのコンテキストにおいて動作させる。例34において、例33の主題は、例13~18の何れか1つの主題を任意で含み得る。
例35は、メモリと、メモリに結合された処理デバイスとを備える、信頼ドメインを用いて仮想化されたシステムにおける分離を提供することを実施するための装置であり、処理デバイスは、例12~18の何れかの方法を実行する。例36は、例12~18の何れか1つの方法を実行するための手段を備える、信頼ドメインを用いて仮想化されたシステムにおける分離を提供することを実施するための装置である。例37は、コンピューティングデバイス上で実行されることに応答して、コンピューティングデバイスに例12~18の何れか1つに係る方法を実行させる複数の命令を備えた、少なくとも1つの機械可読媒体である。例における具体的事柄は、1又は複数の実施形態の何れの箇所でも用いられ得る。
本開示は、限定された数の実施例に関して説明されたが、当業者であればそこから様々な修正形態及び改変形態を理解するであろう。添付の特許請求の範囲が、本開示の真の趣旨及び範囲内に含まれるそのような全ての修正形態及び改変形態を包含することが意図される。
本明細書における説明において、本開示の完全な理解を提供するべく、特定のタイプの処理デバイス及びシステム構成、特定のハードウェア構造、特定のアーキテクチャ及びマイクロアーキテクチャの詳細、特定のレジスタ構成、特定の命令型、特定のシステムコンポーネント、特定の寸法/高さ、特定の処理デバイスパイプライン段階、及びオペレーションの例等のような、様々な具体的な詳細を記載している。しかしながら、本開示を実施するのにこれらの具体的な詳細を使用する必要がないことは、当業者にとって明らかであろう。他の例において、特定の代替的処理デバイスアーキテクチャ、説明されたアルゴリズムの特定のロジック回路/コード、特定のファームウェアコード、特定の相互接続動作、特定のロジック構成、特定の製造技術及び材料、特定のコンパイラ実装、コード中の特定のアルゴリズム表現、特定のパワーダウン及びゲーティング技術/ロジック、並びに他の特定のコンピュータシステムの動作可能な詳細等、よく知られたコンポーネント又は方法は、本開示を不必要に不明瞭にするのを回避するべく、詳細には説明されない。
実施例は、コンピューティングプラットフォーム又はマイクロプロセッシングデバイス等における特定の集積回路の、信頼ドメインを用いて仮想化されたシステムにおける分離を提供することを参照して説明されている。また、実施例は、他のタイプの集積回路及びプログラマブルロジックデバイスに適用可能であり得る。例えば、開示された実施例は、デスクトップコンピュータシステム又はインテル(登録商標)のウルトラブック(商標)コンピュータ等、携帯型コンピュータに限定されない。また、ハンドヘルドデバイス、タブレット、他の薄いノートブック、システムオンチップ(SoC)デバイス、及びエンベデッドアプリケーション等、他のデバイスにおいても使用され得る。ハンドヘルドデバイスのいくつかの例としては、携帯電話、インターネットプロトコルデバイス、デジタルカメラ、携帯情報端末(PDA)及びハンドヘルドPCが挙げられる。通常、エンベデッドアプリケーションは、マイクロコントローラ、デジタル信号処理デバイス(DSP)、システムオンチップ、ネットワークコンピュータ(NetPC)、セットトップボックス、ネットワークハブ、広域ネットワーク(WAN)スイッチ、又は、以下で教示される機能及びオペレーションを実行し得る任意の他のシステムを含む。システムは、任意の種類のコンピュータ又はエンベデッドシステムであり得ることが説明される。開示された実施例は特に、ウェアラブルデバイス(例えば腕時計)、電子インプラント、感覚・制御インフラストラクチャデバイス、コントローラ、監視及び制御データ収集(SCADA)システム等のローエンドデバイスに用いられ得る。更に、本明細書において説明される装置、方法及びシステムは、物理的コンピューティングデバイスに限定されないが、エネルギー管理及び効率性のためのソフトウェア最適化にも関連し得る。以下の説明において容易に明らかになるように、本明細書において説明される方法、装置及びシステムの実施例(ハードウェア、ファームウェア、ソフトウェア又はこれらの組み合わせを指すか否かに関係なく)は、性能についての考慮とバランスのとれた「グリーン技術」の将来に必須である。
本明細書における実施例は処理デバイスを参照して説明されるが、他の実施例が、他のタイプの集積回路及びロジックデバイスに適用可能である。本開示の実施例の類似する技術及び教示が、より高いパイプラインスループット及び改善された性能から利益を受け得る、他のタイプの回路又は半導体デバイスに適用され得る。本開示の実施例の教示は、データ操作を実行する任意の処理デバイス又は機械に適用可能である。しかしながら、本開示は、512ビット、256ビット、128ビット、64ビット、32ビット、若しくは16ビットデータのオペレーションを実行する処理デバイス若しくは機械に限定されず、データの操作若しくは管理が実行される任意の処理デバイス及び機械に適用され得る。更に、本明細書における説明は、例を提供し、添付の図面は、図示を目的として様々な例を示す。しかしながら、これらの例は、本開示の実施例の例を提供するのみならず、本開示の実施例の可能な限り全ての実施例における包括的列挙を提供することを単に意図するので、限定的意味に解釈されるべきではない。
以下の例は、実行ユニット及びロジック回路の文脈における命令処理及び分散を説明するが、機械により実行されると、機械に本開示の少なくとも1つの実施例と一致する機能を実行させる機械可読な有形媒体上に格納されたデータ又は命令により、本開示の他の実施例が達成され得る。一実施例において、本開示の実施例に関連する機能は、機械実行可能な命令で実施される。命令は、命令によりプログラミングされた汎用又は特定用途処理デバイスに、本開示の段階を実行させるべく用いられ得る。本開示の実施例は、コンピュータプログラム製品又はソフトウェアとして提供され得、これらは、本開示の実施例に係る1又は複数のオペレーションを実行するようにコンピュータ(又は他の電子デバイス)をプログラミングするべく用いられ得る命令を格納した機械又はコンピュータ可読媒体を含み得る。あるいは、本開示の実施例のオペレーションは、これらのオペレーションを実行する固定機能ロジックを含む特定のハードウェアコンポーネント、又はプログラミングされたコンピュータコンポーネント及び固定機能ハードウェアコンポーネントの任意の組み合わせにより実行され得る。
本開示の実施例を実行するロジックをプログラミングするのに用いられる命令は、DRAM、キャッシュ、フラッシュメモリ、又は他のストレージ等のシステムのメモリ内に格納され得る。更に、これらの命令は、ネットワークを介して、又は、他のコンピュータ可読媒体により配信され得る。従って、機械可読媒体は、機械(例えばコンピュータ)により可読な形態で情報を格納又は送信する任意のメカニズムを含み得るが、電気、光、アコースティック若しくは他の形態の伝搬信号(例えば、搬送波、赤外線信号、デジタル信号等)により、インターネットを介する情報の送信に用いられるフロッピー(登録商標)ディスケット、光ディスク、コンパクトディスクリードオンリメモリ(CD‐ROM)、及び磁気光ディスク、リードオンリメモリ(ROM)、ランダムアクセスメモリ(RAM)、消去可能プログラマブルリードオンリメモリ(EPROM)、電気的消去可能プログラマブルリードオンリメモリ(EEPROM)、磁気又は光カード、フラッシュメモリ、又は有形機械可読ストレージに限定されない。従って、コンピュータ可読媒体としては、機械(例えばコンピュータ)により可読な形態で電子命令又は情報を格納又は送信するのに好適の任意のタイプの有形機械可読媒体が挙げられる。
設計は、生成からシミュレーションを経由して製造へと様々な段階を経る場合がある。設計を表すデータは、いくつかの態様でその設計を表現し得る。まず、シミュレーションで有用なように、ハードウェアは、ハードウェア記述言語、又は別の機能記述言語を用いて表され得る。更に、ロジック及び/又はトランジスタゲートを用いる回路レベルモデルは、設計処理のいくつかの段階において生成され得る。更に、いくつかの段階では、大部分の設計が、ハードウェアモデルで様々なデバイスの物理的配置を表すデータのレベルに達する。従来の半導体製造技術が使用される場合、ハードウェアモデルを表すデータは、集積回路の製造に用いられるマスクのための異なるマスク層に、様々な機能が存在するか、又は存在しないかを指定するデータであり得る。設計の任意の表現において、データは、機械可読媒体に任意の形態で格納され得る。メモリ、又は、ディスク等の磁気若しくは光ストレージは、変調された光又は電気の波を介して送信される情報を、又は、そうした情報を送信するために別途生成された情報を格納する機械可読媒体であってもよい。コード又は設計を示し、又は搬送する電気的な搬送波が送信される場合に、その電気信号のコピー、バッファ処理、又は再送信が実行される程度において、新しいコピーが作成される。従って、通信プロバイダ又はネットワークプロバイダは、搬送波へエンコードされた情報等、本開示の実施例における技術を実施する物品を、有形の機械可読媒体に少なくとも一時的に格納してもよい。
本明細書において用いられるモジュールは、ハードウェア、ソフトウェア及び/又はファームウェアの任意の組み合わせを指す。例として、モジュールは、マイクロコントローラにより実行されるように適合されたコードを格納する非一時的媒体に関連したマイクロコントローラ等のハードウェアを含む。従って、一実施例において、モジュールは、ハードウェアを指し、具体的には、ハードウェアは、非一時的媒体上に保持されるべきコードを認識及び/又は実行するように構成されている。更に、別の実施例において、モジュールを用いる場合、コードを含む非一時的媒体を指し、具体的には、コードは予め定められたオペレーションを実行するべく、マイクロコントローラにより実行されるように適合されている。なおも別の実施例において、推測されるように、(本例における)モジュールという用語は、マイクロコントローラ及び非一時的媒体の組み合わせを指す場合がある。多くの場合、別個に図示されるモジュールの境界線は一般に異なり、潜在的には重複する。例えば、第1及び第2のモジュールは、ハードウェア、ソフトウェア、ファームウェア、又はそれらの組み合わせを共有するが、潜在的には、いくつかの独立したハードウェア、ソフトウェア又はファームウェアを保持し得る。一実施例において、ロジックという用語を用いる場合、トランジスタ、レジスタ等のハードウェア、又は、プログラマブルロジックデバイス等の他のハードウェアを含む。
一実施例において、「ように構成される」という文言を用いる場合、指定又は決定されたタスクを実行するべく、装置、ハードウェア、ロジック又は要素を、配置し、組み立て、製造し、販売の申出をし、輸入し、及び/又は、設計することを指す。この例においては、動作していない装置又はその要素が、指定されたタスクを実行するべく設計され、結合され、及び/又は相互接続されている場合には、依然として、指定された当該タスクを実行する「ように構成され」ている。純粋に図示的な例として、ロジックゲートは、動作の間は、0又は1を提供し得る。しかし、イネーブル信号をクロックへ提供する「ように構成される」ロジックゲートは、1又は0を提供し得る全ての潜在的なロジックゲートを含むわけではない。代わりに、ロジックゲートは、動作中に1又は0の出力がクロックをイネーブルにするように、いくつかの態様で結合されているものである。「ように構成される」という用語を用いる場合、動作を必要とはせず、その代わりに、装置、ハードウェア及び/又は要素の隠れた状態に焦点を当てたものであって、その隠れた状態において、装置、ハードウェア及び/又は要素は、装置、ハードウェア及び/又は要素が動作している場合に特定のタスクを実行するように設計されていることに再度留意されたい。
更に、「するべく」、「することができる」、及び又は「動作可能な」という文言を用いる場合、一実施例において、指定されたように装置、ロジック、ハードウェア及び/又は要素を用いることを可能にするように、いくつかの装置、ロジック、ハードウェア、及び/又は要素が設計されていることを指す。上記のように、一実施例における「するべく」、「することができる」、又は「動作可能な」を用いる場合、装置、ロジック、ハードウェア及び/又は要素の隠れた状態を指し、装置、ロジック、ハードウェア及び/又は要素は、動作をしているのではなく、指定されたように装置を用いることを可能にするように設計されていることに留意されたい。
本明細書中で用いられる値は、数、状態、ロジック状態、又はバイナリロジック状態についての任意の既知の表現を含み得る。多くの場合、ロジックレベル、ロジック値又は論理値という使用はまた、「1の」及び「0の」とも称され、それは単純にバイナリロジック状態を表す。例えば、1は高ロジックレベルを、0は低ロジックレベルを指す。一実施例において、トランジスタ又はフラッシュセル等のストレージセルは、単一の論理値又は多重の論理値を保持することができる場合がある。しかしながら、コンピュータシステムでは、他の値の表現が用いられてきた。例えば、10進数の10はまた、バイナリ値1010として、16進数では文字Aとして、表され得る。従って、値は、コンピュータシステムにおいて保持することが可能な情報の任意の表現を含む。
更に、状態が、値又は値の部分により表され得る。例として、ロジック1等の第1の値が、既定又は初期の状態を表し得るが、ロジックゼロ等の第2の値は、非既定状態を表し得る。更に、一実施例において、リセット及びセットという用語は、既定及び更新された値又は状態を、各々指す。例えば、既定値は潜在的に高論理値、即ち、リセットを含むが、更新された値は潜在的に低論理値、即ち、セットを含む。値の任意の組み合わせが、任意の状態の数を表すべく利用され得ることに留意されたい。
上記の方法、ハードウェア、ソフトウェア、ファームウェア又はコードの実施例は、機械アクセス可能、機械可読、コンピュータアクセス可能、又はコンピュータ可読媒体であって、かつ処理要素によって実行可能な媒体に格納された命令又はコードによって、実施され得る。非一時的機械アクセス可能/可読媒体は、コンピュータ又は電子システム等の機械により読み取り可能な形態で情報を提供する(即ち、格納及び/又は送信する)任意のメカニズムを含む。例えば、非一時的機械アクセス可能媒体としては、スタティックRAM(SRAM)又はダイナミックRAM(DRAM)等のランダムアクセスメモリ(RAM)、ROM、磁気又は光ストレージ媒体、フラッシュメモリデバイス、電気ストレージデバイス、光ストレージデバイス、音響ストレージデバイス、一時的な(伝搬された)信号(例えば、搬送波、赤外線信号、デジタル信号)、等から受信した情報を保持する他の形態のストレージデバイスが挙げられ、これらは、情報をそれ自身から受信できる非一時的媒体とは区別される。本開示の実施例を実行するロジックをプログラミングするのに用いられる命令は、DRAM、キャッシュ、フラッシュメモリ、又は他のストレージ等のシステムのメモリ内に格納され得る。更に、これらの命令は、ネットワークを介して、又は、他のコンピュータ可読媒体により配信され得る。従って、機械可読媒体は、機械(例えばコンピュータ)により可読な形態で情報を格納又は送信する任意のメカニズムを含み得るが、電気、光、アコースティック若しくは他の形態の伝搬信号(例えば、搬送波、赤外線信号、デジタル信号等)により、インターネットを介する情報の送信に用いられるフロッピー(登録商標)ディスケット、光ディスク、コンパクトディスクリードオンリメモリ(CD‐ROM)、及び磁気光ディスク、リードオンリメモリ(ROM)、ランダムアクセスメモリ(RAM)、消去可能プログラマブルリードオンリメモリ(EPROM)、電気的消去可能プログラマブルリードオンリメモリ(EEPROM)、磁気又は光カード、フラッシュメモリ、又は有形機械可読ストレージに限定されない。従って、コンピュータ可読媒体としては、機械(例えばコンピュータ)により可読な形態で電子命令又は情報を格納又は送信するのに好適の任意のタイプの有形機械可読媒体が挙げられる。
本明細書全体で「一実施例」又は「実施例」に言及する場合、その実施例に関連して説明された特定の機能、構造又は特性が、本開示の少なくとも1つの実施例に含まれることを意味する。従って、本明細書を通して、様々な箇所における「一実施例において」又は「ある実施例において」という文言が現れても、必ずしも全てが同一の実施例を指している訳ではない。更に、特定の機能、構造、又は特性は、1又は複数の実施例において、任意の好適な形で組み合わされ得る。
上述の説明において、詳細な説明は、特定の例示的な実施例を参照して提供される。しかしながら、添付の特許請求の範囲で記載される本開示のより広い趣旨及び範囲から逸脱することなく、様々な修正及び変更がなされ得ることは明らかであろう。従って、明細書及び図面は、限定的意味ではなく、例示的意味で顧慮されるものである。更に、上述の実施例、実施形態、及び/又は他の例示的な言語を用いる場合、同一の実施例又は同一の例を必ずしも指すわけではなく、異なる別個の実施例、並びに潜在的に同一の実施例を指す場合がある。
詳細な説明のいくつかの部分は、コンピュータメモリ内におけるデータビットに基づくオペレーションのアルゴリズム及びシンボル表現の観点から提示されている。これらのアルゴリズムの記載及び表現は、他の当業者に彼らの作業の実体を最も有効に伝達するべくデータ処理の当業者により用いられる手段である。アルゴリズムは、ここでは、通常、所望の結果をもたらす、オペレーションの自己矛盾のないシーケンスと考えられる。オペレーションは、物理量の物理的操作を必要とするものである。通常、必ずしもではないが、これらの量は、格納し、転送し、組み合わせ、比較し、または操作することができる電気信号又は磁気信号の形態を取る。主として、これらの信号をビット、値、要素、記号、文字、用語、数等として参照することは、共通に用いるという理由から時に好都合であることが明らかになっている。本明細書において説明されるブロックは、ハードウェア、ソフトウェア、ファームウェア、又はこれらの組み合わせであり得る。
しかしながら、これら及び類似の用語の全ては、適切な物理量に関連するものであり、これらの量に適用される便宜的標記にすぎないことを念頭に置かれたい。上記検討から明らかなように、別途具体的に記載されない限り、本明細書全体を通して「規定する」、「受信する」、「判断する」、「発行する」、「リンクする」、「関連する」、「取得する」、「認証する」、「阻止する」、「実行する」、「要求する」、「通信する」等の用語を利用して検討する場合、コンピューティングシステム、又はコンピューティングシステムのレジスタ及びメモリ内で物理的(例えば、電子)量として表されたデータを、コンピューティングシステムメモリ若しくはレジスタ、又は他のそのような情報ストレージ、送信若しくはディスプレイデバイス内で物理量として同様に表された他のデータに操作及び変換する類似の電子コンピューティングデバイスにおけるアクション又は処理を指すことを理解されたい。
本明細書で用いられる「例」又は「例示的」なる文言は、例示、例又は図示として役立つことを意味する。「例」又は「例示的」として本明細書において説明される任意の態様又は設計は、他の態様又は設計に対して好ましいか、又は有利なものとして必ずしも解釈されるものではない。むしろ、「例」又は「例示的」の文言を用いる場合、具体的な様式における概念を提示することが意図される。本願において用いられるように、「又は」という用語は、排他的な「又は」というよりはむしろ包含的な「又は」を意味することを意図する。つまり、別途指定され、又は文脈から明らかでない限り、「XはA又はBを含む」は、自然な包括的順列の何れかを意味することを意図する。即ち、XがAを含むか、XがBを含むか、又はXがA及びBの両方を含むとき、上述の例の何れかの下において「Xは、A又はBを含む」が満たされる。更に、本願及び添付の特許請求の範囲において用いられる冠詞「a」及び「an」は一般に、別途指定され、又は単数形を対象とすることが文脈から明らかでない限り、「1又は複数」を意味するものと解釈される。更に、全体を通して「実施形態」若しくは「一実施形態」、又は「実施例」若しくは「一実施例」という用語を用いる場合、そのように説明されない限り、同一の実施形態又は実施例を意味することを意図しない。また、「第1」、「第2」、「第3」、「第4」等の用語は、本明細書において用いられるとき、異なる要素を区別するための指標として意図されており、必ずしもそれらの数字指定に従った序数の意味を有するものではない場合がある。

Claims (15)

  1. 第1のテナントワークロードに割り当てられた暗号化キーに対応するキー識別子、前記第1のテナントワークロードに割り当てられたホスト物理メモリページに対応するゲスト物理アドレス、および前記ホスト物理メモリページのメタデータ属性を含むデータ構造を格納するメモリと、
    プロセッサとを備える装置であって、
    前記プロセッサは、
    テナントワークロード制御構造を作成するための第1の命令およびテナントワークロードスレッド制御構造を作成するための第2の命令を含む複数の命令をデコードする命令デコーダと、
    前記第1のテナントワークロードのメタデータを管理するための第1のテナントワークロード制御構造を作成し、前記第1のテナントワークロードの実行状態を維持するための第1のテナントワークロードスレッド制御構造を作成すべく、前記複数の命令のうちの1または複数を実行する1または複数の実行ユニットと、を有し、
    前記データ構造は、ソフトウェアアクセスに対してアクセス制御され、
    前記第1のテナントワークロードスレッド制御構造は、ソフトウェアアクセスに対してアクセス制御され、
    前記ホスト物理メモリページは、前記暗号化キーで暗号化され、
    前記1または複数の実行ユニットは、前記ゲスト物理アドレスを使用して前記第1のテナントワークロードを実行する場合、前記データ構造を参照して、前記キー識別子を取得し、前記装置が前記ホスト物理メモリページにアクセスして復号化できるようにし、
    前記第1のテナントワークロード制御構造は、前記キー識別子を格納する
    装置。
  2. 前記第1のテナントワークロードは、仮想マシンにおいて実行されるゲストソフトウェアを含む
    請求項1に記載の装置。
  3. 前記第1のテナントワークロードスレッド制御構造は、テナントワークロードリソースマネージャからのソフトウェアアクセスに対してアクセス制御される
    請求項1または2に記載の装置。
  4. 前記テナントワークロードリソースマネージャは、第1のハイパーバイザを含む
    請求項3に記載の装置。
  5. 前記第1のテナントワークロードは、第2のハイパーバイザを含む
    請求項4に記載の装置。
  6. 前記複数の命令はまた、前記ホスト物理メモリページを暗号化する第3の命令を含む、または
    前記複数の命令はまた、前記第1のテナントワークロードの実行を開始する第3の命令を含む
    請求項1から5のいずれか一項に記載の装置。
  7. 前記複数の命令はまた、前記第1のテナントワークロードに前記ホスト物理メモリページを割り当てる第3の命令を含む
    請求項1から5のいずれか一項に記載の装置。
  8. 前記複数の命令はまた、前記第1のテナントワークロードから前記ホスト物理メモリページを解除する第4の命令を含む
    請求項7に記載の装置。
  9. 前記複数の命令はまた、前記ホスト物理メモリページをブロックする第4の命令を含む
    請求項7に記載の装置。
  10. 前記複数の命令はまた、前記ホスト物理メモリページをブロック解除する第5の命令を含む
    請求項9に記載の装置。
  11. 前記複数の命令はまた、前記第1のテナントワークロードに割り当てられた全てのメモリを破棄する第4の命令を含む
    請求項7に記載の装置。
  12. テナントワークロードに割り当てられた暗号化キーに対応するキー識別子、前記テナントワークロードに割り当てられたホスト物理メモリページに対応するゲスト物理アドレス、および前記ホスト物理メモリページのメタデータ属性を含むデータ構造をメモリに格納する段階であって、前記データ構造は、ソフトウェアアクセスに対してアクセス制御される、段階と、
    テナントワークロード制御構造を作成するための第1の命令を、プロセッサにおける命令デコーダがデコードする段階と、
    前記テナントワークロードのメタデータを管理するために前記テナントワークロード制御構造を作成する前記第1の命令を前記プロセッサが実行する段階と、
    テナントワークロードスレッド制御構造を作成するための第2の命令を、前記プロセッサにおける前記命令デコーダがデコードする段階と、
    前記テナントワークロードの実行状態を維持するための前記テナントワークロードスレッド制御構造を作成するための前記第2の命令を前記プロセッサが実行する段階であって、前記テナントワークロードスレッド制御構造は、ソフトウェアアクセスに対してアクセス制御される、段階と、
    前記ホスト物理メモリページを前記暗号化キーで暗号化する段階と、
    前記ゲスト物理アドレスを使用して前記テナントワークロードを実行する場合、前記データ構造を参照して、前記キー識別子を取得し、前記プロセッサが前記ホスト物理メモリページにアクセスして復号化できるようにする段階とを備え
    前記テナントワークロード制御構造は、前記キー識別子を格納する
    方法。
  13. 前記テナントワークロードスレッド制御構造は、テナントワークロードリソースマネージャからのソフトウェアアクセスに対してアクセス制御される
    請求項12に記載の方法。
  14. 前記テナントワークロードリソースマネージャは、ハイパーバイザを含む
    請求項13に記載の方法。
  15. コンピューティングデバイス上で実行されることに応じて、前記コンピューティングデバイスに請求項12から14のいずれか一項に記載の方法を実行させる複数の命令を備える少なくとも1つの機械可読媒体。
JP2022124071A 2017-09-15 2022-08-03 信頼ドメインを用いた、仮想化されたシステムにおける分離の提供 Active JP7501982B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/705,562 2017-09-15
US15/705,562 US11687654B2 (en) 2017-09-15 2017-09-15 Providing isolation in virtualized systems using trust domains
JP2018121961A JP7118767B2 (ja) 2017-09-15 2018-06-27 信頼ドメインを用いた、仮想化されたシステムにおける分離の提供

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018121961A Division JP7118767B2 (ja) 2017-09-15 2018-06-27 信頼ドメインを用いた、仮想化されたシステムにおける分離の提供

Publications (2)

Publication Number Publication Date
JP2022172095A JP2022172095A (ja) 2022-11-15
JP7501982B2 true JP7501982B2 (ja) 2024-06-18

Family

ID=63294028

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018121961A Active JP7118767B2 (ja) 2017-09-15 2018-06-27 信頼ドメインを用いた、仮想化されたシステムにおける分離の提供
JP2022124071A Active JP7501982B2 (ja) 2017-09-15 2022-08-03 信頼ドメインを用いた、仮想化されたシステムにおける分離の提供

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2018121961A Active JP7118767B2 (ja) 2017-09-15 2018-06-27 信頼ドメインを用いた、仮想化されたシステムにおける分離の提供

Country Status (5)

Country Link
US (2) US11687654B2 (ja)
EP (4) EP4379592A2 (ja)
JP (2) JP7118767B2 (ja)
KR (2) KR102669289B1 (ja)
CN (1) CN109508555B (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10621351B2 (en) * 2016-11-01 2020-04-14 Raptor Engineering, LLC. Systems and methods for tamper-resistant verification of firmware with a trusted platform module
US10657071B2 (en) * 2017-09-25 2020-05-19 Intel Corporation System, apparatus and method for page granular, software controlled multiple key memory encryption
US10606764B1 (en) * 2017-10-02 2020-03-31 Northrop Grumman Systems Corporation Fault-tolerant embedded root of trust using lockstep processor cores on an FPGA
US10938559B2 (en) * 2017-12-12 2021-03-02 Advanced Micro Devices, Inc. Security key identifier remapping
US11397692B2 (en) * 2018-06-29 2022-07-26 Intel Corporation Low overhead integrity protection with high availability for trust domains
US11138320B2 (en) * 2018-12-20 2021-10-05 Intel Corporation Secure encryption key management in trust domains
US11283800B2 (en) 2019-03-08 2022-03-22 International Business Machines Corporation Secure interface control secure storage hardware tagging
US11455398B2 (en) * 2019-03-08 2022-09-27 International Business Machines Corporation Testing storage protection hardware in a secure virtual machine environment
US11068310B2 (en) 2019-03-08 2021-07-20 International Business Machines Corporation Secure storage query and donation
US11176054B2 (en) 2019-03-08 2021-11-16 International Business Machines Corporation Host virtual address space for secure interface control storage
US11669335B2 (en) * 2019-03-28 2023-06-06 Intel Corporation Secure arbitration mode to build and operate within trust domain extensions
US11099878B2 (en) 2019-06-28 2021-08-24 Intel Corporation Scalable virtual machine operation inside trust domains within the trust domain architecture
US11842227B2 (en) * 2019-10-10 2023-12-12 Advanced Micro Devices, Inc. Hypervisor secure event handling at a processor
US20210200858A1 (en) * 2019-12-28 2021-07-01 Intel Corporation Executing code in protected memory containers by trust domains
CN111339533B (zh) * 2020-02-14 2023-04-28 北京工业大学 一种面向应用层的可信密码模块接口设计方法
US11494523B2 (en) * 2020-08-14 2022-11-08 Intel Corporation Direct memory access mechanism
CN112003937B (zh) * 2020-08-21 2023-08-15 西安寰宇卫星测控与数据应用有限公司 卫星数据传输方法、装置、计算机设备、存储介质
US11748140B2 (en) * 2020-08-31 2023-09-05 Red Hat, Inc. Virtual machine security policy implementation
US11537761B2 (en) 2020-09-25 2022-12-27 Intel Corporation Transparent network access control for spatial accelerator device multi-tenancy
US11954047B2 (en) * 2020-09-26 2024-04-09 Intel Corporation Circuitry and methods for spatially unique and location independent persistent memory encryption
US20220141026A1 (en) * 2020-11-02 2022-05-05 Intel Corporation Graphics security with synergistic encryption, content-based and resource management technology
US20210141658A1 (en) * 2020-11-11 2021-05-13 Ravi Sahita Method and apparatus for trusted devices using trust domain extensions
US11856097B2 (en) * 2020-12-23 2023-12-26 Oracle International Corporation Mechanism to provide customer VCN network encryption using customer-managed keys in network virtualization device
US11848918B2 (en) 2020-12-23 2023-12-19 Oracle International Corporation End-to-end network encryption from customer on-premise network to customer virtual cloud network using customer-managed keys
US11960596B2 (en) * 2021-03-11 2024-04-16 Xilinx, Inc. Network interface device
US20230289479A1 (en) * 2022-03-11 2023-09-14 Intel Corporation Bypassing memory encryption for non-confidential virtual machines in a computing system
CN115118508B (zh) * 2022-06-28 2023-09-19 平安银行股份有限公司 数据管理方法、装置、电子设备及存储介质
WO2024000565A1 (en) * 2022-07-01 2024-01-04 Intel Corporation Methods and apparatuses to debug confidential virtual machine for processor in production mode
CN117407864B (zh) * 2023-12-13 2024-02-27 苏州元脑智能科技有限公司 一种可信域扩展方法、***、装置、设备及计算机介质

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100281273A1 (en) 2009-01-16 2010-11-04 Lee Ruby B System and Method for Processor-Based Security
JP2016523421A (ja) 2013-06-27 2016-08-08 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation ハイパーバイザに制御されるシステムにおいてゲスト・イベントを処理するための方法、データ処理プログラム、コンピュータ・プログラム製品、およびデータ処理システム
JP2016526730A (ja) 2013-07-05 2016-09-05 ビットディフェンダー アイピーアール マネジメント リミテッド 仮想マシンにおけるマルウェア検出のためのプロセス評価
WO2016203190A1 (en) 2015-06-16 2016-12-22 Arm Limited Shared pages
WO2016203189A1 (en) 2015-06-16 2016-12-22 Arm Limited Secure initialisation

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5495614A (en) * 1994-12-14 1996-02-27 International Business Machines Corporation Interface control process between using programs and shared hardware facilities
FR2860084A1 (fr) * 2003-09-22 2005-03-25 Joseph Michel Koskas Algorithme hierarchique de gestion de bases de donnees
US20070174429A1 (en) * 2006-01-24 2007-07-26 Citrix Systems, Inc. Methods and servers for establishing a connection between a client system and a virtual machine hosting a requested computing environment
US7882318B2 (en) * 2006-09-29 2011-02-01 Intel Corporation Tamper protection of software agents operating in a vitual technology environment methods and apparatuses
KR20120115560A (ko) * 2009-10-15 2012-10-18 인터디지탈 패튼 홀딩스, 인크 가입 기반 서비스에 액세스하기 위한 등록 및 크리덴셜 롤 아웃
US9537650B2 (en) * 2009-12-15 2017-01-03 Microsoft Technology Licensing, Llc Verifiable trust for data through wrapper composition
EP2672672A1 (en) * 2012-06-07 2013-12-11 Alcatel-Lucent Secure data processing
US9727355B2 (en) * 2013-08-23 2017-08-08 Vmware, Inc. Virtual Hadoop manager
US10747563B2 (en) * 2014-03-17 2020-08-18 Vmware, Inc. Optimizing memory sharing in a virtualized computer system with address space layout randomization (ASLR) enabled in guest operating systems wherein said ASLR is enable during initialization of a virtual machine, in a group, when no other virtual machines are active in said group
US9785576B2 (en) * 2014-03-27 2017-10-10 Intel Corporation Hardware-assisted virtualization for implementing secure video output path
US9652276B2 (en) * 2014-09-17 2017-05-16 International Business Machines Corporation Hypervisor and virtual machine protection
US10489309B2 (en) * 2014-10-21 2019-11-26 Intel Corporation Memory protection key architecture with independent user and supervisor domains
GB2532415A (en) 2014-11-11 2016-05-25 Ibm Processing a guest event in a hypervisor-controlled system
WO2016106566A1 (zh) * 2014-12-30 2016-07-07 华为技术有限公司 虚拟化***中加解密的方法、装置和***
GB2539428B (en) * 2015-06-16 2020-09-09 Advanced Risc Mach Ltd Data processing apparatus and method with ownership table
GB2539433B8 (en) * 2015-06-16 2018-02-21 Advanced Risc Mach Ltd Protected exception handling
US10102151B2 (en) * 2015-11-06 2018-10-16 International Business Machines Corporation Protecting a memory from unauthorized access
US20170277898A1 (en) * 2016-03-25 2017-09-28 Advanced Micro Devices, Inc. Key management for secure memory address spaces
US10255202B2 (en) 2016-09-30 2019-04-09 Intel Corporation Multi-tenant encryption for storage class memory
US20180165224A1 (en) * 2016-12-12 2018-06-14 Ati Technologies Ulc Secure encrypted virtualization
US10404674B1 (en) * 2017-02-28 2019-09-03 Amazon Technologies, Inc. Efficient memory management in multi-tenant virtualized environment
US10353729B1 (en) * 2017-03-24 2019-07-16 Intuit Inc. Managing service dependencies across virtual machines in a development environment
US20190004973A1 (en) 2017-06-28 2019-01-03 Intel Corporation Multi-key cryptographic memory protection

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100281273A1 (en) 2009-01-16 2010-11-04 Lee Ruby B System and Method for Processor-Based Security
JP2016523421A (ja) 2013-06-27 2016-08-08 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation ハイパーバイザに制御されるシステムにおいてゲスト・イベントを処理するための方法、データ処理プログラム、コンピュータ・プログラム製品、およびデータ処理システム
JP2016526730A (ja) 2013-07-05 2016-09-05 ビットディフェンダー アイピーアール マネジメント リミテッド 仮想マシンにおけるマルウェア検出のためのプロセス評価
WO2016203190A1 (en) 2015-06-16 2016-12-22 Arm Limited Shared pages
WO2016203189A1 (en) 2015-06-16 2016-12-22 Arm Limited Secure initialisation

Also Published As

Publication number Publication date
EP3657378A1 (en) 2020-05-27
JP2022172095A (ja) 2022-11-15
JP7118767B2 (ja) 2022-08-16
US20230315857A1 (en) 2023-10-05
EP3885958A1 (en) 2021-09-29
EP4379592A2 (en) 2024-06-05
KR20190031136A (ko) 2019-03-25
US11687654B2 (en) 2023-06-27
EP3457311A1 (en) 2019-03-20
EP3657378B1 (en) 2021-05-26
EP3457311B1 (en) 2020-02-26
KR20240081462A (ko) 2024-06-07
US20190087575A1 (en) 2019-03-21
JP2019053720A (ja) 2019-04-04
CN109508555A (zh) 2019-03-22
KR102669289B1 (ko) 2024-05-28
CN109508555B (zh) 2024-06-18

Similar Documents

Publication Publication Date Title
JP7501982B2 (ja) 信頼ドメインを用いた、仮想化されたシステムにおける分離の提供
EP3671515B1 (en) Method and apparatus for trust domain creation and destruction
JP6225395B2 (ja) セキュアなメモリの再パーティショニング
US11099878B2 (en) Scalable virtual machine operation inside trust domains within the trust domain architecture
US11422811B2 (en) Restartable cache write-back and invalidation
CN109643293B (zh) 检测总线上锁条件并且避免总线锁
US20210397721A1 (en) Secure encryption key management in trust domains
US12021980B2 (en) Restricting usage of encryption keys by untrusted software
CN110968394A (zh) 虚拟机与其监视器之间的页面属性的分割控制方法和***

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231024

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240123

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240315

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240604

R150 Certificate of patent or registration of utility model

Ref document number: 7501982

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150