JP7472114B2 - 堆積副生成物の蓄積からの真空ポンプの保護 - Google Patents

堆積副生成物の蓄積からの真空ポンプの保護 Download PDF

Info

Publication number
JP7472114B2
JP7472114B2 JP2021517274A JP2021517274A JP7472114B2 JP 7472114 B2 JP7472114 B2 JP 7472114B2 JP 2021517274 A JP2021517274 A JP 2021517274A JP 2021517274 A JP2021517274 A JP 2021517274A JP 7472114 B2 JP7472114 B2 JP 7472114B2
Authority
JP
Japan
Prior art keywords
deposition
pump
gas
roughing pump
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021517274A
Other languages
English (en)
Other versions
JPWO2020069206A5 (ja
JP2022501829A (ja
Inventor
ドリュアリィ・ジョン・スティーブン
カンプ・トム・エー.
ヤン・ハオクアン
ドーアティ・ジョン・エドワード
タン・アリ・スシプト
ツェン・ミン-クエイ
フリーマン・ブルース
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022501829A publication Critical patent/JP2022501829A/ja
Publication of JPWO2020069206A5 publication Critical patent/JPWO2020069206A5/ja
Application granted granted Critical
Publication of JP7472114B2 publication Critical patent/JP7472114B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D19/00Axial-flow pumps
    • F04D19/02Multi-stage pumps
    • F04D19/04Multi-stage pumps specially adapted to the production of a high vacuum, e.g. molecular pumps
    • F04D19/042Turbomolecular vacuum pumps
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D19/00Axial-flow pumps
    • F04D19/02Multi-stage pumps
    • F04D19/04Multi-stage pumps specially adapted to the production of a high vacuum, e.g. molecular pumps
    • F04D19/046Combinations of two or more different types of pumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/182Obtaining or maintaining desired pressure
    • H01J2237/1825Evacuating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/186Valves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

参照による援用
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時提出されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。
真空ポンプは、半導体処理機器で広く使用されており、処理チャンバ内に清浄な環境および/または低圧環境を提供する。そのような真空ポンプは、処理チャンバに流体接続され、副生成物ならびに未使用のエッチング前駆体および堆積前駆体を除去することができる。一部の真空ポンプは、時間の経過と共に真空ポンプを腐食あるいは劣化させる可能性のあるエッチング前駆体と堆積前駆体の混合による望ましくない副生成物の蓄積に対して脆弱であり得る。
ここで提供される背景は、本開示の内容を概ね提示することを目的とする。この背景技術で説明されている範囲内における、現時点で名前を挙げられている発明者らによる研究、ならびに出願の時点で先行技術として別途みなされ得ない説明の態様は、明示または暗示を問わず、本開示に対抗する先行技術として認められない。
処理チャンバと、1つまたは複数のエッチングガスを処理チャンバに導入するように構成されているエッチングガス供給システムと、1つまたは複数の堆積前駆体を処理チャンバに導入するように構成されている堆積前駆体供給システムと、処理チャンバと流体連通する真空ポンプシステムとを含む装置が本明細書で提供される。真空ポンプシステムは、第1の粗引きポンプ、第2の粗引きポンプ、およびターボ分子ポンプを含み、ターボ分子ポンプは、第1の粗引きポンプおよび第2の粗引きポンプの一方または両方と流体連通する。
いくつかの実施態様では、真空ポンプシステムは、1つまたは複数のエッチングガスを第1の粗引きポンプに導き、1つまたは複数の堆積前駆体を第2の粗引きポンプに導くように構成されている。いくつかの実施態様では、真空ポンプシステムは、処理チャンバと流体連通し、処理チャンバから1つまたは複数のエッチングガスおよび1つまたは複数の堆積前駆体を受け取るように構成されているフォアラインと、フォアラインに結合され、第1の位置で1つまたは複数のエッチングガスを第1の粗引きポンプに導くように構成され、第2の位置で1つまたは複数の堆積前駆体を第2の粗引きポンプに導くように構成されている弁とをさらに含む。いくつかの実施態様では、真空ポンプシステムは、堆積前駆体供給システムと流体連通する迂回ラインをさらに含み、迂回ラインは、堆積サイクルにおいて未使用の堆積前駆体を堆積前駆体供給システムから第2の粗引きポンプへ迂回させるように構成されている。いくつかの実施態様では、1つまたは複数のエッチングガスは、臭化水素(HBr)を含み、1つまたは複数の堆積前駆体は、アミノシラン前駆体を含む。
本開示の別の態様は、処理チャンバから1つまたは複数のエッチングガスおよび1つまたは複数の堆積前駆体を排気するための真空ポンプシステムを伴う。真空ポンプシステムは、処理チャンバから1つまたは複数のエッチングガスを受け取るための第1の粗引きポンプと、処理チャンバから1つまたは複数の堆積前駆体を受け取るための第2の粗引きポンプとを含み、第1および第2の粗引きポンプの一方または両方は、ターボ分子ポンプと流体連通するように構成されている。
いくつかの実施態様では、真空ポンプシステムは、処理チャンバと流体連通し、処理チャンバから1つまたは複数のエッチングガスおよび1つまたは複数の堆積前駆体を受け取るように構成されているフォアラインと、フォアラインに結合され、第1の位置で1つまたは複数のエッチングガスを第1の粗引きポンプに導くように構成され、第2の位置で1つまたは複数の堆積前駆体を第2の粗引きポンプに導くように構成されている弁とをさらに含む。
本開示の別の態様は、処理チャンバから1つまたは複数のエッチングガスおよび1つまたは複数の堆積前駆体を排気するための真空ポンプシステムを伴う。真空ポンプシステムは、処理チャンバから1つまたは複数のエッチングガスおよび1つまたは複数の堆積前駆体を受け取るための第1の粗引きポンプと、堆積サイクルにおいて未使用の堆積前駆体を受け取るための第2の粗引きポンプとを含み、第1および第2の粗引きポンプの一方または両方は、ターボ分子ポンプと流体連通するように構成されている。
いくつかの実施態様では、真空ポンプシステムは、1つまたは複数のエッチングガスおよび1つまたは複数の堆積前駆体を第1の粗引きポンプに導き、堆積サイクルにおける未使用の堆積前駆体を第2の粗引きポンプに導くように構成されている。いくつかの実施態様では、真空ポンプシステムは、堆積前駆体供給システムと流体連通する迂回ラインをさらに含み、迂回ラインは、堆積サイクルにおいて未使用の堆積前駆体を堆積前駆体供給システムから第2の粗引きポンプへ迂回させるように構成されている。いくつかの実施態様では、1つまたは複数のエッチングガスは、臭化水素(HBr)を含み、1つまたは複数の堆積前駆体は、アミノシラン前駆体を含む。
本開示の別の態様は、真空ポンプシステムを洗浄する方法を伴う。方法は、処理チャンバ内のウエハに対して1つまたは複数の堆積動作を実施することと、処理チャンバ内のウエハに対して1つまたは複数のエッチング動作を実施することと、真空ポンプシステムを通って流れる反応性ガスを使用して洗浄動作を実施することであって、洗浄動作は、1つまたは複数のエッチング動作の前または後に実施され、真空ポンプシステムは、処理チャンバと流体連通することとを含む。
いくつかの実施態様では、洗浄動作を実施することは、堆積動作とエッチング動作との間に行われる。いくつかの実施態様では、1つまたは複数の堆積動作、1つまたは複数のエッチング動作、および洗浄動作を実施することは、処理チャンバ内のウエハを用いて行われる。いくつかの実施態様では、洗浄動作を実施することは、処理チャンバ内にウエハなしで行われる。いくつかの実施態様では、反応性ガスは、三フッ化窒素(NF3)、六フッ化硫黄(SF6)、四フッ化炭素(CF4)、三フッ化塩素(ClF3)、塩素(Cl2)、酸素(O2)、オゾン(O3)、またはそれらの組み合わせを含む。いくつかの実施態様では、反応性ガスは、オゾンを含む。いくつかの実施態様では、方法は、プラズマ反応によって処理チャンバ内でin-situで反応性ガスを生成することをさらに含む。いくつかの実施態様では、方法は、フォアラインに位置するプラズマ源によって反応性ガスを生成することをさらに含み、フォアラインは、真空ポンプシステムと処理チャンバとの間の相互接続を提供する。いくつかの実施態様では、方法は、フォアラインの外側に位置する遠隔プラズマ源によって反応性ガスを生成することをさらに含み、フォアラインは、真空ポンプシステムと処理チャンバとの間の相互接続を提供する。
本開示の別の態様は、処理チャンバから1つまたは複数のエッチングガスおよび1つまたは複数の堆積ガスを排気するための真空ポンプシステムを伴う。真空ポンプシステムは、堆積前駆体およびエッチングガスが処理チャンバから通って排気される粗引きポンプと、粗引きポンプと直列に接続され、粗引きポンプの下流に位置決めされたガスエジェクタであって、ガスエジェクタは、粗引きポンプの出口の圧力を低減するように構成されているガスエジェクタとを含む。
いくつかの実施態様では、ガスエジェクタは、粗引きポンプの出口に接続されているベンチュリポンプであり、ベンチュリポンプは、ベンチュリポンプの本体へ注入ガスを流し、ベンチュリポンプの本体内で排気された堆積前駆体およびエッチングガスと混合するように構成されている。いくつかの実施態様では、注入ガスは、不活性ガス、清浄な乾燥空気、または窒素ガス(N2)を含む。いくつかの実施態様では、真空ポンプシステムは、堆積前駆体および排気ガスを処理するように構成されている除去コンポーネントをさらに含み、ガスエジェクタは、除去コンポーネントと粗引きポンプとの間に位置決めされる。
図1Aは、いくつかの実施態様による、エッチングおよび堆積動作を実施するための例示的な処理装置の概略図である。
図1Bは、いくつかの実施態様による、ターボ分子ポンプと直列に使用される粗引きポンプを含む例示的な真空ポンプシステムの概略図である。
図2Aは、いくつかの実施態様による、2つの別個のポンプを有する「完全迂回」真空ポンプシステムを含む例示的な処理装置の概略図である。
図2Bは、いくつかの実施態様による、2つの別個のポンプを有する「バイパス迂回」真空ポンプシステムを含む例示的な処理装置の概略図である。
図2Cは、いくつかの実施態様による、異なる圧力段階で動作する「マルチインレット」真空ポンプシステムを含む例示的な処理装置の概略図である。
図3は、いくつかの実施態様による、真空ポンプシステムにおけるロータコンポーネントの一例を示す図である。
図4は、いくつかの実施態様による、真空ポンプシステムにおける堆積副生成物の蓄積を防止するための洗浄プロセスの例示的な方法の流れ図である。
図5は、除去コンポーネントと流体連通する出口を備えた粗引きポンプを含む例示的な真空ポンプシステムの概略図である。
図6は、いくつかの実施態様による、ベンチュリポンプの長さにわたる圧力勾配を示す例示的なベンチュリポンプの断面概略図である。
図7は、いくつかの実施態様による、真空ポンプシステムに接続するように構成されているコンポーネントを備えた例示的なベンチュリポンプを示す図である。
図8Aは、いくつかの実施態様による、粗引きポンプを含み、ガスエジェクタと直列に接続されるように修正された例示的な真空ポンプシステムの概略図である。
図8Bは、いくつかの実施態様による、ガスエジェクタと直列に接続されている粗引きポンプを含む例示的な真空ポンプシステムの概略図である。
図8Cは、いくつかの実施態様による、複数のガスエジェクタと直列に接続されている粗引きポンプを含む例示的な真空ポンプシステムの概略図である。
図9は、いくつかの実施態様による、真空ポンプシステムの多段ベンチュリバッキングポンプとして動作する複数のベンチュリポンプを含む例示的な真空ポンプシステムの概略図である。
本開示では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および「部分的に製作された集積回路」という用語は、互換的に使用される。当業者は、「部分的に製作された集積回路」という用語が、集積回路を製作するための多くの段階のいずれかにあるシリコンウエハを指すことができることを理解するであろう。半導体デバイス業界で使用されるウエハまたは基板は、典型的には、200mm、または300mm、または450mmの直径を有する。以下の詳細な説明は本開示がウエハ上で実施されることを想定しているが、本開示はこれに限定されない。ワークピースは、様々な形状、サイズ、および材料であってもよい。半導体ウエハの他に、本開示を利用することができる他のワークピースとしては、プリント回路基板等のような様々な製品が挙げられる。
序論
従来、堆積およびエッチングプロセスは、別個のツールまたはプラットフォーム上で実施される。例えば、堆積チャンバは、一般にエッチングプロセスを実行せず、エッチングチャンバは、一般に堆積プロセスを実行しない。いくつかの実施形態では、装置は、単一の処理チャンバ内で堆積およびエッチングプロセスを実施するように構成することができる。例えば、原子層堆積(ALD)プロセスおよびエッチングプロセスは、プラズマエッチングチャンバなどの処理チャンバ内で実施され得る。処理チャンバ内のウエハ上でALDプロセスとエッチングプロセスの両方を実施する際、堆積前駆体およびエッチングガスは処理チャンバを通って流れ、真空ポンプシステムを通して排気され得る。
未反応の堆積前駆体およびエッチングガスは、処理チャンバに流体結合された真空ポンプシステムによって排気され得る。未反応の堆積前駆体とエッチングガスが混合して真空ポンプシステム内で望ましくない副生成物を形成し、ポンプ機器に損傷を与える可能性がある。場合によっては、堆積副生成物が真空ポンプシステムの粗引きポンプ内に蓄積することがあり、そこで沈着副生成物が粗引きポンプを劣化させ、それによってその性能および寿命を低下させる。理論に制限されることなく、プロセス化学物質(例えば、堆積前駆体、エッチングガス、または反応生成物)が、堆積またはエッチング動作の後に粗引きポンプ内に保持され、化学反応が保持された化学物質と続き、同じプロセス化学物質が粗引きポンプを通って流れる。例えば、臭化水素(HBr)などの酸性ガスは、粗引きポンプの鉄成分と反応し、ルイス酸触媒である臭化鉄を形成する場合がある。その後、アミノシラン前駆体ガスなどの堆積前駆体に曝されると、多くの反応が続き、元のアミノシラン前駆体ガスよりも揮発性が低い堆積副生成物が生じる可能性がある。ポンプ内の堆積副生成物の蓄積物は、ポンプの早期故障につながり得る。場合によっては、堆積副生成物は、暗いタールのような物質である場合がある。堆積副生成物の蓄積物は、単一の処理チャンバ内で堆積前駆体とエッチングガスを共に使用することの有用性を低下させる。
本開示は、真空ポンプシステムから堆積副生成物を除去するための、または真空ポンプシステム内で堆積副生成物が形成されるのを防止するための方法および装置に関する。いくつかの実施形態では、堆積ガスおよびエッチングガスは、処理チャンバと流体連通する別個のポンプを通して別々に排気され得る。いくつかの実施形態では、ポンプは、ポンプの動作圧力に応じて、ポンプが処理チャンバにアクセスするための複数の入口を有し得る。いくつかの実施形態では、ポンプの内面を高温に加熱し、堆積副生成物を気化させるか、または堆積副生成物を形成する表面反応を防止する。いくつかの実施形態では、ポンプの内面は、場合によっては堆積副生成物を形成する表面反応を防止または最小限に抑えるために、耐食性材料でコーティングされる。いくつかの実施形態では、パージ時間は、堆積動作とエッチング動作との間に実施されるパージ動作が、真空ポンプシステムからエッチングガスおよび堆積前駆体を除去するのに十分になるように決定される。いくつかの実施形態では、洗浄動作は、反応性ガスを使用して、真空ポンプシステムから堆積副生成物を除去するか、または堆積/エッチングガスを除去することができる。清浄な化学物質は、酸素、オゾン、またはそれらの組み合わせを伴う場合がある。清浄な化学物質は、フッ素含有種、塩素含有種、臭素含有種、ヨウ素含有種、またはそれらの組み合わせを伴う場合がある。いくつかの実施形態では、1つまたは複数のガスエジェクタまたはベンチュリポンプを粗引きポンプの下流に提供し、粗引きポンプの出口での排気圧力を低減することができる。いくつかの実施形態では、複数のガスエジェクタまたはベンチュリポンプは、処理チャンバ内に少なくとも「粗い」真空を作り出すためのプライマリポンプとして機能し得る。前述の実施形態の1つまたは複数は、共に組み合わせて堆積副生成物の蓄積を防止することができる。
一体型エッチング/堆積装置
図1Aは、いくつかの実施態様による、エッチングおよび堆積動作を実施するための例示的な処理装置の概略図である。処理装置100は、誘導結合プラズマ処理装置であり得る。処理装置100は、プラズマエッチングチャンバなどのプラズマチャンバ132を含む。いくつかの実施態様では、カリフォルニア州フリーモントのラムリサーチコーポレーションによって製造されているKiyo(商標)リアクタは、プラズマエッチングチャンバとして使用され得る適切なリアクタの一例である。
エッチングおよび堆積動作を実施するための処理装置100に関する詳細は、2017年8月4日に出願された名称を「INTEGRATED ATOMIC LAYER PASSIVATION IN TCP ETCH CHAMBER AND IN-SITU ETCH-ALP METHOD」とする米国特許出願第15/669,871号(Zhouら)に記載されており、上記の開示は、参照によりその全体があらゆる目的で組み込まれる。
プラズマチャンバ132は、チャンバ壁114および窓106によって画定され得る全体的なチャンバ構造を含み得る。窓106は、石英または他の誘電体材料から製作することができる。いくつかの実施態様では、プラズマチャンバ132は、プラズマチャンバ132の内部に配置された基板支持体116を含む。いくつかの実施態様では、基板支持体116は、堆積/エッチングプロセスが実施される基板112を支持するための静電チャックである。静電チャックは、基板112をチャックおよびデチャックするための静電電極を含み得る。この目的のために、フィルタおよびDCクランプ電源(図示せず)を提供することができる。基板支持体116から基板112を持ち上げるための他の制御システムもまた、提供されてもよい。基板支持体116は、基板112を受け入れて保持するように構成されている。
いくつかの実施態様では、基板支持体116は、基板112を加熱するヒータ(図示せず)を含み得る。基板支持体116は、約-20℃~約150℃などの高温で動作することができる。温度は、プロセス動作および特定のレシピによって異なる。いくつかの実施態様では、プラズマチャンバ132はまた、約1mトル~約1トルの圧力などの特定の圧力で動作することができる。
いくつかの実施態様では、処理装置100は、基板支持体116にバイアスをかける/充電するために使用され得る無線周波数(RF)電源120を含み得る。RF電源120は、1つまたは複数のRF発生器によって定義され得る。複数のRF発生器が提供されている場合、異なる周波数を使用して様々な調節特性を実現することができる。バイアス整合回路118が、RF電源120と基板支持体116との間に結合される。このようにして、RF電源120は、基板支持体116に接続される。
コイル134が、窓106の上に位置決めされる。コイル134は、導電性材料から製作することができ、少なくとも1つの完全な巻きを含む。図1Aに示すコイル134は、少なくとも3つの巻きを含む。RF電源121は、RF電力をコイル134に供給するように構成されている。整合回路102が、RF電源121とコイル134との間に結合される。このようにして、RF電源121は、コイル134に接続される。いくつかの実施態様では、任意選択のファラデーシールド(図示せず)が、コイル134と窓106との間に位置決めされる。ファラデーシールドは、コイル134に対して離間した関係に維持することができる。ファラデーシールドは、窓106の真上に配置され得る。ファラデーシールドは、金属または他の種がプラズマチャンバ132の窓106上に堆積するのを防止することができる。
RF電力は、RF電源121からコイル134に供給され、RF電流がコイル134を通って流れるようにする。コイル134を通って流れるRF電流は、コイル134の周りに電磁場を生成することができる。電磁場は、プラズマチャンバ132内に誘導電流を生成し、これは、プラズマチャンバ132内に存在するガスに作用してプラズマを発生する。プラズマからの様々なイオンおよび/またはラジカルは、基板112と相互作用し、堆積またはエッチング動作を実施することができる。
いくつかの実施態様では、処理装置100は、プラズマチャンバ132を上部と下部に分割するために使用することができるプラズマグリッド(図示せず)を任意選択で含む。プラズマグリッドを使用して、プラズマチャンバ132の下部への高温電極の量を制限することができる。いくつかの実施態様では、処理装置100は、プラズマチャンバ132の下部に存在するプラズマがイオン-イオンプラズマであり、プラズマチャンバ132の上部に存在するプラズマが電子-イオンプラズマであるように動作するように設計されている。
プロセスガスは、プラズマチャンバ132の頂部から第1のガスインジェクタ104を通って、および/またはプラズマチャンバ132の側面から第2のガスインジェクタ110を通ってプラズマチャンバ132に導入され得る。プロセスガスは、気化した液体前駆体または気化した固体前駆体を含み得、これらは、処理装置100の上流の固体源蒸発器(図示せず)で気化され得る。1つまたは複数の反応ガスが、第1のガスインジェクタ104および/または第2のガスインジェクタ110を通して供給され得る。いくつかの実施態様では、ガスインジェクタ104、110は、シャワーヘッドに置き換えることができる。様々なタイプの動作のために異なるガスをプラズマチャンバ132に供給するように、追加のまたは他のガス供給が提供されてもよいことが理解されるであろう。
ガスをプラズマチャンバ132に注入する様々な方法は、プロセスガス、気化した液体前駆体、および/または気化した固体前駆体が様々な場所からプラズマチャンバ132に提供され得ることを示す。いくつかの実施態様では、第1のガスインジェクタ104のみが使用される。他のいくつかの実施態様では、第2のガスインジェクタ110のみが使用される。いくつかの他の実施態様では、第1のガスインジェクタ104と第2のガスインジェクタ110の両方が使用される。いくつかの実施態様では、マニホールド122が、どのガスが異なるガスラインの各々に供給されるかを制御する。マニホールド122は、任意のタイプのガス(反応物、キャリア、前駆体など)が任意の異なるガスラインから提供されることを可能にする。いくつかの実施態様では、キャリアガスは、酸素(O2)、窒素(N2)、およびヘリウム(He)などのガスを含み得る。ガスは、混合せずにプラズマチャンバ132に導入することができ、またはプラズマチャンバ132に導入する前に他のガスと混合することができる。
マニホールド122は、供給システム128内のそれぞれの供給システムからの出力を選択、切り替え、および/または混合するために使用され得る。供給システム128は、いくつかの実施態様では、エッチングガス供給システム127と、堆積前駆体供給システム129とを含み得る。エッチングガス供給システム127は、エッチングガスを出力するように構成され得る。エッチングガスの例には、限定はしないが、塩素(Cl2)、臭化水素(HBr)、および六フッ化硫黄(SF6)が挙げられる。堆積前駆体供給システム129は、ALDプロセスなどの堆積プロセスにおいて気化されて蒸気形態で供給される液体前駆体を提供するように構成され得る。したがって、堆積前駆体は、プラズマチャンバ132に導入され得、基板112の表面上に吸着され得る。吸着された前駆体は、プラズマを使用して吸着量が制限された膜を形成するように変換され得る。いくつかの実施形態では、堆積前駆体は、アミノシラン前駆体を含む。例示的な堆積前駆体は、式Cxyzaibの化学組成を有し得る。
真空ポンプシステム130が、プラズマチャンバ132に接続され、プラズマチャンバ132からプロセスガスを引き出し、プラズマチャンバ132内の特定の圧力を維持するために使用され得る。弁126が排気部124と真空ポンプシステム130との間に配置され、プラズマチャンバ132に適用される真空吸引の量を制御し得る。いくつかの実施態様では、真空ポンプシステム130は、1段または2段の機械的ドライポンプおよび/またはターボ分子ポンプを含むことができる。いくつかの実施態様では、真空ポンプシステム130は、プラズマチャンバ132をパージするために堆積またはエッチング動作が完了するたびに作動され得る。真空ポンプシステム130の一例は、図1Bにさらに記載されている。真空ポンプシステム130は、プラズマチャンバ132に流体接続され、プラズマチャンバ132からエッチングガス、堆積前駆体、および反応副生成物を除去するように機能することができる。
処理装置100は、クリーンルームまたは製作施設に設置されるとき、設備(図示せず)に結合され得る。設備は、処理ガス、真空、温度制御、および環境粒子制御を提供する配管を含む。これらの設備は、対象の製作施設に設置されるとき、処理装置100に結合することができる。加えて、処理装置100は、ロボットが自動化を使用してプラズマチャンバ132内外に基板を移送することを可能にする移送チャンバに結合され得る。
処理装置100は、システムコントローラ108をさらに含み得る。システムコントローラ108(1つまたは複数の物理または論理コントローラを含み得る)は、処理装置100の動作の一部またはすべてを制御する。システムコントローラ108は、1つまたは複数のメモリデバイスと、1つまたは複数のプロセッサとを含むことができる。プロセッサは、中央処理装置(CPU)またはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッピングモータコントローラボード、および他の同様のコンポーネント(構成部品)を含み得る。適切な制御動作を実装するための命令は、プロセッサ上で実行される。これらの命令は、ネットワークを介して提供され得るシステムコントローラ108に関連するメモリデバイスに格納され得る。特定の実施態様では、システムコントローラ108は、システム制御ソフトウェアを実行する。
システム制御ソフトウェアは、以下のチャンバ動作条件の任意の1つまたは複数の適用のタイミングおよび/または大きさを制御するための命令を含み得る:ガスの混合物および/または組成、チャンバ圧力、チャンバ温度、ウエハ/ウエハ支持体温度、基板に適用されるバイアス(様々な実施態様において、ゼロになる場合がある)、コイルまたは他のプラズマ生成コンポーネント(構成部品)に適用される周波数および電力、基板の位置、基板の移動速度、ならびにツールによって実施される特定のプロセスの他のパラメータ。システム制御ソフトウェアは、真空ポンプシステム130を通して加熱動作、パージ動作、および洗浄動作をさらに制御することができる。システム制御ソフトウェアは、任意の適切な方法で構成することができる。例えば、様々なプロセスツールコンポーネントサブルーチンまたは制御オブジェクトは、様々なプロセスツールプロセスを実行するために必要なプロセスツールコンポーネントの動作を制御するために書き込むことができる。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコード化することができる。
いくつかの実施形態では、システム制御ソフトウェアは、上述の様々なパラメータを制御するための入力/出力制御(IOC)順序付け命令を含む。例えば、半導体製作プロセスの各フェーズは、システムコントローラ108によって実行するための1つまたは複数の命令を含み得る。フェーズのプロセス条件を設定するための命令は、例えば、対応するレシピフェーズに含まれ得る。いくつかの実施態様では、ドーピングプロセスのステップがそのプロセスフェーズに対して特定の順序で実行されるように、レシピフェーズを順次配置することができる。例えば、レシピは、エッチング動作を実施するように構成され、各エッチング動作の間に実施されるALDプロセスの1つまたは複数のサイクルを含むことができる。レシピは、エッチング動作とALDプロセスの1つまたは複数のサイクルとの間でパージ動作および/または洗浄動作を実施するように構成することができる。
いくつかの実施態様では、システムコントローラ108は、以下の動作の1つまたは複数を実施するための命令で構成されている。エッチングガス供給システム127からの1つまたは複数のエッチングガスを使用して、プラズマチャンバ132内の基板112に対してエッチング動作を実施する、および堆積前駆体供給システム129からの1つまたは複数の堆積前駆体を使用して、プラズマチャンバ132内の基板112に対して堆積動作を実施する。システムコントローラ108は、以下の動作を実施するための命令でさらに構成され得る。真空ポンプシステム130を使用して、プラズマチャンバ132から1つまたは複数のエッチングガスおよび1つまたは複数の堆積前駆体を排気する。システムコントローラ108は、以下の動作を実施するための命令でさらに構成され得る。真空ポンプシステム130のポンプの表面を高温に加熱する。システムコントローラ108は、以下の動作を実施するための命令でさらに構成され得る。残留ガス分析(RGA)またはフーリエ変換赤外(FTIR)ガス分析によって決定されたパージ時間に従って、真空ポンプシステム130から1つまたは複数のエッチングガスまたは1つまたは複数の堆積前駆体をパージする。システムコントローラ108は、以下の動作を実施するための命令でさらに構成され得る。エッチング動作の前または後に真空ポンプシステム130を通って流れる反応性ガスを使用して、洗浄動作を実施する。
いくつかの実施形態では、他のコンピュータソフトウェアおよび/またはプログラムを用いることができる。この目的のためのプログラムまたはプログラムのセクションの例には、基板位置決めプログラム、プロセスガス組成制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびRF電源制御プログラムが挙げられる。
場合によっては、システムコントローラ108は、ガス濃度、基板の移動、ならびに/またはコイル134および/もしくは基板支持体116に供給される電力を制御する。システムコントローラ108は、例えば、関連する弁を開閉し、適切な濃度で必要な反応物を提供する1つまたは複数の入口ガス気流を発生することによってガス濃度を制御することができる。基板の移動は、例えば、所望のように基板位置決めシステムに移動するように指示することによって制御することができる。コイル134および/または基板支持体116に供給される電力は、特定のRF電力レベルを提供するように制御され得る。グリッドが使用される場合、RF電力は、システムコントローラ108によって調整され、プラズマチャンバ132の上部に電子-イオンプラズマを生成し、プラズマチャンバ132の下部にイオン-イオンプラズマを生成することができる。さらに、システムコントローラ108は、電子-イオンプラズマがプラズマチャンバ132の下部に形成されないような条件下で、電力を基板支持体116に供給するように構成され得る。
システムコントローラ108は、センサ出力(例えば、電力、電位、圧力、ガスレベルなどが特定の閾値に達したとき)、動作のタイミング(例えば、プロセスの特定の時間における弁の開放、パージなど)に基づいて、またはユーザから受け取った命令に基づいてこれらおよび他の態様を制御することができる。
いくつかの実施態様では、システムコントローラ108はシステムの一部であり、これは、上述した例の一部であってもよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理コンポーネント(ウエハ台座、ガス流システムなど)を含む半導体処理機器を備えることができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後の動作を制御するための電子機器と一体化することができる。そのような電子機器は、「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々なコンポーネントまたは副部品を制御してもよい。システムコントローラ108は、処理要件および/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムすることができる。そのようなプロセスとしては、プラズマチャンバ132へのエッチングガスおよび堆積前駆体の供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、RF発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ツールに対する基板の搬入と搬出、プラズマチャンバ132からのガスおよび副生成物のパージ、真空ポンプシステム130からのガスおよび副生成物のパージ、真空ポンプシステム130のコンポーネントの表面の加熱、ならびに反応性ガスによる真空ポンプシステム130の洗浄が含まれる。
広義には、システムコントローラ108は、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義され得る。集積回路は、プログラム命令を格納するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、またはプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含むことができる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でシステムコントローラ108に通信される命令であって、特定のプロセスを半導体基板上で、または半導体基板用に、またはシステムに対して実行するための動作パラメータを定義することができる。動作パラメータは、いくつかの実施形態では、基板の1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはダイの製作における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されたレシピの一部であり得る。
システムコントローラ108は、いくつかの実施態様では、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であるか、またはそのようなコンピュータに結合されるか、またはそれらの組み合わせであってもよい。例えば、システムコントローラ108は、「クラウド」内にあるか、ファブホストコンピュータシステムのすべてもしくは一部であり得、これにより基板処理のリモートアクセスが可能となり得る。コンピュータは、システムへのリモートアクセスを可能にして製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始することができる。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含み得る。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含み得、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、システムコントローラ108は、データの形式で命令を受信し、この命令は、1つまたは複数の動作中に実施される各処理ステップのパラメータを指定する。パラメータは、実施されるプロセスのタイプ、およびシステムコントローラ108が連動または制御するように構成されているツールのタイプに特有のものであり得ることを理解されたい。したがって、上述したように、システムコントローラ108は、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを備えることになどよって分散され得る。このような目的のための分散型システムコントローラ108の一例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられる。
上記のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、システムコントローラ108は、1つまたは複数の他のツール回路もしくはモジュール、他のツールコンポーネント、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のシステムコントローラ108、または半導体製造工場内のツール場所および/もしくはロードポートに対して基板の容器を搬入および搬出する材料搬送に使用されるツールと通信し得る。
真空ポンプシステム
図1Bは、いくつかの実施態様による、ターボ分子ポンプと直列に使用される粗引きポンプを含む例示的な真空ポンプシステムの概略図である。しかし、本開示の真空ポンプシステム130は、図1Bに示すものとは異なるポンプおよびポンプコンポーネントを含み得ることが理解されよう。真空ポンプシステム130は、上述のプラズマチャンバなどの処理チャンバ132と流体連通する。真空ポンプシステム130は、処理チャンバ132内のチャンバ圧力を制御することができる。真空ポンプシステム130は、処理チャンバ132から副生成物、未反応の堆積前駆体、および未反応のエッチングガスを除去することができる。真空ポンプシステム130は、様々な圧力範囲にわたって動作する複数のポンプを含み得る。図1Bに示すように、真空ポンプシステム130は、ターボ分子ポンプ140と、粗引きポンプ150とを含み、粗引きポンプ150は、「粗い」真空を発生するように構成され、ターボ分子ポンプ140は、続いて非常に高い真空を発生するように構成されている。例えば、ターボ分子ポンプ140は、処理チャンバ132内で非常に高い範囲(例えば、約1mトル~約1トル)にある真空圧力を発生するように構成され得、粗引きポンプ150は、処理チャンバ132内で比較的低い範囲(例えば、約1トル~大気)にある真空圧力を発生するように構成され得る。粗引きポンプ150は、「バッキングポンプ」または「プライマリポンプ」と呼ばれることもある。例えば、粗引きポンプ150は、1段または2段の機械的ドライポンプを含み得る。
粗引きポンプ150は、ターボ分子ポンプ140の下流に位置決めすることができる。いくつかの実施態様では、ブースタポンプ160が、ターボ分子ポンプ140と粗引きポンプ150との間に任意選択で提供され、ブースタポンプ160は、ターボ分子ポンプ140と粗引きポンプ150との間の中間の範囲にある真空圧力を発生することができる。いくつかの実施態様では、ブースタポンプ160は、真空ポンプシステム130の粗引きポンプ150の一部とみなされ得る。いくつかの実施態様では、ブースタポンプ160は、粗引きポンプシステムとは別個であるとみなされ得る。いくつかの実施態様では、ブースタポンプ160は、ルーツ型ブロワなどのブロワを含む。粗引きポンプ150および/またはブースタポンプ160は、ターボ分子ポンプ140と直列に接続され、広い真空圧力範囲にわたってチャンバ圧力を動作させることができる。
第1の弁152が、ターボ分子ポンプ140と粗引きポンプ150との間に配置され得る。第1の弁152は、プロセスガスがターボ分子ポンプ140から粗引きポンプ150に排気されることを可能にするように制御することができる。第2の弁154が、処理チャンバ132に接続されている排気ポート162と粗引きポンプ150との間に配置され得る。第2の弁154は、プロセスガスが排気ポート162を介してフォアライン164に排気されることを可能にするように制御することができる。フォアライン164は、粗引きポンプ150を排気ポート162に接続する。
別個のポンプまたはマルチインレットポンプ
エッチングガスおよび堆積前駆体を別個のポンプに迂回させることによって、エッチングガスと堆積前駆体がポンプ内で混合するのを防止することができる。その結果、堆積副生成物が単一のポンプに蓄積するのを防止するか、あるいは制限する。いくつかの実施形態では、真空ポンプシステムは、少なくとも2つの別個の粗引きポンプで設計され得る。いくつかの実施形態では、少なくとも2つの粗引きポンプの各々は、ブースタポンプまたはブロワを含み得る。いくつかの実施形態では、少なくとも2つの粗引きポンプの各々は、回転ベーンなどのロータコンポーネントを含み得る。以下に説明するように、真空ポンプシステムは、「完全迂回」方式または「バイパス迂回」方式に従って動作することができる。
図2Aは、いくつかの実施態様による、2つの別個のポンプを有する「完全迂回」真空ポンプシステム230aを含む例示的な処理装置の概略図である。いくつかの実施形態では、2つの別個のポンプは、2つの別個の粗引きポンプである。図1Aおよび図1Bで上述の処理チャンバなどの処理チャンバ212は、排気ポートを通してエッチングガスおよび堆積前駆体を排気することができる。エッチングガスおよび堆積前駆体は、フォアライン214などの導管を通って進行することができる。弁224が、フォアライン214と2つの別個のポンプとの間に配置され得る。弁224は、処理チャンバ212がエッチング動作を実施しているとき、エッチングガスを第1の粗引きポンプ220aに導くように(エッチングガスが第1の粗引きポンプ220aを通過するように)切り替える切り替え可能な弁として機能する。弁224は、処理チャンバ212が堆積動作を実施しているとき、切り替わって堆積前駆体を第2の粗引きポンプ220bに導く。別個の粗引きポンプ間でエッチングガスと堆積前駆体の流れを分離することにより、エッチングガスと堆積ガスの混合の結果として発生する堆積生成物の蓄積を回避する。いくつかの実施形態では、エッチングガスは、臭化水素を含み、堆積前駆体は、アミノシラン前駆体を含む。いくつかの実施形態では、弁224は、エッチングガスが臭化水素を含むとき、切り替わってエッチングガスを第1の粗引きポンプ220aに導くことができる。第1の粗引きポンプ220aおよび第2の粗引きポンプ220bは、フォアライン214の下流に位置決めされる。除去ライン216が第1の粗引きポンプ220aおよび第2の粗引きポンプ220bに接続されてその下流に位置決めされ、真空ポンプシステム230aからエッチングガスおよび堆積前駆体を除去し得る。パージ動作がエッチング動作と堆積動作との間に行われ得、パージ時間は、フォアライン214からエッチングガスおよび堆積前駆体を完全に除去するのに十分とすることができる。十分なパージ時間を決定するための技法を、以下に説明する。
流入するエッチングガスおよび流入する堆積ガスは、異なるガスラインを通って処理チャンバ212に入ることができる。エッチングガスは、エッチングガス供給源から提供され得、堆積ガスは、堆積前駆体供給源から提供され得る。いくつかの実施態様では、堆積前駆体供給源からの一部の堆積ガスは、迂回ライン218によって迂回され、第2の粗引きポンプ220bに直接排気され得る。迂回された堆積ガスは、処理チャンバ212には入らない。迂回ライン218は、堆積前駆体供給システムに流体結合され、堆積サイクルにおいて未使用の堆積前駆体を第2の粗引きポンプ220bに導くように構成され得る。堆積サイクルは、ALDサイクルであり得る。典型的には、ALDは、表面自己制限堆積反応を使用して、層ごとに膜を堆積する堆積技法である。各ALDサイクルは、一連の投入フェーズおよび変換フェーズを含む。いくつかの実施形態では、ALDサイクルは、一連の投入、パージ、変換、およびパージフェーズを含む。投入フェーズは、処理チャンバ内の基板表面上への前駆体材料の供給および吸着を伴い、変換フェーズは、吸着量が制限された堆積材料(例えば、不動態化材料)への吸着された前駆体材料の変換を伴う。変換フェーズは、一般に、吸着された前駆体材料を変換するための酸化種(例えば、O2)などの反応物種の供給を伴う。ALDサイクルの変換フェーズ中、堆積ガスは、堆積前駆体供給源から流れ続ける場合がある。しかし、堆積前駆体供給源から流れる一部の堆積ガスは、ALDサイクルの変換フェーズ中に迂回される可能性がある。そのような迂回された堆積ガスは、処理チャンバまたは粗引きポンプのいずれにおいてもエッチングガスと混合しない。
図2Bは、いくつかの実施態様による、2つの別個のポンプを有する「バイパス迂回」真空ポンプシステム230bを含む例示的な処理装置の概略図である。図1Aおよび図1Bで上述の処理チャンバなどの処理チャンバ232は、排気ポートを通してエッチングガスおよび堆積前駆体を排気することができる。エッチングガスおよび堆積前駆体は、フォアライン234などの導管を通って進行することができる。エッチングガスと堆積前駆体を切り替えるための弁を組み込むことなく、エッチングガスおよび堆積前駆体は、第1の粗引きポンプ240aを通って流れる。しかし、一部の堆積ガスは、迂回ライン238によって第2の粗引きポンプ240bに迂回され得る。この堆積ガスは、処理チャンバ232で行われる堆積動作には関与しない。具体的には、ALDサイクルの変換フェーズ中の堆積ガスは、迂回ラインによって第2の粗引きポンプ240bに迂回される。言い換えれば、ALDサイクルにおける未使用の堆積前駆体は、堆積前駆体供給システムから流れ、処理チャンバ232に入ることなく第2の粗引きポンプ240bを通って直接進行する。したがって、ALDサイクルの投入フェーズ中の堆積前駆体は、第1の粗引きポンプ240aを通過してエッチングガスと混合するが、ALDサイクルの変換フェーズ中の堆積前駆体は、第2の粗引きポンプ240bを通過してエッチングガスと混合しない。一部の堆積ガスは第1の粗引きポンプ240aにおいてエッチングガスと混合する可能性があるが、混合の量が大幅に減少するため堆積副生成物の蓄積物が大幅に少なくなる。さらに、第2の粗引きポンプ240bは処理チャンバ232と流体連通していないので、第2の粗引きポンプ240bは、複数のモジュール/装置間で共有され得る。他のモジュール/装置(図示せず)からの複数の迂回ラインは、ALDサイクルにおいて未使用の堆積前駆体を第2の粗引きポンプ240bへ迂回させることができる。
図2Cは、いくつかの実施態様による、異なる段階で動作する「マルチインレット」真空ポンプシステム230cを含む例示的な処理装置の概略図である。エッチングガスおよび堆積ガスを受け取るための別個のポンプを有する代わりに、真空ポンプシステム230cは、複数の入口を備えたポンプを有することができる。処理チャンバから排気されるプロセスガスは、エッチングガスおよび堆積ガスを含み得る。ポンプの動作圧力に応じて、複数の入口の1つが開いて処理チャンバからプロセスガスを受け取ることができる。例えば、第1の入口252が、低圧段階254でプロセスガスを受け取るために開くことができ、第2の入口262が、高圧段階264でプロセスガスを受け取るために開くことができる。図2Cでは、ポンプは、粗引きポンプ(図示せず)を含み得る。粗引きポンプは、ブースタポンプ(またはブロワ)を含む場合と含まない場合がある。粗引きポンプが低圧範囲で動作するとき、第1の入口252に結合された第1の排気ポート256は、処理チャンバ(図示せず)からプロセスガスを排気するために開くことができる。粗引きポンプが高圧範囲で動作するとき、第2の入口262に結合された第2の排気ポート266は、処理チャンバからプロセスガスを排気するために開くことができる。いくつかの実施態様では、高圧範囲は、約1トル~約10トル、または約0.5トル~約5トルであり、低圧範囲は、約0.5トル~約3トル、または約0.1トル~約1トルである。いくつかの実施形態では、堆積ガスは、一般に、高圧範囲中に処理チャンバから排気され、エッチングガスは、一般に、低圧範囲中に処理チャンバから排気される。具体的には、ALDプロセスは、高圧範囲で動作する傾向がある。
粗引きポンプ内の堆積副生成物の蓄積は、圧力および/または温度の影響を受ける可能性がある。低圧段階254で動作するコンポーネントは加熱されなくてもよく、高圧段階264で動作するコンポーネントは加熱されてもよい。高圧段階264における堆積副生成物の蓄積を防止するために、ポンプのコンポーネントは、副生成物の蓄積を防止するのに十分な高温に加熱され得る。いくつかの実施形態では、圧力が高いほど、副生成物の蓄積を防止するために温度を高くする必要がある。いくつかの実施形態では、高温は、約160℃以上、約80℃~約500℃、約100℃~約400℃、約120℃~約300℃、または約150℃~約250℃である。理論に制限されることなく、堆積前駆体とエッチングガスの混合は、ポンプの高圧段階中に発生する可能性が高くなり得、堆積前駆体とエッチングガスの混合は、ポンプの低圧段階中に発生する可能性が低くなり得る。したがって、高圧段階中にポンプのコンポーネントを高温に加熱すると、堆積副生成物の蓄積を防止または最小限に抑えることができる。ポンプ内のポンプコンポーネントを加熱する側面については、以下でさらに詳細に説明する。
いくつかの実施形態では、低圧段階254で動作するポンプコンポーネントは、堆積副生成物の蓄積に対して脆弱であり得る。これは、高圧段階264で動作するポンプコンポーネントにも追加されることである。いくつかの実施形態では、ブロワコンポーネントは、真空ポンプシステム230cのロータコンポーネントから分離され得る。ブロワコンポーネントは、粗引きポンプのブースタポンプの一部であり得る。いくつかの実施形態では、ブロワコンポーネントは、粗引きポンプの低圧段階254の間に動作することができ、ロータコンポーネントは、粗引きポンプの高圧段階264の間に動作することができる。いくつかの実施形態では、ブロワコンポーネントおよびロータコンポーネントは、堆積副生成物の蓄積を防止するのに十分な高温に加熱され得る。このようなコンポーネントが、図3に示され得る。
表面コーティング
粗引きポンプおよびそのコンポーネントを含む真空ポンプシステムは、場合によっては真空ポンプシステムにおける堆積副生成物の蓄積を引き起こす表面反応を制限するために、1つまたは複数の材料でコーティングすることができる。ポンプの様々なポンプコンポーネントには、限定はしないが、ロータコンポーネント、ステータコンポーネント、入口、ベアリング、シャフト、およびトランスミッションギアが挙げられ得る。追加のポンプコンポーネントは、ブースタポンプおよびブロワをさらに含み得、これらは、別個のユニットとして提供され得るか、またはポンプと統合され得る。ロータコンポーネントは、例えば、逆回転シャフト上に位置する回転ベーンを含み得る。トランスミッションギアはトルクをシャフトに伝達し、回転ベーンを反対方向に回転させ、噛み合うように作用させる。ステータコンポーネントは、例えば、ロータコンポーネントを収容するためのハウジングを含み得る。1つまたは複数の入口は、処理チャンバから排気されるエッチングガスおよび堆積前駆体を受け取ることができ、1つまたは複数の入口は、ステータコンポーネントに結合することができる。1つまたは複数の入口は、ロータコンポーネントに通じる通路に接続され得る。ベアリングは、シャフトなどのポンプの様々な部分を支持することができる。
いくつかの実施形態では、真空ポンプシステム内のポンプのポンプコンポーネントは、鉄などの金属材料で作製される。例えば、ポンプコンポーネントは、鋳鉄から作製され得る。しかし、鋳鉄または他の金属材料で作製されたポンプコンポーネントは、腐食および/または堆積副生成物の蓄積に対して脆弱であり得る。いくつかの実施態様では、ポンプコンポーネントの表面は、腐食および/または堆積副生成物の蓄積に耐性がある1つまたは複数の材料でコーティングされ得る。したがって、ポンプコンポーネントの表面上の表面コーティングは、場合によっては堆積副生成物の蓄積を引き起こす表面反応を排除するか、または少なくとも低減することができる。
表面コーティングの例示的な材料には、限定はしないが、めっきニッケル、めっきコバルト、窒化チタン(TiN)、インコネル、ハステロイ、セラミック材料、フルオロポリマー、およびそれらの組み合わせが挙げられ得る。このような材料は、耐食性材料であり得る。ポンプの少なくとも入口およびロータコンポーネントの表面は、表面コーティングでコーティングすることができ、それによって堆積副生成物の蓄積による劣化からポンプのロータコンポーネントおよび入口を保護する。ベアリング、シャフトなどを含むポンプコンポーネントの他の表面もまた、表面コーティングでコーティングすることができる。
いくつかの実施形態では、真空ポンプシステム内のポンプのポンプコンポーネントは、酸化アルミニウム(Al23)などのセラミック材料で作製される。金属材料をコーティングするのではなく、ポンプコンポーネントは、腐食および/または堆積副生成物の蓄積に耐性がある材料から作製され得る。したがって、これらのポンプコンポーネントは、場合によっては堆積副生成物の蓄積を引き起こす表面反応を排除するか、または少なくとも低減することができる。
いくつかの実施形態では、ポンプコンポーネントを加熱し、堆積副生成物の蓄積を引き起こす表面反応をさらに排除または低減することができる。一部のポンプコンポーネントは、堆積副生成物の蓄積を防止あるいは低減するために、少なくとも約160℃の温度などの高温に加熱することができる。例えば、1つまたは複数のシャフトが1つまたは複数のロータコンポーネントに接続されて支持することができ、シャフトの各々は、1つまたは複数のロータコンポーネントの表面を加熱するための熱源に接続することができる。熱源の例には、限定はしないが、電線、熱ランプ、および高温流体が挙げられ得る。
表面反応を制限するために前述の材料を使用する実施形態は、堆積副生成物の蓄積を防止するための前述の実施形態の1つまたは複数と組み合わせることができることが理解されよう。表面反応を制限するために前述の材料を使用する実施形態は、以下に論じられる堆積副生成物の蓄積を防止するための実施形態の1つまたは複数と組み合わせることができることも理解されよう。
ポンプ加熱
堆積副生成物の蓄積を防止するために、様々なポンプコンポーネントのすべての表面を十分に高温に寸法加熱することには課題がある。粗引きポンプなどのポンプの熱設計の改善により、ポンプコンポーネントの表面を十分に高温に保ち、堆積副生成物の蓄積を防止することができる。本開示の態様は、真空ポンプシステムを使用してエッチングガスおよび堆積前駆体を排気し、真空ポンプシステムのポンプコンポーネントの表面を高温に加熱する方法を提供する。高温は、エッチングガスと堆積前駆体との間の反応の結果としての堆積副生成物の蓄積を防止するのに十分に高い温度である。例えば、高温は、約160℃以上、約80℃~約500℃、約100℃~約400℃、約120℃~約300℃、または約150℃~約250℃であり得る。エッチングガスおよび堆積前駆体を排気している間、高温を維持することができる。エッチングガスは、臭化水素を含み得、堆積前駆体は、アミノシラン前駆体を含み得る。
いくつかの実施形態では、ポンプのポンプコンポーネントの表面は、ポンプを通して高温流体または加熱されたパージガスを循環させることによって実現することができる。高温流体または加熱されたパージガスのリザーバが真空ポンプシステムの外側に提供され得、真空ポンプシステムがリザーバから引き出されてそのポンプコンポーネントを加熱し得る。いくつかの実施形態では、ポンプコンポーネントの表面を加熱することは、ポンプ内の1つまたは複数のロータコンポーネントに接続されて支持する1つまたは複数のシャフトを加熱することを含む。いくつかの実施形態では、各シャフトは、熱源を受け入れるためのチャネルを含み得、熱源は、電線、熱ランプ、高温流体、またはそれらの組み合わせを含む。チャネルは、熱エネルギーがシャフトを通して伝導され、放射および/または伝導によって周囲の表面に伝達されることを可能にする。結果として、1つまたは複数のロータコンポーネントの表面が加熱され得る。1つまたは複数のロータコンポーネントの表面は、少なくとも160℃、約80℃~約500℃、約100℃~約400℃、約120℃~約300℃、または約150℃~約250℃の温度に加熱される。
図3は、いくつかの実施態様による、真空ポンプシステム300におけるロータコンポーネントの一例を示している。堆積前駆体およびエッチングガスは、処理チャンバから、第1のロータコンポーネント322および第2のロータコンポーネント324を収容するステータコンポーネント310を有する真空ポンプシステム300に排気される。堆積前駆体およびエッチングガスは、ステータコンポーネント310内の通路312を通過する。第1のロータコンポーネント322および第2のロータコンポーネント324は、反対方向に回転し、真空ポンプシステム300を通してガスを押し出すことができる。第1のシャフト332が、第1のロータコンポーネント322に接続されて支持し得、第2のシャフト334が、第2のロータコンポーネント324に接続されて支持し得る。いくつかの実施形態では、各シャフト332、334は、中空であるか、または電線、熱ランプ、高温流体、または他の熱源が通過することができるチャネルまたは開口部を提供することができる。いくつかの実施形態では、各シャフト332、334は、外側の絶縁材料および内側の導電性材料を含み得る。シャフト332、334は、逆回転方向に回転するように構成され得る。
ポンプ加熱を使用する実施形態は、別個のポンプ、別個の入口、および表面コーティングを伴う実施形態を含む、堆積副生成物の蓄積を防止するための前述の実施形態の1つまたは複数と組み合わせることができることが理解されよう。ポンプ加熱を使用する実施形態は、以下で論じられる堆積副生成物の蓄積を防止するための実施形態の1つまたは複数と組み合わせることができることも理解されよう。
ポンプパージ
パージ動作は、真空ポンプシステムから堆積前駆体、エッチングガス、および/または堆積副生成物をより完全にパージするために、堆積動作とエッチング動作との間に実施され得る。パージ動作の期間は、堆積前駆体、エッチングガス、および堆積副生成物が真空ポンプシステムで検出されないように十分に長く決定され得る。このように、堆積前駆体とエッチングガスは、共に混合して堆積副生成物の蓄積を引き起こす機会を与えられない。そのような決定は、真空ポンプシステム内の1つまたは複数のセンサを使用して行うことができる。例えば、パージ時間は、残留ガス分析(RGA)、フーリエ変換赤外(FTIR)ガス分析、または他の適切なガス分析を使用して、真空ポンプシステムから堆積前駆体、エッチングガス、および堆積副生成物をパージするために測定することができる。いくつかの実施形態では、エッチングガスは、臭化水素を含み、堆積前駆体は、アミノシラン前駆体を含む。
粗引きポンプなどのポンプをパージする方法は、処理チャンバ内でのエッチング動作と堆積動作との間にパージ動作を実施することを含むことができる。方法は、処理チャンバ内のウエハに対してエッチング動作を実施することであって、1つまたは複数のエッチングガスは、処理チャンバと流体連通するポンプを通して排気されることと、第1の所定の期間に従ってポンプから1つまたは複数のエッチングガスをパージすることとを含み得る。方法は、処理チャンバ内のウエハに対して堆積動作を実施することであって、1つまたは複数の堆積前駆体は、ポンプを通して排気されることと、第2の所定の期間に従ってポンプから1つまたは複数の堆積前駆体をパージすることとをさらに含んでもよい。いくつかの実施形態では、第1の所定の期間および第2の所定の期間は、RGA、FTIRガス分析、または他の適切なガス分析によって決定され得る。例えば、RGA、FTIRガス分析、または他の適切なガス分析は、センサを使用して、エッチングガスおよび/または堆積前駆体が真空ポンプシステムのガスラインにもはや存在しないときを測定し、それによってエンドポイント検出システムとして機能し得る。したがって、ガス分析は、真空ポンプシステムからエッチングガスを除去するため、または堆積前駆体を除去するための適切なパージ時間を決定することができる。
ポンプをパージするためのパージ時間を決定する方法は、処理チャンバ内でのエッチング動作と堆積動作との間にパージ動作を実施することと、パージ動作中のパージ時間を測定することとを含むことができる。方法は、処理チャンバ内のウエハに対してエッチング動作を実施することであって、1つまたは複数のエッチングガスは、処理チャンバと流体連通するポンプを通して排気されることと、ポンプから1つまたは複数のエッチングガスをパージすることと、1つまたは複数のエッチングガスをパージするための第1のパージ時間を測定することとを含み得る。方法は、処理チャンバ内のウエハに対して堆積動作を実施することであって、1つまたは複数の堆積前駆体は、ポンプを通して排気されることと、ポンプから1つまたは複数の堆積前駆体をパージすることと、1つまたは複数の堆積前駆体をパージするための第2のパージ時間を測定することとをさらに含んでもよい。パージ時間は、RGA、FTIRガス分析、または他の適切なガス分析によって測定され得る。処理チャンバ内で実施される将来のパージ動作は、ガス分析によって測定されたパージ時間を利用することができ、したがってパージ時間は、真空ポンプシステムから完全にまたは少なくとも実質的に堆積前駆体およびエッチングガスをパージするのに十分な長さである。
より長いパージ時間およびより正確に測定されたパージ時間は、真空ポンプシステムにおける堆積前駆体とエッチングガスの不要な混合を防止することができる。より長いパージ時間およびより正確に測定されたパージ時間を使用する実施形態は、別個のポンプ、別個の入口、表面コーティング、およびポンプ加熱を伴う実施形態を含む、堆積副生成物の蓄積を防止するための1つまたは複数の前述の実施形態と組み合わせることができる。例えば、RGAまたはFTIRガス分析を使用して、弁を切り替えてエッチングガスを第1の粗引きポンプへ迂回させるとき、および弁を切り替えて堆積前駆体を第2の粗引きポンプへ迂回させるときを決定することができる。より長いパージ時間およびより正確に測定されたパージ時間を使用する実施形態は、以下で論じられる堆積副生成物の蓄積を防止するための1つまたは複数の実施形態と組み合わせることができることが理解されよう。
ポンプ洗浄
洗浄動作は、堆積動作とエッチング動作との間、堆積動作またはエッチング動作の完了後、または処理チャンバ内で特定の数のウエハを処理した後に実施することができる。洗浄動作は、真空ポンプシステムを洗浄して堆積前駆体とエッチングガスの混合を防止するため、または真空ポンプシステムから堆積副生成物を除去するために実施することができる。いくつかの実施形態では、堆積前駆体は、アミノシラン前駆体を含み得、エッチングガスは、臭化水素を含み得る。洗浄動作を実施することは、真空ポンプシステムを通して反応性ガスを流すことを含み、真空ポンプシステムは、処理チャンバと流体連通する1つまたは複数のポンプを含む。1つまたは複数のポンプは、粗引きポンプを含み得る。いくつかの実施形態では、1つまたは複数のポンプは、ブースタポンプおよび/またはターボ分子ポンプをさらに含み得る。いくつかの実施形態では、反応性ガスは、処理チャンバを通って、そして真空ポンプシステムの1つまたは複数のポンプを通って流れる。いくつかの実施形態では、反応性ガスは、処理チャンバ内でin-situで生成される、遠隔プラズマ源で生成される、または真空ポンプシステムの1つまたは複数のポンプに接続されているフォアラインに設置されたプラズマ源によって生成されるラジカルおよび/またはイオンを含む。
洗浄動作は、一般に、堆積前駆体、エッチングガス、および堆積副生成物の除去に効果的な清浄な化学物質を伴う。いくつかの実施形態では、洗浄動作は、ウエハレス自動洗浄(WAC)動作の一部であり得るが、洗浄動作は、処理チャンバ内のウエハの有無にかかわらず実施され得ることが理解されるであろう。清浄な化学物質は、フッ素含有種、塩素含有種、臭素含有種、ヨウ素含有種、酸素含有種、またはそれらの組み合わせなどの反応性ガスを含み得る。
図4は、いくつかの実施態様による、真空ポンプシステムにおける堆積副生成物の蓄積を防止するための洗浄プロセスの例示的な方法の流れ図を示す。図4に示すような洗浄プロセス400は、より少ない、追加の、または異なる数の動作で実施されてもよい。
洗浄プロセス400のブロック410において、1つまたは複数の堆積動作が処理チャンバ内で実行される。1つまたは複数の堆積動作は、1つまたは複数の堆積前駆体を使用して、ウエハ上に材料を堆積することができる。いくつかの実施形態では、1つまたは複数の堆積動作は、ALDサイクルの1つまたは複数の堆積前駆体を使用することができる。1つまたは複数の堆積前駆体は、アミノシラン前駆体を含み得る。1つまたは複数の堆積前駆体は、真空ポンプシステムの1つまたは複数のポンプに排気され得、1つまたは複数のポンプは、処理チャンバと流体連通する。1つまたは複数のポンプは、粗引きポンプを含み得る。
洗浄プロセス400のブロック420において、1つまたは複数のエッチング動作が処理チャンバ内で実行される。1つまたは複数のエッチング動作は、1つまたは複数のエッチングガスを使用して、ウエハから材料をエッチングすることができる。1つまたは複数のエッチングガスは、臭化水素を含み得る。1つまたは複数のエッチングガスは、真空ポンプシステムの1つまたは複数のポンプに排気され得る。図4に示すように、いくつかの実施形態では、1つまたは複数のエッチング動作は、ブロック430での洗浄動作の前に実行され得る。あるいは、1つまたは複数のエッチング動作は、ブロック430での洗浄動作の後に実行されてもよい。これは、図4のブロック440に示されている。言い換えれば、洗浄動作は、ウエハを処理するときの堆積動作とエッチング動作との間に実行され得るか、またはウエハを処理するときに堆積動作およびエッチング動作が完了した後に実行され得る。
ブロック430において、洗浄動作は、真空ポンプシステムを通って流れる反応性ガスを使用して実行され、真空ポンプシステムは、処理チャンバと流体連通する。いくつかの実施形態では、ブロック410での1つまたは複数の堆積動作、ブロック420またはブロック440での1つまたは複数のエッチング動作、およびブロック430での洗浄動作は、処理チャンバ内のウエハを用いて実行することができる。いくつかの実施形態では、ブロック430での洗浄動作は、処理チャンバ内にウエハなしで実行され得る。
いくつかの実施形態では、反応性ガスは、三フッ化窒素(NF3)、四フッ化炭素(CF4)、二フッ化キセノン(XeF2)、六フッ化硫黄(SF6)、および三フッ化塩素(ClF3)などのフッ素含有種を含む場合がある。いくつかの実施形態では、反応性ガスは、酸素(O2)およびオゾン(O3)などの酸素含有種を含み得る。いくつかの実施形態では、反応性ガスは、塩素(Cl2)などの塩素含有種を含み得る。反応性ガスは、真空ポンプシステムから堆積前駆体またはエッチング前駆体を除去するか、または真空ポンプシステム内で形成された堆積副生成物を除去することができる。
いくつかの実施形態では、反応性ガスは、フッ素、塩素、酸素、またはそれらの組み合わせのラジカルおよび/またはイオンを含む場合がある。フッ素のラジカルおよび/またはイオンは、F*およびF2 +を含み得、塩素のラジカルおよび/またはイオンは、Cl*、Cl-、およびCl+を含み得、酸素のラジカルおよび/またはイオンは、O*およびO2 -を含み得る。一般に、フッ素、塩素、および酸素のラジカルは、フッ素、塩素、および酸素のイオンよりも真空ポンプシステムの1つまたは複数のポンプを通って再結合せずにさらに進行する可能性が高くなる。反応性ガスは、真空ポンプシステムから堆積前駆体またはエッチング前駆体を除去するか、または真空ポンプシステム内で形成された堆積副生成物を除去することができる。
洗浄プロセス400のいくつかの実施態様では、洗浄プロセス400は、反応性ガスを生成することをさらに含み、反応性ガスは、フッ素、塩素、酸素、またはそれらの組み合わせのプラズマ活性化種を含む。プラズマ活性化種は、上述のように、フッ素、塩素、酸素、またはそれらの組み合わせのラジカルおよび/またはイオンを含み得る。ブロック430で洗浄動作を実施するためのプラズマ活性化種を生成する際、様々なプラズマ源を使用することができる。いくつかの実施形態では、プラズマ活性化種は、プラズマ反応によって処理チャンバ内でin-situで生成され得る。例えば、フッ素含有ガス、塩素含有ガス、酸素含有ガス、またはそれらの組み合わせ/シーケンスを処理チャンバに導入することができ、プラズマに点火してフッ素含有ガス、塩素含有ガス、酸素含有ガス、またはそれらの組み合わせ/シーケンスのプラズマ活性化種を形成することができる。プラズマ活性化種は、堆積副生成物の蓄積を防止するために、処理チャンバから真空ポンプシステムの1つまたは複数のポンプを通って流れることができる。いくつかの実施形態では、プラズマ活性化種は、フォアラインに位置するプラズマ源で生成され得、フォアラインは、1つまたは複数のポンプと処理チャンバとの間の相互接続を提供する。このように、プラズマ活性化種を1つまたは複数のポンプに隣接して生成し、1つまたは複数のポンプに達する前に再結合する可能性を制限することができる。例えば、Litmas(商標)プラズマ源は、フッ素、塩素、酸素、またはそれらの組み合わせ/シーケンスを含むガスのプラズマ活性化種を生成するために、1つまたは複数のポンプに隣接するフォアラインに設置され得る。いくつかの実施形態では、プラズマ活性化種は、フォアラインの外側に位置する遠隔プラズマ源で生成され得る。遠隔プラズマ源は、フォアラインの上流に位置決めすることができる。プラズマ活性化種は、遠隔プラズマ源で生成され、フォアラインに注入されて1つまたは複数のポンプを通過することができる。
洗浄プロセス400のいくつかの実施態様では、オゾン(O3)は、ブロック430での洗浄動作中、真空ポンプシステムの1つまたは複数のポンプに流れることができる。オゾンは、一般に、酸素のイオンおよび/またはラジカルよりも長い寿命を有する。したがって、オゾンは、再結合せずに真空ポンプシステムの1つまたは複数のポンプに達する可能性が高くなる。いくつかの実施態様では、オゾンは、処理チャンバ内に提供され、真空ポンプシステムに排気され得る。いくつかの実施態様では、オゾンは、オゾンを1つまたは複数のポンプにつながるフォアラインに導入する別個のユニットによって生成され得る。例えば、オゾンを生成するためのそのような別個のユニットは、フォアラインに、または処理チャンバとフォアラインとの間の領域に設置され得る。別個のユニットによって提供されるか、または処理チャンバ内に提供されるオゾンは、遠隔プラズマ源によって提供されるか、または処理チャンバ内でin-situで提供されるプラズマ活性化種の酸素よりも1つまたは複数のポンプに達する機会が高くなり得る。
真空ポンプシステムの1つまたは複数のポンプに流れるオゾンは、ポンプ機器内の種と反応して酸化生成物を形成する場合がある。例えば、オゾンは、酸化臭素(BrO)、二酸化ケイ素(SiO2)、次亜塩素酸塩(ClO)、またはそれらの組み合わせを形成する酸化ラジカルを供給し得る。オゾンが真空ポンプシステムの1つまたは複数のポンプに流された後、フッ素含有種が1つまたは複数のポンプに流されてもよい。フッ素含有種は、フッ素のラジカルおよび/またはイオンを含み得、フッ素含有種は、処理チャンバまたは遠隔プラズマ源に由来し得る。酸化生成物は、フッ素含有種によってエッチング除去することができる。理論に制限されることなく、フッ素含有種は、酸化生成物を揮発性にすることがある。揮発した生成物は、除去のために排気され得る。
いくつかの実施態様では、ブロック430での洗浄動作は、堆積副生成物の蓄積を除去または防止するために、真空ポンプシステムを通してオゾンを流し、続いてフッ素含有種を流すことを含む。洗浄動作としてのオゾン処理は、ウエハ処理中に同時に、またはウエハ処理後に間隔を置いて実施することができる。一例では、そのようなオゾン処理は、ブロック410での1つまたは複数の堆積動作、またはブロック420での1つまたは複数のエッチング動作を実施すると同時に実施することができる。例えば、オゾンは、処理チャンバ内のエッチングガスの流れと同時に流れることができる。別の例では、そのようなオゾン処理は、ブロック410での1つまたは複数の堆積動作と、ブロック420での1つまたは複数のエッチング動作との間に実施され得る。これにより、堆積前駆体がすぐに酸化し得る。さらに別の例では、そのようなオゾン処理は、ブロック410での1つまたは複数の堆積動作およびブロック420での1つまたは複数のエッチング動作の後に間隔を置いて実施され得る。
洗浄動作で使用される清浄な化学物質は、真空ポンプシステムにおける堆積副生成物の蓄積を防止することができる。そのような清浄な化学物質を使用する実施形態は、別個のポンプ、別個の入口、表面コーティング、ポンプ加熱、およびより長いかつより正確に測定されたパージ時間を伴う実施形態を含む、堆積副生成物の蓄積を防止するための1つまたは複数の前述の実施形態と組み合わせることができる。例えば、反応性ガスは、ポンプコンポーネントの表面を高温に加熱するのと同時に、洗浄動作において1つまたは複数のポンプを通って流れることができ、高温は、約160℃以上、約80℃~約500℃、約100℃~約400℃、約120℃~約300℃、または約150℃~約250℃である。
ガスエジェクタ
堆積前駆体およびエッチングガスは、真空ポンプシステムの粗引きポンプによって処理チャンバから排気され得る。堆積前駆体とエッチングガスの混合は、粗引きポンプにおける不要な堆積をもたらす可能性があり、ポンプ機器の損傷につながり得る。具体的には、堆積前駆体およびエッチングガスは、真空ポンプシステム内のコンポーネントと反応し、真空ポンプシステムを故障させる可能性のある副生成物を形成する場合がある。粗引きポンプを排出するためにパージガス(例えば、N2)が使用される場合であっても、粗引きポンプ、特に粗引きポンプの可動部分において不要な堆積が依然として発生する可能性がある。例えば、不要な堆積は、粗引きポンプの後段または粗引きポンプの出口で発生することがある。
理論に制限されることなく、高圧スポットが粗引きポンプの出口に存在する可能性があり、そのような高圧スポットは、一般に粗引きポンプの後段に近接している。排気されたガスは、粗引きポンプから吐出され、大気圧または大気圧に近い圧力で吐出され得る。本明細書で使用される場合、「大気圧に近い」圧力は、大気圧の10%以内の圧力(すなわち、760トル)である。粗引きポンプの出口(例えば、吐出ポート)におけるそのような高圧スポットの結果として、これは、粗引きポンプの出口での排気されたガスの停滞した流れにつながり得る。これにより、堆積前駆体およびエッチングガスが粗引きポンプの出口に比較的長期間保持されることになり得る。堆積前駆体とエッチングガスは、混合して不要な堆積を引き起こすのに十分長く保持される場合がある。さらに、特定の理論に制限されることなく、真空ポンプシステムの様々な段階でのガスのより高い圧力は、大幅に反応速度を増加させる可能性がある。具体的には、より高い圧力条件は、アミノシランなどの堆積前駆体と臭化水素などのエッチングガスとの間の反応を加速し得、より低い圧力条件は、堆積前駆体とエッチングガスとの間の反応を遅くし得る。
図5は、除去コンポーネント514と流体連通する出口512を備えた粗引きポンプ510を含む例示的な真空ポンプシステム500の概略図である。真空ポンプシステム500は、粗引きポンプ510を含む。いくつかの実施態様では、粗引きポンプ510は、任意選択のブースタポンプ520と組み合わせることができ、または任意選択でブースタポンプ520を含むことができる。堆積前駆体およびエッチングガスは、粗引きポンプ510を通して処理チャンバ(図示せず)から排気され得る。粗引きポンプ510は、1つまたは複数の可動部分を含むことができ、1つまたは複数の可動部分は、ロータコンポーネントを含むことができる。いくつかの実施態様では、N2などのパージガス530が提供され、粗引きポンプ510を通って流れ、粗引きポンプ510から堆積前駆体およびエッチングガスを排出することができる。排出された堆積前駆体およびエッチングガスは、粗引きポンプ510の出口512で粗引きポンプ510を出ることができる。粗引きポンプ510の出口512は、吐出ポートであり得る。いくつかの実施態様では、排出された堆積前駆体およびエッチングガスは、排出された堆積前駆体およびエッチングガスを処理する除去コンポーネント514に吐出され得る。配管またはチューブを備えるライン516は、粗引きポンプ510の出口512を除去コンポーネント514と接続することができる。排出された堆積前駆体およびエッチングガスは、粗引きポンプ510の出口512で約760トルの排気圧力で排気され得る。粗引きポンプ510の1つまたは複数の段は、動作中、大気圧未満の圧力(すなわち、760トル未満)または低圧であってもよい。除去コンポーネント514と粗引きポンプ510との間のライン516は、動作中、大気圧(すなわち、約760トル)にあり得る。粗引きポンプ510の出口512に追加の希釈ガス540(例えば、N2)が提供されるとしても、粗引きポンプ510の出口512からガスを効率的に排気し、不要な堆積を防止するために圧力の低下は無視してもよい。希釈ガス540は、排気ガスを希釈するように機能し、粗引きポンプ510と除去コンポーネント514との間のライン516は、大気圧よりも数トル低い場合がある少量の真空引力の下にあるだけである。
上で論じたように、粗引きポンプ510の出口512での高圧条件は、流れを停滞させ、排気されたガスを保持させ、不要な副生成物形成に対する反応速度を増加させる可能性がある。不要な副生成物は、粗引きポンプ510の出口512に近接する1つまたは複数の可動部分のいずれかを含む、粗引きポンプ510の1つまたは複数の可動部分上に形成され得る。これにより、1つまたは複数の可動部分が捕捉され、真空ポンプシステム500の故障/損傷につながる可能性がある。
本開示では、1つまたは複数のガスエジェクタが、ガスが排気される粗引きポンプの出口に提供され得る。1つまたは複数のガスエジェクタは、粗引きポンプの出口の圧力を低減するように構成され得、1つまたは複数のガスエジェクタは、粗引きポンプと直列に接続され、粗引きポンプの下流に位置決めすることができる。粗引きポンプの出口に近接する1つまたは複数のガスエジェクタは、粗引きポンプの排気圧力を低減するように機能する。1つまたは複数のガスエジェクタは、吸引を生成して粗引きポンプの出口から排気されたガスを効率的に吐出し、それによって粗引きポンプの排気圧力を低減する。
ガスエジェクタは、高圧ガスを使用して他のガスを運び、圧縮するポンプである。ガスエジェクタは、高速ジェット気流を発生し、低圧気流を同伴して中間速度で移動する混合気流を発生する。言い換えれば、ガスエジェクタは、可動部分を使用せずに高圧ガスを使用して低圧ガスを圧縮および排出する。本明細書で使用される場合、ガスエジェクタは、吸引器、ベンチュリジェット、ベンチュリポンプ、ベンチュリジェットエジェクタ、ジェットポンプ、ジェットミキサ、ジェットエジェクタ、空気エジェクタ、およびエダクタと呼ばれることもある。
本開示の1つまたは複数のガスエジェクタは、「ベンチュリ効果」を利用して粗引きポンプからガスを効率的に排気し、粗引きポンプの出口の圧力を低減するベンチュリポンプであり得る。「ベンチュリ効果」とは、流体がチャネルの狭窄部分を通って流れるときに生じる流体圧力の低下である。図6は、いくつかの実施態様による、ベンチュリポンプ600の長さにわたる圧力勾配を示す例示的なベンチュリポンプ600の断面概略図である。注入ガスは、高速かつ高い入口圧力で原動流として導入される。ベンチュリポンプ600の本体は、収束原動セクション(converging motive section)610と、開拡吐出セクション(diverging discharge section)620と、収束原動セクション610と開拡吐出セクション620との間のベンチュリギャップ630とを含む。収束原動セクション610は、高圧ガス気流の流体速度を増加させる。流体速度の増加は低圧ゾーンをもたらし、これは低圧ガス気流を引き込むための吸引力を提供する。低圧ゾーンは、ベンチュリポンプ600の吸引ポート640に提供され得、吸引ポート640は、収束原動セクション610と流体連通する。吸引ポート640は、真空または減圧を必要とするデバイスに接続することができる。低圧ガス気流は、収束原動セクション610内で高圧ガス気流と混合する。混合ガス気流は、収束原動セクション610の下流に位置決めされたベンチュリギャップ630を通って運ばれる。ベンチュリギャップ630は、ベンチュリポンプ600の本体の狭窄部分であり、そこでは混合ガス気流が高い流体速度で低圧に維持される。次に、混合ガス気流は開拡吐出セクション620を通って流れ、次に流体速度を低下させて圧力を増加させ、それによって混合ガス気流を圧縮する。これにより、ベンチュリポンプ600は、吸引ポート640の圧力よりも高い圧力で混合ガス気流を吐出することができる。
典型的には、ガスエジェクタまたはベンチュリポンプは、多くの大規模な産業用途で使用されている。一例では、ベンチュリポンプは、食品業界で粉末、ペレット、およびバルク固形物を運搬および移動するために使用されている。別の例では、ベンチュリポンプは、ピックアンドプレース動作のために真空を引くことができる。さらに別の例では、ベンチュリポンプは、発電所業界で蒸気を排気するように機能する。さらに他の別の例では、ベンチュリポンプは、ジェットまたはロケットエンジンの燃料または燃焼圧力を決定するのに使用されている。
しかし、本開示の1つまたは複数のベンチュリポンプは、半導体処理装置の真空ポンプシステムと共に使用され、粗引きポンプの排気時の圧力を低減し、粗引きポンプ内の堆積を制限する。1つまたは複数のベンチュリポンプは、真空ポンプシステムの粗引きポンプまたはプライマリポンプと直列に接続される。1つまたは複数のベンチュリポンプを組み込んだ真空ポンプシステムの例が、図7、図8A、図8B、および図8Cに示されている。半導体処理装置は、堆積動作およびエッチング動作を実施するための処理チャンバを含み得る。
別の方法では、複数のベンチュリポンプが真空ポンプシステムのプライマリポンプまたはバッキングポンプとして動作する。複数のベンチュリポンプを直列および/または並列に接続し、多段ベンチュリバッキングポンプを提供することができる。複数のベンチュリポンプを処理チャンバの排気部に接続することができ、処理チャンバは、堆積動作およびエッチング動作を実施するように構成されている。複数のベンチュリポンプは、処理チャンバから堆積前駆体およびエッチングガスを排気するように機能し得る。いくつかの実施態様では、複数のベンチュリポンプは、処理チャンバを「部分的」真空または「粗い」真空にするように構成することができ、処理チャンバは、約1トル~大気圧の圧力にすることができる。粗引きポンプを使用する代わりに、複数のベンチュリポンプは、可動部分がないことを除いて粗引きポンプと同じまたは同様の機能を果たすことができる。いくつかの実施態様では、複数のベンチュリポンプの数は、約2~約6であり得る。真空ポンプシステム内のプライマリポンプとして複数のベンチュリポンプを使用すると、堆積前駆体およびエッチングガスからの不要な副生成物の形成を回避することができる。処理チャンバの用のバッキングポンプまたはプライマリポンプとして複数のベンチュリポンプを使用する真空ポンプシステムの一例が、図9に示されている。
いくつかの実施態様では、ベンチュリポンプは、半導体処理装置のポンプ機器と共に設置するためのコネクタまたは部品と適合させることができる。いくつかの実施態様では、ベンチュリポンプは、除去コンポーネント/システムと粗引きポンプとの間にあり得、ベンチュリポンプは、粗引きポンプの下流および除去コンポーネント/システムの上流に位置決めされる。図7は、いくつかの実施態様による、真空ポンプシステムに接続するように構成されているコンポーネントを備えた例示的なベンチュリポンプ700を示す。図7では、第1のコネクタ710が、ベンチュリポンプ700を粗引きポンプ(図示せず)の出口に接続するように機能する。第1のコネクタ710は、粗引きポンプから排気されたガスを受け取り、排気されたガスは、処理装置の排出された堆積前駆体およびエッチングガスを含み得る。第2のコネクタ720が、高い入口圧力で原動流として注入ガスを受け取るように機能する。注入ガスは、ベンチュリポンプ700の本体に提供される。注入ガスは、第1のコネクタ710から受け取られた粗引きポンプの排気ガスと混合する。いくつかの実施態様では、注入ガスは、ヘリウム(He)、N2、または清浄な乾燥空気などの不活性ガスを含む。第3のコネクタ730が、ベンチュリポンプ700から吐出されたガスを処理する除去コンポーネントへの接続を提供する。このようなガスは、例えば、ウエハ処理および/または洗浄動作中に使用され得る注入ガス(例えば、N2)、堆積前駆体(例えば、アミノシラン)、エッチングガス(例えば、HBr)、パージガス、および反応性ガス(例えば、CH22、CF4、Cl2、SiCl4、NF3、O2、O3など)を含み得る。したがって、ベンチュリポンプ700は、ALD処理装置などの半導体処理装置の粗引きポンプに接続するため、および設備の除去コンポーネント/システムに接続するための1つまたは複数のコネクタを備えてもよい。ベンチュリポンプ700では、粗引きポンプからの排気ガスが減圧で受け取られ、高圧でベンチュリポンプ700から吐出される。ベンチュリポンプ700は、粗引きポンプの可動部分からガスを効率的に排気し、粗引きポンプ内の堆積を防止するように機能する。
図8Aは、いくつかの実施態様による、粗引きポンプ810を含み、ガスエジェクタと直列に接続されるように修正された例示的な真空ポンプシステム800aの概略図を示す。いくつかの実施態様では、ガスエジェクタは、1つまたは複数のベンチュリポンプ820を含む。真空ポンプシステム800は、粗引きポンプ810を含む。いくつかの実施態様では、粗引きポンプ810は、任意選択のブースタポンプ840と組み合わせることができ、または任意選択でブースタポンプ840を含むことができる。堆積前駆体およびエッチングガスは、粗引きポンプ810を通して処理チャンバから排気され得る。粗引きポンプ810は、1つまたは複数の可動部分を含むことができ、1つまたは複数の可動部分は、ロータコンポーネントを含むことができる。いくつかの実施態様では、N2などのパージガス830が提供され、粗引きポンプ810を通って流れ、粗引きポンプ810から堆積前駆体およびエッチングガスを排出することができる。排出された堆積前駆体およびエッチングガスは、粗引きポンプ810の出口812で粗引きポンプ810を出ることができる。粗引きポンプ810の出口812は、吐出ポートであり得る。
1つまたは複数のベンチュリポンプ820は、粗引きポンプ810の出口812または吐出ポートに接続され得る。いくつかの実施態様では、1つまたは複数のベンチュリポンプ820の低圧ゾーンにつながる吸引ポート822を、粗引きポンプ810の出口812に接続することができる。1つまたは複数のベンチュリポンプ820は、粗引きポンプ810と直列に接続され、粗引きポンプ810の下流に位置決めされる。1つまたは複数のベンチュリポンプ820は、粗引きポンプ810の出口812の圧力を低減するように構成されている。通常、堆積前駆体およびエッチングガスは、ほぼ大気圧またはほぼ大気圧に近い圧力である排気圧力で粗引きポンプ810から排気される。1つまたは複数のベンチュリポンプ820により、粗引きポンプ810の出口812での排気圧力は、大気圧よりも大幅に低くなるように低減される。例えば、粗引きポンプ810の出口812での排気圧力は、約380トル以下、約250トル以下、または約200トル以下である。低減された排気圧力は、粗引きポンプ810の出口812での堆積副生成物の形成を防止するか、あるいは制限することができる。いくつかの実施態様では、1つまたは複数のベンチュリポンプ820は、粗引きポンプ810自体の様々な段で全体の圧力を低減する。
上述のベンチュリポンプの態様は、図8Aのベンチュリポンプ820に適用され得る。図5の真空ポンプシステムは、図7のベンチュリポンプの1つまたは複数のコネクタに取り付けられるように修正され、図8Aの修正された真空ポンプシステム800aを提供することができる。図8Aの1つまたは複数のベンチュリポンプ820は、吸引ポート822に低圧ゾーンを作り出す高圧ガス気流を提供し、それによって排出された堆積前駆体およびエッチングガスを引き込む吸引流をもたらす。具体的には、注入ガスは、ベンチュリポンプ820の各々の本体に流される。いくつかの実施態様では、注入ガスは、ヘリウム(He)、清浄な乾燥空気、またはN2などの不活性ガスを含む。いくつかの実施態様では、注入ガスは、約40psig(275.79kPaG)~約80psig(551.59kPaG)の圧力で流される。いくつかの実施態様では、注入ガスは、室温または高温で流される。例えば、注入ガスは、約20℃~約100℃の温度で流される。注入ガスは、排出された堆積前駆体およびエッチングガスを同伴して混合する場合がある。次に、混合ガスは、1つまたは複数のベンチュリポンプ820から、1つまたは複数のベンチュリポンプ820の出口824に接続されるように構成されている除去コンポーネント814に効率的に排気される。除去コンポーネント814は、排出された堆積前駆体およびエッチングガスを含む混合ガスを処理するように構成されている。上述のベンチュリ効果を利用して、1つまたは複数のベンチュリポンプ820の出口824での排気圧力は、粗引きポンプ810の出口812での排気圧力よりも大きくなり得、ベンチュリポンプ820の出口824での排気圧力は、大気圧または大気圧に近い圧力であり得る。例えば、1つまたは複数のベンチュリポンプ820の出口824での排気圧力は、約525トル以上、約600トル以上、約700トル以上、または約760トル以上である。
真空ポンプシステムの他の設計または実施態様は、ベンチュリポンプなどのガスエジェクタを真空ポンプシステムに組み込むことができる。図8Bは、いくつかの実施態様による、ガスエジェクタと直列に接続されている粗引きポンプ810を含む例示的な真空ポンプシステム800bの概略図を示す。ガスエジェクタは、ベンチュリポンプ850であり得る。真空ポンプシステム800bは、粗引きポンプ810を含み、任意選択で上述のブースタポンプ840を含む。図8Bでは、ベンチュリポンプ850は、本体を含み、本体は、収束原動セクションと、開拡吐出セクションと、収束原動セクションと開拡吐出セクションとの間のベンチュリギャップとを含む。堆積前駆体およびエッチングガスは、ベンチュリポンプ850の吸引ポート822に接続されている粗引きポンプ810の出口812に排出される。次に、排出された堆積前駆体およびエッチングガスは、吸引ポート822からベンチュリポンプ850の収束原動セクションに引き込まれる。高圧ガス気流は、注入ガスを収束原動セクションに注入することによって導入される。注入ガスは、収束原動セクションで排出された堆積前駆体およびエッチングガスと混合し、混合ガスは、ベンチュリギャップを通って流れ、開拡吐出セクションで吐出される。いくつかの実施態様では、ベンチュリポンプ850は、耐食性材料を含むか、または耐食性材料でコーティングされる。このように、ベンチュリポンプ850は、プラズマエッチングプロセスに関与する過酷な化学物質から保護される。
図8Cは、いくつかの実施態様による、複数のガスエジェクタ860a、860bと直列に接続されている粗引きポンプ810を含む例示的な真空ポンプシステム800cの概略図を示す。複数のガスエジェクタ860a、860bは、互いに直列または並列に接続され得るガスエジェクタの複数の段を提供し得る。複数のガスエジェクタ860a、860bは、粗引きポンプ810の出口812の排気圧力をさらに低減するように機能することができる。さらに、複数のガスエジェクタ860a、860bは、粗引きポンプ810の出口812の吸引流をさらに増加させるように機能し得、したがって排出された堆積前駆体およびエッチングガスは、粗引きポンプ810から効率的に排気され得る。
1つまたは複数のガスエジェクタまたはベンチュリポンプをバッキングポンプに組み込むことで、真空ポンプシステムにおける堆積副生成物の蓄積を防止することができる。そのようなガスエジェクタを使用する実施形態は、別個のポンプ、別個の入口、表面コーティング、ポンプ加熱、より長いかつより正確に測定されたパージ時間、および清浄な化学物質を伴う実施形態を含む、堆積副生成物の蓄積を防止するための1つまたは複数の前述の実施形態と組み合わせることができる。一例では、フッ素、塩素、酸素、オゾン、またはそれらの組み合わせのプラズマ活性化種などの反応性ガスは、洗浄動作において粗引きポンプを通って流れることができ、反応性ガスは、残りの堆積前駆体およびエッチングガスと共に、1つまたは複数のベンチュリポンプに接続されているプライマリポンプの出口で排出される。別の例では、ポンプコンポーネントの表面は、ポンプコンポーネントが1つまたは複数のベンチュリポンプに接続されているプライマリポンプの出口で堆積前駆体およびエッチングガスを排出する間、高温に加熱され得る。
図9は、いくつかの実施態様による、真空ポンプシステム900の多段ベンチュリバッキングポンプ910として動作する複数のベンチュリポンプ920a、920b、および920cを含む例示的な真空ポンプ900システムの概略図を示す。真空ポンプシステム900は、粗引きポンプに取って代わる多段ベンチュリバッキングポンプ910を含む。多段ベンチュリバッキングポンプ910は、直列に接続されている複数のベンチュリポンプ920a、920b、および920cを含む。多段ベンチュリバッキングポンプ910は、効果的な粗引きポンプとして機能的に機能し、ターボ分子ポンプ930に流体結合される。
結論
前述の説明では、提示された実施形態の完全な理解を提供するために、多数の特定の詳細が記載されている。開示された実施形態は、これらの特定の詳細の一部またはすべてなしで実施されてもよい。他の例では、周知のプロセス動作は、開示された実施形態を不必要に不明瞭にしないために詳細に説明されていない。開示された実施形態は、特定の実施形態と併せて説明されているが、開示された実施形態を限定することを意図していないことが理解されよう。
前述の実施形態は、明確な理解のために多少詳しく説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実施されてもよいことは明らかであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに注意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、それらの実施形態は本明細書に述べられる詳細に限定されるべきではない。
[適用例1]処理チャンバと、
1つまたは複数のエッチングガスを前記処理チャンバに導入するように構成されているエッチングガス供給システムと、
1つまたは複数の堆積前駆体を前記処理チャンバに導入するように構成されている堆積前駆体供給システムと、
前記処理チャンバと流体連通する真空ポンプシステムであって、
第1の粗引きポンプ、
第2の粗引きポンプ、
前記第1の粗引きポンプおよび前記第2の粗引きポンプの一方または両方と流体連通するターボ分子ポンプ
を備える真空ポンプシステムと
を備える、装置。
[適用例2]適用例1に記載の装置であって、
前記真空ポンプシステムは、前記1つまたは複数のエッチングガスを前記第1の粗引きポンプに導き、前記1つまたは複数の堆積前駆体を前記第2の粗引きポンプに導くように構成されている、装置。
[適用例3]適用例2に記載の装置であって、
前記真空ポンプシステムは、
前記処理チャンバと流体連通し、前記処理チャンバから前記1つまたは複数のエッチングガスおよび前記1つまたは複数の堆積前駆体を受け取るように構成されているフォアラインと、
前記フォアラインに結合され、第1の位置で前記1つまたは複数のエッチングガスを前記第1の粗引きポンプに導くように構成され、第2の位置で前記1つまたは複数の堆積前駆体を前記第2の粗引きポンプに導くように構成されている弁と
をさらに備える、装置。
[適用例4]適用例2に記載の装置であって、
前記堆積前駆体供給システムと流体連通する迂回ラインをさらに備え、前記迂回ラインは、堆積サイクルにおいて未使用の堆積前駆体を前記堆積前駆体供給システムから前記第2の粗引きポンプへ迂回させるように構成されている、装置。
[適用例5]適用例1から4のいずれか一項に記載の装置であって、
前記真空ポンプシステムは、前記1つまたは複数のエッチングガスおよび前記1つまたは複数の堆積前駆体を前記第1の粗引きポンプに導き、堆積サイクルにおける未使用の堆積前駆体を前記第2の粗引きポンプに導くように構成されている、装置。
[適用例6]適用例1~4のいずれか一項に記載の装置であって、
前記1つまたは複数のエッチングガスは、臭化水素(HBr)を含み、前記1つまたは複数の堆積前駆体は、アミノシラン前駆体を含む、装置。
[適用例7]真空ポンプシステムを洗浄する方法であって、
処理チャンバ内のウエハに対して1つまたは複数の堆積動作を実行し、
前記処理チャンバ内の前記ウエハに対して1つまたは複数のエッチング動作を実行し、
前記真空ポンプシステムを通って流れる反応性ガスを使用して洗浄動作を実行し、前記洗浄動作は、前記1つまたは複数のエッチング動作の前または後に実行され、前記真空ポンプシステムは、前記処理チャンバと流体連通すること
を備える、方法。
[適用例8]適用例7に記載の方法であって、
前記洗浄動作を実行することは、堆積動作とエッチング動作との間に行われる、方法。
[適用例9]適用例7に記載の方法であって、
前記1つまたは複数の堆積動作、前記1つまたは複数のエッチング動作、および前記洗浄動作を実行することは、前記処理チャンバ内のウエハを用いて行われる、方法。
[適用例10]適用例7に記載の方法であって、
前記洗浄動作を実行することは、前記処理チャンバ内にウエハなしで行われる、方法。
[適用例11]適用例7に記載の方法であって、
前記反応性ガスは、三フッ化窒素(NF 3 )、六フッ化硫黄(SF 6 )、四フッ化炭素(CF 4 )、三フッ化塩素(ClF 3 )、塩素(Cl 2 )、酸素(O 2 )、オゾン(O 3 )、またはそれらの組み合わせを含む、方法。
[適用例12]適用例11に記載の方法であって、
前記反応性ガスは、オゾンを含む、方法。
[適用例13]適用例7に記載の方法であって、
前記反応性ガスは、フッ素、塩素、酸素、またはそれらの組み合わせのラジカルおよび/またはイオンを含む、方法。
[適用例14]適用例7から13のいずれか一項に記載の方法であって、
プラズマ反応によって前記処理チャンバ内でin-situで前記反応性ガスを生成すること
をさらに備える、方法。
[適用例15]適用例7から13のいずれか一項に記載の方法であって、
フォアラインに位置するプラズマ源によって前記反応性ガスを生成することをさらに備え、前記フォアラインは、前記真空ポンプシステムと前記処理チャンバとの間の相互接続を提供する、方法。
[適用例16]適用例7から13のいずれか一項に記載の方法であって、
フォアラインの外側に位置する遠隔プラズマ源によって前記反応性ガスを生成することをさらに備え、前記フォアラインは、前記真空ポンプシステムと前記処理チャンバとの間の相互接続を提供する、方法。
[適用例17] 処理チャンバから1つまたは複数のエッチングガスおよび1つまたは複数の堆積ガスを排気するための真空ポンプシステムであって、
堆積前駆体およびエッチングガスが前記処理チャンバから通って排気される粗引きポンプと、
前記粗引きポンプと直列に接続され、前記粗引きポンプの下流に位置決めされたガスエジェクタであって、前記粗引きポンプの出口の圧力を低減するように構成されているガスエジェクタと
を備える、真空ポンプシステム。
[適用例18]適用例17に記載の真空ポンプシステムであって、
前記ガスエジェクタは、前記粗引きポンプの前記出口に接続されているベンチュリポンプであり、前記ベンチュリポンプは、前記ベンチュリポンプの本体に注入ガスを流し、前記ベンチュリポンプの前記本体内で排気された前記堆積前駆体およびエッチングガスを混合するように構成されている、真空ポンプシステム。
[適用例19]適用例18に記載の真空ポンプシステムであって、
前記注入ガスは、不活性ガス、清浄な乾燥空気、または窒素ガス(N 2 )を含む、真空ポンプシステム。
[適用例20]適用例18に記載の真空ポンプシステムであって、
前記注入ガスは、約40psig(275.79kPaG)~約80psig(551.59kPaG)の圧力で流され、前記注入ガスは、約20℃~約100℃の温度で流される、真空ポンプシステム。
[適用例21]適用例17から20のいずれか一項に記載の真空ポンプシステムであって、
前記堆積前駆体および排気ガスを処理するように構成されている除去コンポーネントをさらに備え、前記ガスエジェクタは、前記除去コンポーネントと前記粗引きポンプとの間に位置決めされている、真空ポンプシステム。
[適用例22]適用例17から20のいずれか一項に記載の真空ポンプシステムであって、
前記ガスエジェクタと直列に接続されている1つまたは複数の追加のガスエジェクタをさらに備え、前記1つまたは複数の追加のガスエジェクタは、前記粗引きポンプの前記出口の前記圧力をさらに低減するように構成されている、真空ポンプシステム。
[適用例23]処理チャンバから1つまたは複数のエッチングガスおよび1つまたは複数の堆積ガスを排気するための真空ポンプシステムであって、
堆積前駆体およびエッチングガスが前記処理チャンバから通って排気される粗引きポンプであって、1つまたは複数のロータコンポーネントを支持する1つまたは複数のシャフトを含む粗引きポンプと、各前記シャフトは、熱源を受け入れるためのチャネルを有し、前記熱源は、電線、熱ランプ、または高温流体を含み、
システムコントローラであって、
前記堆積前駆体または前記エッチングガスを前記処理チャンバから排気する動作と、
前記堆積前駆体または前記エッチングガスを排気している間、前記熱源を用いて、前記1つまたは複数のシャフトおよび前記1つまたは複数のロータコンポーネントの内面を高温に加熱する動作と、を実行するための命令で構成されているシステムコントローラと、
を備える、真空ポンプシステム。
[適用例24]適用例23に記載の真空ポンプシステムであって、
前記高温は、約160℃以上である、真空ポンプシステム。
[適用例25]適用例23に記載の真空ポンプシステムであって、
前記高温は、前記エッチングガスと前記堆積ガスとの間の反応の結果としての堆積副生成物の蓄積を防止するために十分である、真空ポンプシステム。
[適用例26]適用例23に記載の真空ポンプシステムであって、
内面を加熱するための命令で構成されている前記システムコントローラは、前記真空ポンプシステムの外側のリザーバから熱エネルギを引き出し、前記熱エネルギを放射および/または伝導によって前記内面に伝達するための命令で構成されている、真空ポンプシステム。
[適用例27]適用例23に記載の真空ポンプシステムであって、
前記エッチングガスは、臭化水素を含み、前記堆積前駆体は、アミノシラン前駆体を含む、真空ポンプシステム。
[適用例28]適用例23に記載の真空ポンプシステムであって、
前記粗引きポンプは、
前記粗引きポンプの前記1つまたは複数のロータコンポーネントを収容するステータコンポーネントをさらに備え、前記堆積前駆体および前記エッチングガスは、前記ステータコンポーネント内の通路を通る、真空ポンプシステム。
[適用例29]適用例1に記載の真空ポンプシステムであって、
前記1つまたは複数のシャフトの各々は、内側導電性材料および外側絶縁材料を含む、真空ポンプシステム。
[適用例30]処理チャンバから1つまたは複数のエッチングガスおよび1つまたは複数の堆積ガスを排気するための真空ポンプシステムであって、
堆積前駆体およびエッチングガスが前記処理チャンバから通って排気される粗引きポンプであって、1つまたは複数のロータコンポーネントを支持する1つまたは複数のシャフトを含む、粗引きポンプと、
システムコントローラであって、
前記堆積前駆体または前記エッチングガスを前記処理チャンバから排気する動作と、
前記1つまたは複数のシャフトおよび前記1つまたは複数のロータコンポーネントの表面にわたって加熱されたパージガスを流すことによって、前記1つまたは複数のシャフトおよび前記1つまたは複数のロータコンポーネントの前記表面を高温に加熱する動作と、を実行するための命令で構成されているシステムコントローラと、
を備える、真空ポンプシステム。
[適用例31]適用例30に記載の真空ポンプシステムであって、
前記高温は、約160℃以上である、真空ポンプシステム。
[適用例32]適用例30に記載の真空ポンプシステムであって、
表面を加熱するための命令で構成されている前記システムコントローラは、前記堆積前駆体または前記エッチングガスを排気している間、前記加熱されたパージガスを流すための命令で構成されている、真空ポンプシステム。
[適用例33]適用例30に記載の真空ポンプシステムであって、
前記システムコントローラは、
前記粗引きポンプ内の通路を介して前記処理チャンバから前記堆積前駆体を排気する動作と、
前記粗引きポンプ内の前記通路を介して前記処理チャンバから前記エッチングガスを排気する動作を実行するための命令で構成され、前記加熱されたパージガスを流すことは、前記堆積前駆体を排気する動作と前記エッチングガスを排気する動作との間に行われる、真空ポンプシステム。
[適用例34]処理チャンバから1つまたは複数のエッチングガスおよび1つまたは複数の堆積ガスを排気するための真空ポンプシステムであって、
堆積前駆体およびエッチングガスが前記処理チャンバから通って排気される粗引きポンプであって、
1つまたは複数のロータコンポーネントと、
前記1つまたは複数のロータコンポーネントを支持する1つまたは複数のシャフトと、
前記1つまたは複数のロータコンポーネントを収容するステータコンポーネントを含む粗引きポンプを備え、前記1つまたは複数のロータコンポーネント、前記1つまたは複数のシャフト、および前記ステータコンポーネントの各々は、耐食性表面コーティングでコーティングされた金属材料で作製される、真空ポンプシステム。
[適用例35]適用例34に記載の真空ポンプシステムであって、
前記粗引きポンプは、
前記1つまたは複数のロータコンポーネントに通じる通路に接続された1つまたは複数の入口であって、前記耐食性表面コーティングでコーティングされた1つまたは複数の入口
をさらに備える、真空ポンプシステム。
[適用例36]適用例34に記載の真空ポンプシステムであって、
前記耐食性表面コーティングは、めっきニッケル、めっきコバルト、窒化チタン(TiN)、インコネル、ハステロイ、セラミック材料、フルオロポリマー、およびそれらの組み合わせを含む、真空ポンプシステム。
[適用例37]適用例34に記載の真空ポンプシステムであって、
前記耐食性表面コーティングは、前記エッチングガスと前記堆積ガスとの間の反応の結果としての堆積副生成物の蓄積を防止する、真空ポンプシステム。
[適用例38]適用例34に記載の真空ポンプシステムであって、
前記エッチングガスは、臭化水素を含み、前記堆積前駆体は、アミノシラン前駆体を含む、真空ポンプシステム。
[適用例39]適用例34に記載の真空ポンプシステムであって、
各シャフトは、熱源を受け入れるためのチャネルを有し、前記熱源は、電線、熱ランプ、または高温流体を含む、真空ポンプシステム。
[適用例40]適用例39に記載の真空ポンプシステムであって、
前記熱源は、前記1つまたは複数のシャフトおよび前記1つまたは複数のロータコンポーネントの内面を約160℃以上の温度に加熱するように構成されている、真空ポンプシステム。

Claims (6)

  1. 処理チャンバと、
    1つまたは複数のエッチングガスを前記処理チャンバに導入するように構成されているエッチングガス供給システムと、
    1つまたは複数の堆積前駆体を前記処理チャンバに導入するように構成されている堆積前駆体供給システムと、
    前記処理チャンバと流体連通する真空ポンプシステムであって、
    第1の粗引きポンプ
    第2の粗引きポンプ前記真空ポンプシステムは、前記1つまたは複数のエッチングガスを前記第1の粗引きポンプに導き、前記1つまたは複数の堆積前駆体を前記第2の粗引きポンプに導くように構成され、
    前記第1の粗引きポンプおよび前記第2の粗引きポンプの一方または両方と流体連通するターボ分子ポンプ
    前記処理チャンバと流体連通し、前記処理チャンバから前記1つまたは複数のエッチングガスおよび前記1つまたは複数の堆積前駆体を受け取るように構成されているフォアラインと、
    前記フォアラインに結合され、第1の位置で前記1つまたは複数のエッチングガスを前記第1の粗引きポンプに導くように構成され、第2の位置で前記1つまたは複数の堆積前駆体を前記第2の粗引きポンプに導くように構成されている弁と、
    を備える真空ポンプシステムと
    前記堆積前駆体供給システムと流体連通する迂回ラインであって、堆積サイクルにおいて未使用の堆積前駆体を前記堆積前駆体供給システムから前記第2の粗引きポンプへ迂回させるように構成されている迂回ラインと、
    を備える、装置。
  2. 請求項1に記載の装置であって、前記第2の粗引きポンプは、前記第1の粗引きポンプと並列であり、前記第1の粗引きポンプおよび前記第2の粗引きポンプはそれぞれ前記フォアラインの下流に配置されている、装置。
  3. 請求項1に記載の装置であって、さらに、
    前記処理チャンバ内において前記1つまたは複数のエッチングガスを用いて1つまたは複数のエッチング処理を実行し、
    前記真空ポンプシステムを用いて前記処理チャンバから前記1つまたは複数のエッチングガスを排気し、
    前記処理チャンバ内において前記1つまたは複数の堆積前駆体を用いて原子層堆積(ALD)サイクルの1つまたは複数のALD処理を実行し、
    前記真空ポンプシステムを用いて前記処理チャンバから前記1つまたは複数の堆積前駆体を排気する、操作を実行するコントローラを備える、装置。
  4. 請求項1に記載の装置であって、前記1つまたは複数のエッチングガスは、臭化水素(HBr)を含み、前記1つまたは複数の堆積前駆体は、アミノシラン前駆体を含む、装置。
  5. 処理チャンバと、
    1つまたは複数のエッチングガスを前記処理チャンバに導入するように構成されているエッチングガス供給システムと、
    1つまたは複数の堆積前駆体を前記処理チャンバに導入するように構成されている堆積前駆体供給システムと、
    前記処理チャンバと流体連通する真空ポンプシステムであって、
    第1の粗引きポンプと、前記真空ポンプシステムは、前記1つまたは複数のエッチングガスおよび前記1つまたは複数の堆積前駆体を前記第1の粗引きポンプに導き、
    第2の粗引きポンプと、
    前記第1の粗引きポンプおよび前記第2の粗引きポンプの一方または両方と流体連通するターボ分子ポンプと、
    前記処理チャンバと流体連通し、前記処理チャンバから前記1つまたは複数のエッチングガスおよび前記1つまたは複数の堆積前駆体を受け取るように構成されているフォアラインと、
    を備える真空ポンプシステムと、
    前記堆積前駆体供給システムと流体連通する迂回ラインであって、堆積サイクルにおける未使用の堆積前駆体を前記第2の粗引きポンプに導くように構成されている迂回ラインと、を備える装置。
  6. 請求項に記載の装置であって、
    前記1つまたは複数のエッチングガスは、臭化水素(HBr)を含み、前記1つまたは複数の堆積前駆体は、アミノシラン前駆体を含む、装置。
JP2021517274A 2018-09-28 2019-09-26 堆積副生成物の蓄積からの真空ポンプの保護 Active JP7472114B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862738852P 2018-09-28 2018-09-28
US62/738,852 2018-09-28
US201862774037P 2018-11-30 2018-11-30
US62/774,037 2018-11-30
PCT/US2019/053279 WO2020069206A1 (en) 2018-09-28 2019-09-26 Vacuum pump protection against deposition byproduct buildup

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2024063069A Division JP2024095772A (ja) 2018-09-28 2024-04-10 堆積副生成物の蓄積からの真空ポンプの保護

Publications (3)

Publication Number Publication Date
JP2022501829A JP2022501829A (ja) 2022-01-06
JPWO2020069206A5 JPWO2020069206A5 (ja) 2022-10-27
JP7472114B2 true JP7472114B2 (ja) 2024-04-22

Family

ID=69946474

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021517274A Active JP7472114B2 (ja) 2018-09-28 2019-09-26 堆積副生成物の蓄積からの真空ポンプの保護

Country Status (6)

Country Link
US (3) US11031215B2 (ja)
JP (1) JP7472114B2 (ja)
KR (1) KR20210053351A (ja)
CN (1) CN113169094A (ja)
TW (1) TW202026528A (ja)
WO (1) WO2020069206A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7472114B2 (ja) 2018-09-28 2024-04-22 ラム リサーチ コーポレーション 堆積副生成物の蓄積からの真空ポンプの保護
CN113906539A (zh) * 2019-05-23 2022-01-07 应用材料公司 原位原子层沉积工艺
JP7116248B2 (ja) * 2020-04-03 2022-08-09 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11437230B2 (en) 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
JP7437254B2 (ja) * 2020-07-14 2024-02-22 エドワーズ株式会社 真空ポンプ、及び、真空ポンプの洗浄システム
US11972957B2 (en) * 2020-07-31 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gas flow accelerator to prevent buildup of processing byproduct in a main pumping line of a semiconductor processing tool
US20220084794A1 (en) * 2020-09-16 2022-03-17 Applied Materials, Inc. Plasma chamber with a multiphase rotating modulated cross-flow
US11860973B2 (en) 2020-10-27 2024-01-02 Applied Materials, Inc. Method and system for foreline deposition diagnostics and control
CN114542425A (zh) * 2020-11-26 2022-05-27 中国科学院微电子研究所 半导体加工工艺、抽真空装置和半导体工艺设备
JP2024524553A (ja) * 2021-07-09 2024-07-05 ラム リサーチ コーポレーション ケイ素含有膜のプラズマ強化原子層堆積
US20230162950A1 (en) * 2021-11-22 2023-05-25 Applied Materials, Inc. Plasma chamber with a multiphase rotating gas cross-flow and peripheral conductance control rings
FR3129992B1 (fr) * 2021-12-08 2023-12-01 Pfeiffer Vacuum Groupe de pompage, dispositif et procédé de pompage et de traitement
CN114672788B (zh) * 2022-03-11 2024-01-05 北京北方华创微电子装备有限公司 半导体沉积设备
CN115083871B (zh) * 2022-07-26 2022-12-02 江苏邑文微电子科技有限公司 蚀刻设备和蚀刻方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004360061A (ja) 2003-05-13 2004-12-24 Tokyo Electron Ltd 処理装置及びその使用方法
JP2012064836A (ja) 2010-09-17 2012-03-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2015203145A (ja) 2014-04-15 2015-11-16 東京エレクトロン株式会社 成膜装置ならびに排気装置および排気方法

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
KR100252213B1 (ko) * 1997-04-22 2000-05-01 윤종용 반도체소자제조장치및그제조방법
US6462928B1 (en) * 1999-05-07 2002-10-08 Applied Materials, Inc. Electrostatic chuck having improved electrical connector and method
US6490146B2 (en) * 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US20020144706A1 (en) 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
US6766682B2 (en) * 2001-10-19 2004-07-27 Desert Cryogenics Llc Precise measurement system for barrier materials
US6538872B1 (en) * 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
JP4248890B2 (ja) * 2002-02-22 2009-04-02 芝浦メカトロニクス株式会社 基板貼り合わせ装置及び基板貼り合わせ方法
JP4567442B2 (ja) * 2002-05-24 2010-10-20 ショット アクチエンゲゼルシャフト 複数場所コーティング装置およびプラズマコーティングの方法
US7306696B2 (en) * 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
KR100498467B1 (ko) 2002-12-05 2005-07-01 삼성전자주식회사 배기 경로에서의 파우더 생성을 방지할 수 있는 원자층증착 장비
JP2005180279A (ja) * 2003-12-18 2005-07-07 Sharp Corp 真空薄膜作成装置、及び真空薄膜作成装置の真空ポンプ制御方法
US7695231B2 (en) 2004-03-08 2010-04-13 Jusung Engineering Co., Ltd. Vacuum pumping system, driving method thereof, apparatus having the same, and method of transferring substrate using the same
ES2316892T3 (es) * 2004-03-31 2009-04-16 APPLIED MATERIALS GMBH & CO. KG Disposicion de esclusa para una instalacion de tratamiento al vacio y procedimiento para su operacion.
GB0521944D0 (en) * 2005-10-27 2005-12-07 Boc Group Plc Method of treating gas
GB0609306D0 (en) 2006-05-11 2006-06-21 Boc Group Plc Vacuum pump
GB0618016D0 (en) * 2006-09-13 2006-10-18 Boc Group Plc Method of recycling hydrogen
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090188524A1 (en) * 2008-01-30 2009-07-30 Texas Instruments Inc. Automatic insitu post process cleaning for processing systems having turbo pumps
CN101921999B (zh) * 2009-06-12 2013-11-06 甘志银 多反应腔金属有机物化学气相沉积设备
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
CN101922437B (zh) * 2010-08-05 2012-05-23 友达光电股份有限公司 真空设备
KR101293653B1 (ko) 2012-04-09 2013-08-13 조영만 다단식 썩션노즐을 구비한 감압장치
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9745658B2 (en) * 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
CN104912779A (zh) * 2014-03-10 2015-09-16 松下知识产权经营株式会社 微泵和微阀的驱动装置以及使用该驱动装置的微流体设备
DE102014105294A1 (de) * 2014-04-14 2015-10-15 Aixtron Se Vorrichtung und Verfahren zur Abgasreinigung an einem CVD-Reaktor
WO2015182699A1 (ja) * 2014-05-30 2015-12-03 株式会社 荏原製作所 真空排気システム
JP6001015B2 (ja) * 2014-07-04 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
GB2533933A (en) * 2015-01-06 2016-07-13 Edwards Ltd Improvements in or relating to vacuum pumping arrangements
KR101862806B1 (ko) 2015-12-29 2018-05-31 주식회사 원익테라세미콘 원자층 증착 장치의 배기 시스템
US20180061679A1 (en) * 2016-08-25 2018-03-01 Applied Materials, Inc. Multi chamber processing system with shared vacuum system
US20180274615A1 (en) * 2017-03-27 2018-09-27 Goodrich Corporation Common vacuum header for cvi/cvd furnaces
GB2561899B (en) * 2017-04-28 2020-11-04 Edwards Ltd Vacuum pumping system
US10950454B2 (en) 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2020016087A1 (en) * 2018-07-17 2020-01-23 Asml Netherlands B.V. Particle beam inspection apparatus
US11155916B2 (en) * 2018-09-21 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and methods for pumping gases from a chamber
JP7472114B2 (ja) * 2018-09-28 2024-04-22 ラム リサーチ コーポレーション 堆積副生成物の蓄積からの真空ポンプの保護
US20230034561A1 (en) 2020-01-10 2023-02-02 Lam Research Corporation Ammonia abatement for improved roughing pump performance

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004360061A (ja) 2003-05-13 2004-12-24 Tokyo Electron Ltd 処理装置及びその使用方法
JP2012064836A (ja) 2010-09-17 2012-03-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2015203145A (ja) 2014-04-15 2015-11-16 東京エレクトロン株式会社 成膜装置ならびに排気装置および排気方法

Also Published As

Publication number Publication date
WO2020069206A1 (en) 2020-04-02
US11710623B2 (en) 2023-07-25
US20200105509A1 (en) 2020-04-02
US11031215B2 (en) 2021-06-08
US20210257195A1 (en) 2021-08-19
CN113169094A (zh) 2021-07-23
JP2022501829A (ja) 2022-01-06
TW202026528A (zh) 2020-07-16
US20230317437A1 (en) 2023-10-05
KR20210053351A (ko) 2021-05-11

Similar Documents

Publication Publication Date Title
JP7472114B2 (ja) 堆積副生成物の蓄積からの真空ポンプの保護
TWI680509B (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
KR102549682B1 (ko) 펌핑 배기 시스템 내에서 폐기물 축적을 감소시키기 위한 시스템들 및 방법들
JP7507146B2 (ja) 高アスペクト比エッチングのための金属含有パシベーション
KR20170082978A (ko) 플라즈마-기반 프로세스를 사용하여 기판 프로세싱 챔버 내의 불소 잔여물을 제거하기 위한 시스템들 및 방법들
TW201635334A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TW201639027A (zh) 用於高深寬比圓筒狀物蝕刻的含金屬側壁鈍化層之沉積技術
KR20220025885A (ko) 포토레지스트 막들의 건식 챔버 세정
TW202147431A (zh) 鉬的原子層蝕刻
KR102630920B1 (ko) 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들
US6814814B2 (en) Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
CN113196451A (zh) 用于半导体处理的室部件的原位保护性涂层
KR20200028489A (ko) TCP 에칭 챔버에서 통합된 ALP (Atomic Layer Passivation : 원자 층 패시베이션) 및 인-시츄 에칭-ALP 방법
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
JP2024095772A (ja) 堆積副生成物の蓄積からの真空ポンプの保護
KR20210087545A (ko) 인터벌 컨디셔닝 퍼징으로 쓰루풋 개선
KR20210011493A (ko) 고 종횡비 구조체들의 효율적인 세정 및 에칭
US20230034561A1 (en) Ammonia abatement for improved roughing pump performance
TW201806028A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220922

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221019

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231025

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231031

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240319

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240410

R150 Certificate of patent or registration of utility model

Ref document number: 7472114

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150