JP7450026B2 - ゲートインターフェース工学のための新規方法 - Google Patents

ゲートインターフェース工学のための新規方法 Download PDF

Info

Publication number
JP7450026B2
JP7450026B2 JP2022520200A JP2022520200A JP7450026B2 JP 7450026 B2 JP7450026 B2 JP 7450026B2 JP 2022520200 A JP2022520200 A JP 2022520200A JP 2022520200 A JP2022520200 A JP 2022520200A JP 7450026 B2 JP7450026 B2 JP 7450026B2
Authority
JP
Japan
Prior art keywords
substrate
forming
oxide
nitrogen
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022520200A
Other languages
English (en)
Other versions
JP2022550561A (ja
Inventor
スティーヴン シー. ハング,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022550561A publication Critical patent/JP2022550561A/ja
Application granted granted Critical
Publication of JP7450026B2 publication Critical patent/JP7450026B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

関連出願の相互参照
本出願は、その内容全体があらゆる目的で参照することによって本明細書に組み込まれる、2019年10月4日出願の米国仮出願第62/910,974号の優先権の利益を主張する。
本技術は、半導体システム、プロセス、及び機器に関する。より詳細には、本技術は、ゲート構造における材料形成を強化するための処理に関する。
論理ゲートの性能は、用いられる材料の特性、並びに構造層の厚さ及び面積に関係している。しかしながら、一部のゲート特性はデバイスのスケーリングに対応するように調整されることから、課題が生じる。例えば、酸化ケイ素ゲート誘電体を用いると、厚さが薄くなるにつれて静電容量が改善される可能性があり、これにより、より高いチャネル移動度及びより速いデバイス性能をもたらすことができる。しかしながら、厚さが減少し続けると、ゲートリークがデバイスに影響を与える可能性があり、また、デバイスの歩留まりを低下させる可能性がある。ゲートリークへの影響を制限しつつ、有効酸化物厚さを低減するために、高誘電率材料がゲート誘電体に採用されている。高誘電率材料の形成に関連する形態の問題に起因して、特定の高誘電率材料を最大化するための努力が制限されている。
したがって、高誘電率材料の性能を最大化し、高品質のデバイス及び構造の製造を可能にするために使用することができる、改善されたシステム及び方法が必要とされている。本技術は、これら及び他の必要性に対処するものである。
処理方法を実施して、高誘電率誘電体材料を含みうる半導体構造を製造することができる。該方法は、基板の表面から自然酸化物を除去することを含みうる。該方法は、基板に亜酸化窒素を供給し、表面を熱的にアニーリングして、酸化物含有インターフェースを形成することを含みうる。該方法は、半導体処理チャンバ内に含まれる基板に窒素含有前駆体又は酸素含有前駆体を供給することを含みうる。該方法は、窒素含有前駆体又は酸素含有前駆体を用いて、基板の露出した表面に反応性配位子を導入することを含みうる。該方法はまた、基板を覆う高誘電率誘電体材料を形成することも含みうる。
幾つかの実施形態では、自然酸化物を除去することは、その場乾式化学プロセスを含みうる。除去することは、第1の処理チャンバ内で実施されることを含んでよく、該方法はさらに、高誘電率誘電体材料を形成する前に基板を第1の処理チャンバから第2の処理チャンバへと移送することを含むことができる。該方法はまた、基板表面を雰囲気に曝露することなく、1つ以上の処理チャンバ内で実施される方法も含みうる。該方法は、自然酸化物を基板の表面から約20Å又は最大で約20Åの深さまで除去することを含みうる。幾つかの実施形態では、該方法は、基板に亜酸化窒素を供給し、表面を熱的にアニーリングして、最大で約5Åまでの厚さの酸化物含有インターフェースを形成することを含みうる。該方法は、原子層堆積プロセスを実施することを含む、高誘電率誘電体材料を形成することを含みうる。幾つかの実施形態では、窒素含有前駆体は、アンモニアであるか、又はアンモニアを含みうる。該方法は、アンモニアを供給している間、基板を約300℃又はそれより高い温度で維持することを含みうる。幾つかの実施形態では、基板は、ケイ素含有材料であるか、又はそれを含みうる。幾つかの実施形態では、高誘電率誘電体材料は、ハフニウム、ジルコニウム、ケイ素、ランタン、アルミニウム、チタン、及びストロンチウムからなる群より選択される少なくとも1つの元素であるか、又はそれらを含みうる。
本技術の幾つかの実施形態はまた、半導体構造を形成する方法も包含しうる。該方法は、半導体処理チャンバ内に含まれる基板の表面から自然酸化物を除去することを含みうる。該方法は、基板に亜酸化窒素を供給し、表面を熱的にアニーリングして、酸化物含有インターフェースを形成することを含みうる。該方法は、基板を窒素含有前駆体又は酸素含有前駆体と接触させることによって基板を前処理することを含みうる。該方法は、前処理された基板を収容する第1の半導体処理チャンバ内で、前処理された基板を覆う高誘電率誘電体材料を形成することを含みうる。該方法は、基板を第2の半導体処理チャンバに移送することを含みうる。該方法はまた、高誘電率誘電体材料を後処理することも含みうる。
幾つかの実施形態では、自然酸化物を除去することは、その場乾式化学プロセスを含みうる。除去することは、第1の処理チャンバ内で実施されることを含んでよく、該方法はさらに、高誘電率誘電体材料を形成する前に基板を第1の処理チャンバから第2の処理チャンバに移送することを含みうる。該方法はまた、基板表面を雰囲気に曝露することなく、1つ以上の処理チャンバ内で実施される方法も含みうる。幾つかの実施形態では、後処理は、基板及び高誘電率誘電体材料を酸素含有前駆体又は窒素含有前駆体に曝露することを含みうる。該方法は、後処理の後に、高誘電率誘電体材料をアニーリングすることを含みうる。前処理用の窒素含有前駆体は、アンモニアであるか、又はアンモニアを含みうる。
本技術の幾つかの実施形態はまた、半導体構造を形成する方法も包含しうる。該方法は、半導体処理チャンバ内に含まれる基板の表面から自然酸化物を除去することを含みうる。該方法は、基板に亜酸化窒素を供給し、表面を熱的にアニーリングして、酸化物含有インターフェースを形成することを含みうる。該方法は、基板を約400℃又はそれ以上の第1の温度で維持しつつ、基板を窒素含有前駆体又は酸素含有前駆体と接触させることによって、ケイ素含有材料を含む基板を前処理することを含みうる。該方法は、前処理された基板を第1の温度より低い第2の温度で維持しつつ、前処理された基板を覆う高誘電率誘電体材料を形成することを含みうる。該方法はまた、第1の温度よりも高いか又はほぼ同じ温度である第3の温度でアニーリングを実施することにより、高誘電率誘電体材料を後処理することも含みうる。
このような技術は、従来のシステム及び技法と比べて多くの利点を提供することができる。例えば、これらのプロセスは、高誘電率誘電体材料のより好ましい構造を製造することができる。加えて、製造された高誘電率材料は、従来形成されていた同じ高誘電率誘電体材料と比較して、ゲートリークの低減を特徴としうる。これら及び他の実施形態は、それらの利点及び特徴部の多くとともに、以下の説明及び添付の図と併せて、より詳細に説明される。
開示される技術の性質及び利点は、明細書の残りの部分及び図面を参照することによってさらに理解を深めることができる。
本技術の実施形態による例示的な処理システムの上面図 本技術の実施形態による半導体構造を形成する方法における選択された動作を示す図 本技術の実施形態による例示的な基板の概略的な断面図
幾つかの図は概略図として含まれている。図面は例示を目的とするものであり、特に縮尺が明確に述べられていない限り、縮尺が考慮されるべきではないことが理解されるべきである。さらには、概略図として、図面は理解を助けるために提供されており、現実的な表現と比較してすべての態様又は情報を含まない場合があり、説明目的のために誇張された素材を含む場合がある。
添付の図面において、類似の構成要素及び/又は特徴部は、同一の参照符号を有しうる。さらには、同種のさまざまな構成要素は、類似の構成要素間を区別する文字により、参照符号に従って区別することができる。本明細書において第1の参照符号のみが用いられる場合、その記載は、文字に関わりなく、同じ第1の参照符号を有する類似の構成要素のうちのいずれにも適用可能である。
論理ゲート構造がより小さい寸法へと縮小するにつれて、改善をもたらすために、新しい材料構造が求められている。高誘電率の誘電体を使用すると、酸化ケイ素などの材料を利用する従来のゲートスタックよりもゲートスタックの誘電率が高くなる。しかしながら、酸化ケイ素と同様に、材料の厚さが薄くなるにつれてゲートリークが増加する。例えば、有効酸化物の厚さが薄くなるにつれてゲートリークが増加する。したがって、ゲートリークと有効酸化物厚さとの間の逆の関係は、製造されるトランジスタ及びデバイスの性能に限界を形成する可能性がある。
高誘電率誘電体材料は、同様の厚さの酸化ケイ素よりも大きいチャネル移動度を提供しうる。業界はゲートリークを増加させることなく有効酸化物厚さをより薄くすることを求め続けていることから、既知の高誘電率材料の誘電率値を最大化するための努力は、その形態的特性の理由から限界に達しつつある。従来技術は、誘電率の上限を設定する可能性のある高誘電率材料の天然の特性と、新しい膜を組み込む試みにおけるその後のデバイスの改造を克服するのに苦労してきた。
本技術は高誘電率誘電体材料自体の特性を改善することにより、これらの問題を克服するものである。本技術の実施形態に従う特定の形態又は粒状構造を示す高誘電率誘電体材料を製造することにより、より高い誘電率及びその後の改善されたデバイス性能を可能にすることができる。例示的なデバイスにおける粒子形成を制御するために、処理を実施して、特定の粒子成長を誘発することができる活性化基板表面を提供すること、並びに形成後に膜を安定化することができ、これにより、より高い誘電率をもたらすことができる。
残りの開示は、本開示の技術を利用する特定の堆積及び処理プロセスを規定通りに識別するものであるが、本システム及び方法は、記載されたチャンバ内で起こりうる他のさまざまなプロセスに等しく適用可能であることが容易に理解されよう。したがって、本技術は、記載された処理及び堆積プロセスのみでの使用に限定されるとみなされるべきではない。本開示は、本技術による例示的なプロセスシーケンスの動作を説明する前に、堆積又は処理動作のある特定の要素を実行するために本技術とともに使用することができる1つの可能なシステムについて論じる。本技術は記載された装置に限定されず、論じられたプロセスは、任意の数の処理チャンバ及びシステム内で実施することができるものと理解されたい。
図1は、実施形態による堆積、エッチング、ベーキング、及び/又は硬化チャンバの処理システム100の一実施形態の上面図を示している。図1に示されるツール又は処理システム100は、複数の処理チャンバ114A-D、移送チャンバ110、サービスチャンバ116、統合計測チャンバ117、及び一対のロードロックチャンバ106A-Bを含むことができる。処理チャンバは、任意の数の構造又は構成要素、並びに任意の数又は任意の組合せの処理チャンバを含むことができる。
チャンバ間で基板を移送するために、移送チャンバ110は、ロボット輸送機構113を含むことができる。輸送機構113は、それぞれ、伸長可能なアーム113Bの遠位端に取り付けられた一対の基板輸送ブレード113Aを有しうる。ブレード113Aは、個々の基板を処理チャンバの内外へと運ぶために使用することができる。動作中、輸送機構113のブレード113Aなどの基板輸送ブレードの1つは、チャンバ106A-Bなどのロードロックチャンバの1つから基板Wを回収し、該基板Wを、例えば、チャンバ114A-Dで以下に説明するような処理プロセスなどの処理の第1段階に運ぶことができる。チャンバは、記載された技術の個別又は組み合わせた動作を実施するために含まれうる。例えば、1つ以上のチャンバは、堆積又は形成動作を実施するように構成することができるが、他の1つ以上のチャンバは、前処理動作、及び/又は記載された1つ以上の後処理動作を実施するように構成することができる。任意の数の構成が本技術に含まれ、これはまた、半導体処理で通常実施される任意の数の追加の製造動作を実施することもできる。
チャンバが占有されている場合、ロボットは、処理が完了するまで待機し、その後、1つのブレード113Aを用いてチャンバから処理された基板を取り外し、第2のブレード(図示せず)を用いて新しい基板を挿入することができる。基板が処理されると、該基板は次に処理の第2段階へと移動されうる。各移動について、輸送機構113は、概して、基板を運ぶ1つのブレードと、基板交換を実行するための空の1つのブレードとを有しうる。輸送機構113は、交換が達成されるまで各チャンバで待機することができる。
処理が処理チャンバ内で完了すると、輸送機構113は、基板Wを最後の処理チャンバから移動させ、基板Wをロードロックチャンバ106A-B内のカセットへと輸送することができる。ロードロックチャンバ106A-Bから、基板は、ファクトリインターフェース104へと移動されうる。ファクトリインターフェース104は、概して、大気圧式清浄環境内のポッドローダ105A-Dとロードロックチャンバ106A-Bとの間で基板を移送するように動作することができる。ファクトリインターフェース104内の清浄環境は、概して、例えばHEPA濾過などの空気濾過プロセスを通じて提供することができる。ファクトリインターフェース104はまた、処理前に基板を適切に位置合わせするために使用することができる基板オリエンタ/アライナ(図示せず)も含みうる。ロボット108A-Bなどの少なくとも1つの基板ロボットは、ファクトリインターフェース104内に位置決めされて、ファクトリインターフェース104内のさまざまな位置/場所間及びそれと通信する他の場所へと基板を輸送することができる。ロボット108A-Bは、ファクトリインターフェース104内のトラックシステムに沿ってファクトリインターフェース104の第1の端部から第2の端部まで移動するように構成することができる。
処理システム100は、制御信号を提供するための統合計測チャンバ117をさらに含むことができ、これは、処理チャンバ内で実施されるプロセスのいずれかに対する適応制御を提供することができる。統合計測チャンバ117は、厚さ、粗さ、組成など、さまざまな膜特性を測定するためのさまざまな計測デバイスのいずれかを含むことができ、該計測デバイスはさらに、臨界寸法、側壁角度、及び真空下での特徴部の高さなどの格子パラメータを自動化された方法で特徴付けることができる。
処理チャンバ114A-Dの各々は、半導体構造の製造において1つ以上のプロセス工程を実施するように構成されてよく、任意の数の処理チャンバ及び処理チャンバの組合せをマルチチャンバ処理システム100上で使用することができる。例えば、任意の処理チャンバは、周期的層堆積、原子層堆積、化学気相堆積、物理的気相堆積、並びに、エッチング、予洗浄、前処理、後処理、アニーリング、プラズマ処理、ガス抜き、配向、及び他の基板プロセスを含めた、任意の数の堆積プロセスを含む多くの基板処理動作を実施するように構成することができる。チャンバのいずれか又はチャンバの任意の組合せで実施されうる幾つかの特定のプロセスは、金属堆積、表面の洗浄及び準備、急速熱処理などの熱アニーリング、並びにプラズマ処理でありうる。他の任意のプロセスは、当業者によって容易に認識されるように、以下に説明される任意のプロセスを含む、マルチチャンバ処理システム100内に組み込まれた特定のチャンバにおいても同様に実施することができる。
図2は、半導体構造を形成する方法200を示しており、その動作は、例えば、前述のようにマルチチャンバ処理システム100に組み込まれた1つ以上のチャンバ内で実施することができる。方法200は、フロントエンド処理、堆積、エッチング、研磨、洗浄、又は記載された動作の前に実施することができる他の任意の動作を含めた、記載される方法動作の開始前の1つ以上の動作を含むことができる。該方法は、図に示されるように、本技術による方法に具体的に関連付けられても関連付けられていなくてもよい、幾つかの任意選択的な動作を含みうる。例えば、動作の多くは、より広い範囲の構造形成プロセスを提供するために記載されているが、それらは技術にとって重要ではなく、あるいは、以下でさらに論じられる代替的方法論によって実施されてもよい。方法200は、図3A-3Fに概略的に示される動作を説明しており、その図について、方法200の動作と併せて説明されている。図3は部分的な概略図のみを示しており、基板は、図面に示されている態様を有する、任意の数のトランジスタセクション及び追加の材料を含むことができることが理解されるべきである。
方法200は、半導体構造を特定の製造動作へと発展させるための任意選択的な動作を含みうる。幾つかの実施形態では、方法200は、ベース構造上で実施することができるが、幾つかの実施形態では、該方法は、その後の他の材料形成において実施されてもよい。図3Aに示されるように、半導体構造は、ある特定の処理が完了した後のデバイス300を表しうる。例えば、基板305は、平面材料であってよく、あるいは、支柱、トレンチ、又は、本技術に同様に包含されると理解されるであろう他の構造として構成された、又はそれらを画成する、複数の材料を含みうる構造化されたデバイスであってもよい。基板305は、ケイ素、又はケイ素の酸化物、窒化物、及び炭化物などのケイ素含有材料、並びに構造内に組み込むことができる他の任意の材料を含む、任意の数の材料を含みうる。
1つ以上の材料層は、基板305の一部又は全部の上に形成され、また基板内に少なくとも部分的に形成されて、実施形態において平坦化又は構造化された材料でありうる構造を生成しうる。非限定的な例として、基板305は、ケイ素であるか、又はケイ素を含みうるか、あるいは酸化ケイ素など、追加の材料上に形成された表面量のケイ素を含むことができ、これは、ケイ素の露出表面を残す、酸化ケイ素の還元部分でありうる。基板305は、図3Aに示される自然酸化物310を含みうる。幾つかの実施形態では、基板305の表面の露出した材料をエッチング、平坦化、又は他の方法で処理して、断続的なパターンを生成することができる。単一の過程として示されているが、デバイス300は、示されている物体と類似していても異なっていてもよい任意の数の追加のセクションを含みうる、より大きいプロセス集積化の小さいセクションを含みうることが理解されるべきである。基板305は、半導体処理チャンバの処理領域に収容又は位置決めすることができ、方法200を実施して、基板上に高誘電率誘電体材料などの半導体材料を製造することができる。
方法200は、動作205において基板305から自然酸化物310を除去すること(図3Aのように)を含みうる。自然酸化物310を除去することは、フッ素含有前駆体及び水素含有前駆体を流すことであるか、又はそれを含みうる。フッ素含有前駆体は、三フッ化窒素、並びに他の任意のフッ素含有前駆体であるか、又はそれらを含みうる。水素含有前駆体は、アミン基[-NH]、又は他の窒素含有基又は水素含有基によって特徴付けることができる。例えば、水素含有前駆体は、1つの非限定的な例としてアンモニアなどの窒素と水素とを含有する前駆体であるか、又はそれを含みうる。流すことは、フッ素含有前駆体及び水素含有前駆体を遠隔プラズマ領域内へと流すことを含みうる。遠隔プラズマ領域は、基板処理領域に流体的に結合されうる。プラズマ放出物を生成するために、プラズマが形成されうる。フッ素含有前駆体の流量及び水素含有前駆体の流量は、水素原子のフッ素原子に対する流量比が1:2未満であることによって特徴付けることができる。自然酸化物310は、基板の表面に固体副生成物を形成しつつ、プラズマ放出物を基板処理領域に流すことによって除去される。特定の理論に縛られることは望まないが、この流れは、基板表面上にフッ素の層を残すことができ、これが、動作210でのインターフェース形成を促進し、フッ素終端は信頼性を高めるのに役立つ。固体副生成物は、基板の温度を固体副生成物の昇華温度より高くすることによって昇華される。昇華後、基板305は、自然酸化物を含まないか、又は実質的に含まない。除去することは、自然酸化物を約20Å又は最大で約20Åの深さまで除去することであるか、又はそれを含みうる。
方法200は、H、NF、及び/又はNHプラズマ副生成物への図3Aの基板305などの基板の同時曝露を包含する遠隔プラズマ支援ドライエッチングプロセスでありうるSiConi(商標)エッチングを、動作205において含むことができる。動作205における自然酸化物の除去は、基板表面が雰囲気又は酸素含有環境に曝露されないであろう、その場乾式化学プロセスによるものでありうる。動作205における自然酸化物の除去は、方法200の幾つかの実施形態では、第1の処理チャンバ内で実施することができる。方法200は、動作220のように高誘電率誘電体材料を形成する前に、基板を第1の処理チャンバから第2の処理チャンバへと移送することを含みうる。方法200は、基板表面を雰囲気又は空気に曝露することなく、1つ以上の処理チャンバ内で動作を実施することを含みうる。方法200は、動作205における除去の間、システム100内に真空を維持することを含みうる。集積真空を維持することにより、表面汚染を有利に低減することができる。移送は、単一のプラットフォーム上の1つ以上のチャンバ間で行われる場合もあれば、複数のプラットフォーム上のチャンバ間で行われる場合もある。しかしながら、単一のプラットフォームを利用することにより、酸素環境への基板の曝露を回避することをより確実にすることができる。
方法200は、動作210で、亜酸化窒素を供給し、基板表面を熱的にアニーリングして、酸化物含有インターフェースを形成することを含みうる。図3Bのように基板305に供給される亜酸化窒素315は、図3Cのように、自然酸化物を含まない表面を有する基板305のどのくらいが酸化されて酸化物含有インターフェース320を形成することができるかを制御するのに役立ちうる。動作210は、水素及び/又は酸素を利用する従来の熱技術と比較して、より低速で酸化が行われる、その場蒸気発生プロセスなどの蒸気を使用した熱ベースの反応を含みうる。窒素は酸素の担体として機能することができ、インターフェース又は基板の一部にはならない場合がある。形成された酸化物含有インターフェースは、高品質かつ高度に秩序化されうる(欠陥のない、又は実質的に欠陥のない結晶構造を意味する)。これにより、動作215での前処理などの後続の動作での窒素がチャネル領域に密接にアクセスするのを防ぎ、したがって漏れを防ぐことができる、インターフェース320を提供することができる。得られる酸化物含有インターフェース320は二酸化ケイ素を含みうる。形成された酸化物含有インターフェース320は、約5Å又は最大で約5Åの厚さを有しうる。方法200は、動作205で、より厚い自然酸化物を除去することを含むことができ、該自然酸化物は、後続の動作において、より薄い酸化物含有インターフェース320で置き換えることができる。
方法200は、動作215において、前処理前駆体を基板に供給することを含みうる。前処理前駆体は、窒素含有前駆体又は酸素含有前駆体であるか、若しくはそれらを含みうる。前駆体は、基板と接触することができ、図3Dに配位子320として示されている反応性配位子を基板の露出した表面に形成又は導入することができる。従来の技術とは異なり、本技術は、後続の動作で高誘電率誘電体材料の秩序ある成長を生成するように構成された前処理を利用することができる。
例えば、幾つかの実施形態では、基板は、ケイ素の露出した表面であるか、又はそれを含みうる。基板305は、それ自体がケイ素でありうるか、又はケイ素表面を提示するように還元又は改質された他のケイ素含有材料でありうる。基板305が酸化ケイ素を含みうる1つの非限定的な例として、最初の前処理は、例えば水素含有前駆体を用いて、構造の表面から酸素を除去することを含みうる。次に、ケイ素の薄い表面層を露出させることができる。特定の理論に縛られることは望まないが、ケイ素は、幾つかの実施形態では、酸化ケイ素と比較して、窒素含有前駆体を受け取るための改善された塩基特性を提供することができる。これにより、ある特定の高誘電率誘電体材料の優れた形成が可能になりうる。
前処理前駆体は、任意の窒素含有又は酸素含有前駆体であるか、又はそれらを含みうる。酸素含有前駆体は、基板305の表面に組み込むことができるヒドロキシル基[-OH]によって特徴付けることができる。窒素含有前駆体は、アミン基[-NH]、又は他の窒素含有基によって特徴付けることができる。例えば、窒素含有前駆体は、1つの非限定的な例としてアンモニアなどの窒素と水素とを含有する前駆体、又は窒素及び酸素含有前駆体、又は窒素を含む他の任意の前駆体であるか、若しくはそれらを含みうる。
幾つかの実施形態における表面終端は、ヒドロキシル基又はアミン基終端表面であるか、若しくはそれらを含みうる。方法200は、次に、動作220で、基板を覆う高誘電率誘電体材料を形成することを含みうる。本技術は、高誘電率材料の形成又は堆積を包含しうるが、幾つかの実施形態では、形成動作220は、原子層堆積、又は他の任意の原子層堆積チャンバであるか、若しくはそれらを含みうる。形成は、基板表面を前処理した直後に実施することができ、前処理と同じチャンバ内で、又はシステム100などの同じシステムに組み込まれた追加のチャンバなど、追加のチャンバ内で実施することができる。幾つかの実施形態では、基板が前処理チャンバから堆積又は形成チャンバへと移送される間、真空状態を維持させることができ、これにより、空気への基板の曝露を制限することができる。
原子層堆積プロセスを実施して高誘電率誘電体材料を形成する場合には、金属含有前駆体を基板に供給して、前処理された表面と反応させることができる。例えば、前処理から基板上に露出された反応性配位子と相互作用するために、遷移金属含有前駆体、貧金属含有前駆体、又はランタニド金属含有前駆体が処理チャンバに供給されうる。次に、酸素含有前駆体が、その後の金属含有前駆体のパージなどの第2の動作で供給されうる。これにより、図3Eに示されるように、原子層堆積によって、層330aなどの酸化物層が生成されうる。1つの非限定的な例では、酸化ハフニウム膜を生成するために、第1の動作でハフニウム含有前駆体が供給され、第2の動作で酸化剤が供給されてもよい。追加の金属含有前駆体は、ジルコニウム含有材料を製造するためのジルコニウム含有前駆体、並びに追加の金属酸化物構造を製造するための他の任意の数の金属含有前駆体を含みうる。ハフニウム含有前駆体の場合、及び同様に任意の代替となる金属の場合、前駆体は、ハフニウムが組み込まれている、ハロゲン含有前駆体、酸素含有前駆体、水素含有前駆体、又は炭素含有前駆体であるか、若しくはそれらを含みうる。
酸化剤については、金属含有材料と反応することができる任意の酸素含有前駆体を使用することができる。例えば、酸素含有前駆体は、水、二原子酸素、オゾン、ヒドロキシル含有前駆体又はアルコール、窒素及び酸素含有前駆体、局所的又は遠隔的に増強された酸素を含むプラズマ強化酸素、又は基板の上に金属酸化物材料層を生成するためにハフニウムなどの金属とともに組み込むことができる、酸素を含む他の任意の材料であるか、若しくはそれらを含みうる。この場合も、上記の金属含有材料のいずれかを本技術の実施形態で使用することができ、ハフニウム、ジルコニウム、ケイ素、ランタン、アルミニウム、チタン、ストロンチウム、又はこれらの材料の組合せ、例えばケイ酸ハフニウムなどを含みうるが、これらに限定されない、グループ化された金属のいずれかを含むことができる。
本技術の実施形態による前処理が行われる場合、金属含有材料の構造は、より均一な粒状構造を生成するために規則正しい方式で形成又は堆積されうる。これは、ケイ素などのより構造化された表面材料上に前処理前駆体の反応性配位子を形成することによって生成することができる。加えて、ある特定の条件で前処理曝露を実施することにより、さらなる改善をもたらすことができる。
前処理は、前駆体及び/又は基板表面を活性化するように構成された温度で実施することができる。例えば、窒素と水素とを含有する前駆体を前処理前駆体として使用することができる状況では、前駆体を供給している間、基板を約300℃又はそれより高い温度で維持されうる。同様に、酸素含有前駆体を用いた前処理もまた、基板温度を約300℃又はそれ以上に維持しつつ、実施することができる。任意の前処理動作では、基板はまた、約400℃又はそれ以上、約500℃又はそれ以上、約600℃又はそれ以上、約700℃又はそれ以上、約800℃又はそれ以上、又はそれより高い温度で維持することができる。前処理の温度が約500℃以下に低下すると、効果が低下する可能性がある。同様に、温度が約700℃又はそれ以上に上昇すると、核形成が改善されない可能性があり、過剰な前駆体が表面に組み込まれる可能性があり、これによりデバイスの移動度が低下する可能性がある。その結果として、幾つかの実施形態では、温度は、前処理中に、約500℃から約700℃の間に維持することができる。
同様に、曝露時間は、窒素含有前駆体の組み込みの量に影響を与える可能性があり、したがって、製造されたデバイスの移動度損失を制限するために、前駆体の曝露は約3分以下とすることができ、幾つかの実施形態では、曝露時間は、約2.5分以下、約2分以下、約1.5分以下、約1分以下、約45秒以下、約30秒以下、約15秒以下でありうるか、又はそれより低くなりうる。適切な量のアミン基が組み込まれると、形成を実施することができる。原子層形成を含めた形成は任意の温度で実施することができるが、幾つかの実施形態では、原子層堆積は、動作が同じチャンバ内で行われるか、異なるチャンバ内で行われるかにかかわらず、ほぼ前処理が行われる温度又はそれ以下の温度で実施することができる。例えば、原子層堆積は、前処理温度に対して第2の温度で実施することができ、形成温度は、実施形態では約500℃以下であってよく、約450℃以下、約400℃以下、約350℃以下、約300℃以下、約250℃以下、又はそれより低くなりうる。
高誘電率材料の層が形成又は堆積された後に、1つ以上の後処理を実施することができる。幾つかの実施形態では、任意選択的な動作225において材料を後処理するために、基板は、堆積チャンバから別のチャンバ又はチャンバセットへと移送されうる。上で説明したものと同様に、移送は、複数のチャンバを有する単一の処理システムで行うことができ、したがって、これらのチャンバのいずれかから又はそれらの間の移送は、真空状態を維持しつつ、実施することができる。次に、方法200は、任意選択的な動作230によって示されるように、1つ以上の追加の後処理動作を含みうる。後処理動作は、同じクラスタツール上の複数のチャンバを含む、1つ以上のチャンバ内で実施される1つ以上の動作を含みうる。後処理動作は、酸化、窒化、及び/又は熱アニーリングを含みうる。
上記のように、前処理動作は、過剰な前駆体が基板に組み込まれるのを制限しつつ、前述の均一な成長をもたらすのに十分な終端部分を提供するために実施することができる。例えば、組み込まれた窒素インターフェースは、製造されたトランジスタの移動度、又はキャリアが構造内を移動することができる速度を低下させる可能性がある。上記の前処理は、高誘電率膜のスケーリングをさらに改善することができるが、制御されていない場合には、この前処理は実際にデバイスの移動度を低下させる可能性がある。しかしながら、幾つかの実施形態では、1つの後処理は、前処理動作で使用することができる第1の酸素含有前駆体と比較して、形成された高誘電率材料を第2の酸素含有前駆体で酸化することを含みうる。
例えば、上記の酸素含有前駆体のいずれかを利用する酸化動作を実施して、形成後に膜をさらに酸化することができる。高誘電率膜の堆積又は形成は、多孔質膜、又は構造内に空孔を含む膜を生成しうる。酸化動作を実施することにより、酸素種は、層330bによって示されるように、膜充填空孔に浸透することができ、かつ上記の前の動作で形成されなかった場合に任意選択的な層320などの高誘電率材料のインターフェースに酸化物材料を生成しうる。これにより、アミン末端基由来の下地インターフェースを改善することができ、デバイスの移動性能を向上させることができる。下地酸化物層の過度の増加を制限するために、酸化動作は、限られた時間で実施されてよく、前述の時間範囲のいずれか内で実施することができる。
後処理動作は、使用する場合、前処理窒素含有前駆体と比較して、基板を第2の窒素含有前駆体とさらに接触させることをさらに含みうる。第2の窒素含有前駆体は、上述した任意の窒素含有前駆体を含むことができ、窒素ガス、並びに他に記載された任意の窒素含有前駆体を含むことができる。第2の窒素含有前駆体は、プラズマ活性化又はプラズマ増強窒素含有前駆体、熱的に活性化された窒素、若しくは、他の一部の窒素前駆体を含むことができ、これらは、窒素ラジカル又は窒素原子を高誘電率構造内に組み込むことができ、これにより、膜を安定化することができるか又は膜を平衡状態に落ち着かせることができる。酸化動作とは異なり、窒化は、酸化ケイ素などの下層の厚さを増加させず、生成された膜の誘電率値をわずかに増加させうる。
構造的及び電気的特性を維持するために、窒素の組み込みを制御して、膜への組み込みを制限することができる。幾つかの実施形態では、後処理窒化は、高誘電率膜の表面領域に約20原子%以下の窒素を組み込むことができ、約15原子%以下の窒素、約10原子%以下の窒素、約8原子%以下の窒素、約6原子%以下の窒素、約4原子%以下の窒素、約2原子%以下の窒素、又はそれより低い窒素を組み込むことができる。幾つかの実施形態では、約3原子%から約7原子%の間の組み込みは、より高い窒素の組み込みよりも高い誘電率値を維持することができ、また、より低い窒素の組み込みよりも膜をよりよく安定化させることができる。表面領域とは、材料の露出した表面を意味しうるが、窒素の組み込みは膜内の任意の距離に及ぶ可能性があり、また、一貫しているか、又は材料全体にわたって減少勾配を形成しうる。
後処理酸化又は窒化は、前に述べた温度のいずれかで実施することができるが、幾つかの実施形態では、後処理酸化及び/又は窒化は、約500℃又はそれより低い温度範囲で実施することができ、実施されている動作に応じて、約400℃以下、約300℃以下、約200℃以下、約100℃以下、又はそれより低い温度範囲で実施することができる。
後処理アニーリングは、記載された後処理動作のいずれかを含む任意の操作の後に、実施することができる。後処理アニーリングは、前の動作が行われる任意のチャンバ内で実施されるか、又は、例えば急速熱アニーリングプロセスを実施するように構成されたものなど、異なるチャンバへの移送を含みうる。この場合も、チャンバは他のチャンバと同じプラットフォームに組み込むことができ、真空状態を維持しつつ、チャンバ間の移動を可能にすることができる。後処理アニーリングは、膜結合をさらに位置合わせし、膜をさらに安定させることができる。実施形態では、後処理アニーリングは、第1の温度に対して第3の温度で実施することができ、ここで、第3の温度はほぼ第1の温度であるか、又はそれより高い温度でありうる。例えば、後処理アニーリングは、約400℃又はそれより高い温度で実施することができ、実施形態では、約500℃以上、約600℃以上、約700℃以上、約800℃以上、約900℃以上、若しくはそれより高い温度で実施することができる。
本技術の実施形態による前処理及び/又は後処理を実施することにより、改善された高誘電率材料を製造することができる。高誘電率材料の層は、約数ナノメートル又はそれ以下を含む任意の厚さに製造することができる。しかしながら、本技術によって生成される好ましい粒状構造に起因して、ゲートリーク性能を損なうことなく、より薄い有効酸化物厚さを製造することができる。本技術によって製造された高誘電率材料は、約10又はそれより大きい誘電率値によって特徴付けることができ、また、約15以上、約20以上、約21以上、約22以上、約23以上、約24以上、約25以上、又はそれより大きい誘電率値によって特徴付けることができる。
上記のように、本技術はさらに、従来の技術と比較して改善された誘電率を可能にする。加えて、製造された粒状構造の理由から、膜に関連するゲートリーク電流は、同様の厚さの酸化ケイ素膜のゲートリーク電流の約10分の1以下にすることができ、ゲートリーク電流は、同様の厚さの酸化ケイ素膜のゲートリーク電流の約100分の1以下、酸化ケイ素の同様の厚さの膜の約1000分の1以下、同様の厚さの酸化ケイ素膜の約1/5,000以下、同様の厚さの酸化ケイ素膜の約1/10,000以下、同様の厚さの酸化ケイ素膜の約1/20,000以下、同様の厚さの酸化ケイ素膜の約1/50,000以下、同様の厚さの酸化ケイ素膜の約1/100,000以下、又はそれより低くなりうる。本技術の実施形態による膜を製造することにより、従来の技術と比較して、膜の電気的特性を向上させることができる有益な形態を有する、形成された膜を製造することができる。
前述の記載では、説明を目的として、本技術のさまざまな実施形態の理解をもたらすために、多くの詳細が述べられてきた。しかしながら、これらの詳細の幾つかを含まずに、又はさらなる詳細と共に、ある特定の実施形態を実施しすることができることは、当業者とって明白であろう。
幾つかの実施形態を開示してきたが、実施形態の趣旨から逸脱することなく、さまざまな修正、代替構成、及び等価物を使用することができることは、当業者に認識されよう。さらには、本技術を不必要に不明瞭にすることを避けるために、幾つかのよく知られているプロセス及び要素については説明されていない。したがって、上記の説明は、本技術の範囲を限定するものと解釈すべきではない。
値の範囲が提示される場合、文脈がそうでないことを明確に指示しない限り、その範囲の上限と下限の間の下限値の単位の最小部分までの各介入値も具体的に開示されることが理解される。任意の記載値又は記載された範囲内の記載されていない介在値と、その記載範囲内の他の任意の記載値又は介在値との間の任意の狭い範囲も包含される。これらのより小さい範囲の上限と下限は、独立して範囲に含まれるか、又ははその範囲から除外される場合があり、より小さい範囲に限界値のいずれかが含まれる、どちらも含まれない、又は両方が含まれる各範囲もこの技術範囲に包含され、指定範囲内の具体的に除外された任意の限界値の対象となる。指定された範囲内に一方又は両方の限界値が含まれる場合、それらの含まれた限界値のいずれか又は両方を除外する範囲も含まれる。
本明細書及び添付の特許請求の範囲で用いられるように、単数形「1つの(a)」、「1つの(an)」、及び「その(the)」は、文脈上別途明示しない限り複数の指示対象を含む。したがって、例えば、「1つの層」への言及は、複数のこのような層を含み、「その前駆体」への言及は、1つ以上の前駆体及び当業者に知られているその等価物への言及を含む、等々である。
また、「含む(comprise(s))」、「含んでいる(comprising)」、「含有する(contain(s))」、「含有している(containing)」、「含む(include(s))」、及び「含んでいる(including)」という語句は、本明細書及び添付の特許請求の範囲で用いられた場合、記載された特徴、整数、構成要素、又は工程の存在を特定することが意図されているが、1つ以上の他の特徴、整数、構成要素、工程、作用、又は群の存在又は追加を除外するものではない。

Claims (15)

  1. 半導体構造を形成する方法であって、
    基板の表面から自然酸化物を除去すること、
    前記基板に亜酸化窒素を供給し、前記表面を熱的にアニーリングして、酸化物含有インターフェースを形成すること、
    前記基板に窒素含有前駆体又は酸素含有前駆体を供給すること、
    前記窒素含有前駆体又は前記酸素含有前駆体を用いて、前記酸化物含有インターフェースに反応性配位子を導入することであって、500℃から600℃の間の温度において実施される、反応性配位子を導入すること、及び
    前記酸化物含有インターフェースを覆う高誘電率誘電体材料を形成すること
    を含む、方法。
  2. 前記除去することが、その場乾式化学プロセスを含み、ここで、前記除去が第1の処理チャンバで実施され、かつ前記方法が、前記高誘電率誘電体材料を形成する前に、前記基板を前記第1の処理チャンバから第2の処理チャンバへと移送することをさらに含む、請求項1に記載の半導体構造を形成する方法。
  3. 前記基板に亜酸化窒素を供給し、前記表面を熱的にアニーリングすることが、最大で5Åまでの厚さの酸化物含有インターフェースを形成する、請求項1に記載の半導体構造を形成する方法。
  4. 記高誘電率誘電体材料を形成した後に、熱アニーリングを実施することをさらに含む、請求項1に記載の半導体構造を形成する方法。
  5. 前記高誘電率誘電体材料を形成することが、金属ハロゲン化物及び水を利用して原子層堆積プロセスを実施することを含む、請求項1に記載の半導体構造を形成する方法。
  6. 前記窒素含有前駆体がアンモニアを含み、前記アンモニアを供給する間、前記基板が300℃又はそれより高い温度で維持される、請求項1に記載の半導体構造を形成する方法。
  7. 前記高誘電率誘電体材料が、ハフニウム、ジルコニウム、ケイ素、ランタン、アルミニウム、チタン、及びストロンチウムからなる群より選択される少なくとも1つの元素を含む、請求項1に記載の半導体構造を形成する方法。
  8. 半導体構造を形成する方法であって、
    第1の半導体処理チャンバ内に含まれる基板の表面から自然酸化物を除去すること、
    真空条件を壊すことなく、前記基板を第2の半導体処理チャンバに移送すること、
    前記基板に亜酸化窒素を供給し、前記表面を熱的にアニーリングして、前記第2の半導体処理チャンバ内で酸化物含有インターフェース層を形成すること、
    前記酸化物含有インターフェース層の厚さを実質的に維持しつつ、かつ前記基板を500℃から600℃の間の温度で維持しつつ、前記基板を窒素含有前駆体又は酸素含有前駆体と接触させることによって、前記酸化物含有インターフェースを前処理すること、
    真空条件を壊すことなく、前記基板を第3の半導体処理チャンバに移送すること、
    前記前処理された基板を収容する前記第3の半導体処理チャンバ内で前記前処理された酸化物含有インターフェースを覆う高誘電率誘電体材料を形成すること、
    真空条件を壊すことなく、前記基板を第4の半導体処理チャンバに移送すること、及び
    窒素処理を用いて前記高誘電率誘電体材料を後処理して、10%から20%の間の窒素を挿入すること
    を含む、方法。
  9. 前記除去することが、その場乾式化学プロセスを含む、請求項8に記載の半導体構造を形成する方法。
  10. 前記自然酸化物を除去する前に熱アニーリングを実施することをさらに含む、請求項8に記載の半導体構造を形成する方法。
  11. 前記方法が、前記基板の前記表面を雰囲気に曝露することなく、1つ以上の処理チャンバ内で実施される、請求項8に記載の半導体構造を形成する方法。
  12. 前記後処理することが、前記基板及び高誘電率誘電体材料を窒素含有前駆体に曝露することを含む、請求項8に記載の半導体構造を形成する方法。
  13. 前記後処理の後に、前記高誘電率誘電体材料をアニーリングすることをさらに含む、請求項8に記載の半導体構造を形成する方法。
  14. 処理システムであって、
    亜酸化窒素を基板の表面に供給し、前記表面を熱的にアニーリングして、酸化物含有インターフェースを形成するように構成された第1の処理チャンバ、
    前記酸化物含有インターフェースを覆う高誘電率誘電体材料を形成するように構成された第2の処理チャンバ、
    窒素含有前駆体を前記基板に供給するように構成された第3の処理チャンバ、及び
    真空環境を壊すことなく、処理チャンバ間で前記基板を移送するように構成されたロボット
    を含む、処理システム。
  15. プラズマ処理を実施して、前記基板の表面から自然酸化物を除去するように構成された第4の処理チャンバ、及び
    窒素含有前駆体又は酸素含有前駆体を前記基板に供給するように構成された処理チャンバであって、前記窒素含有前駆体又は前記酸素含有前駆体を供給して、前記窒素含有前駆体又は前記酸素含有前駆体を用いて前記酸化物含有インターフェースに反応性配位子を導入する、処理チャンバ
    をさらに含む、請求項14に記載の処理システム。
JP2022520200A 2019-10-04 2020-10-02 ゲートインターフェース工学のための新規方法 Active JP7450026B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962910974P 2019-10-04 2019-10-04
US62/910,974 2019-10-04
PCT/US2020/054079 WO2021067813A1 (en) 2019-10-04 2020-10-02 Novel methods for gate interface engineering

Publications (2)

Publication Number Publication Date
JP2022550561A JP2022550561A (ja) 2022-12-02
JP7450026B2 true JP7450026B2 (ja) 2024-03-14

Family

ID=75274969

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022520200A Active JP7450026B2 (ja) 2019-10-04 2020-10-02 ゲートインターフェース工学のための新規方法

Country Status (6)

Country Link
US (1) US20210104401A1 (ja)
JP (1) JP7450026B2 (ja)
KR (1) KR20220066980A (ja)
CN (1) CN114746982A (ja)
TW (1) TWI837426B (ja)
WO (1) WO2021067813A1 (ja)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004303894A (ja) 2003-03-31 2004-10-28 Semiconductor Leading Edge Technologies Inc 半導体装置および半導体装置の製造方法
JP2008500741A (ja) 2004-05-21 2008-01-10 アプライド マテリアルズ インコーポレイテッド 高誘電率誘電体材料の安定化
JP2009529789A (ja) 2006-03-09 2009-08-20 アプライド マテリアルズ インコーポレイテッド 低エネルギープラズマシステムを用いた高誘電率トランジスタゲートを製造するための方法及び装置
JP2014506013A (ja) 2011-02-04 2014-03-06 アプライド マテリアルズ インコーポレイテッド SiO2のインシトゥ気相表面活性化

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003291319A1 (en) * 2002-11-08 2004-06-03 Aviza Technology, Inc. Nitridation of high-k dielectrics
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20050153571A1 (en) * 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US7115530B2 (en) * 2003-12-03 2006-10-03 Texas Instruments Incorporated Top surface roughness reduction of high-k dielectric materials using plasma based processes
JP4219838B2 (ja) * 2004-03-24 2009-02-04 シャープ株式会社 半導体基板の製造方法、並びに半導体装置の製造方法
US7323423B2 (en) * 2004-06-30 2008-01-29 Intel Corporation Forming high-k dielectric layers on smooth substrates
US7888217B2 (en) * 2005-10-20 2011-02-15 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
KR102627458B1 (ko) * 2016-09-13 2024-01-19 삼성전자주식회사 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004303894A (ja) 2003-03-31 2004-10-28 Semiconductor Leading Edge Technologies Inc 半導体装置および半導体装置の製造方法
JP2008500741A (ja) 2004-05-21 2008-01-10 アプライド マテリアルズ インコーポレイテッド 高誘電率誘電体材料の安定化
JP2009529789A (ja) 2006-03-09 2009-08-20 アプライド マテリアルズ インコーポレイテッド 低エネルギープラズマシステムを用いた高誘電率トランジスタゲートを製造するための方法及び装置
JP2014506013A (ja) 2011-02-04 2014-03-06 アプライド マテリアルズ インコーポレイテッド SiO2のインシトゥ気相表面活性化

Also Published As

Publication number Publication date
TWI837426B (zh) 2024-04-01
US20210104401A1 (en) 2021-04-08
KR20220066980A (ko) 2022-05-24
JP2022550561A (ja) 2022-12-02
CN114746982A (zh) 2022-07-12
WO2021067813A1 (en) 2021-04-08
TW202129047A (zh) 2021-08-01

Similar Documents

Publication Publication Date Title
CN110226214B (zh) 用于介电膜的选择性沉积的方法及设备
TWI765747B (zh) 使用摻雜層的閘極界面加工
JP7297932B2 (ja) 材料構造を向上させる処理
JP7450026B2 (ja) ゲートインターフェース工学のための新規方法
CN116918034A (zh) 用以改善装置效能的处理
JP7397186B2 (ja) FinFET形成のためのキャップ酸化
JP7478776B2 (ja) ゲートスタック形成のための統合湿式洗浄
TWI845979B (zh) 用於閘極堆疊開發的整合濕式清潔
TW202417666A (zh) 用於形成半導體結構的處理系統及方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220527

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230613

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230801

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231101

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240304

R150 Certificate of patent or registration of utility model

Ref document number: 7450026

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150