JP7433437B2 - Silicon carbonitride gap filling with adjustable carbon content - Google Patents

Silicon carbonitride gap filling with adjustable carbon content Download PDF

Info

Publication number
JP7433437B2
JP7433437B2 JP2022536758A JP2022536758A JP7433437B2 JP 7433437 B2 JP7433437 B2 JP 7433437B2 JP 2022536758 A JP2022536758 A JP 2022536758A JP 2022536758 A JP2022536758 A JP 2022536758A JP 7433437 B2 JP7433437 B2 JP 7433437B2
Authority
JP
Japan
Prior art keywords
substrate
silane
substituted
plasma
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022536758A
Other languages
Japanese (ja)
Other versions
JP2023507326A (en
Inventor
メイイー シェック,
バーガブ エス. シトラ,
ジョシュア ルブニツ,
ジェスロ タノス,
チェンツァウ クリス イン,
シュリニヴァス ディ. ネマニ,
エリー ワイ. イー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023507326A publication Critical patent/JP2023507326A/en
Application granted granted Critical
Publication of JP7433437B2 publication Critical patent/JP7433437B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/0828Carbonitrides or oxycarbonitrides of metals, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines

Description

本開示の実施形態は、概して、窒化ケイ素膜堆積に関する。より詳細には、本開示の実施形態は、炭素含有量が調整可能な炭窒化ケイ素膜の堆積を対象とする。 Embodiments of the present disclosure generally relate to silicon nitride film deposition. More particularly, embodiments of the present disclosure are directed to the deposition of silicon carbonitride films with tunable carbon content.

マイクロエレクト二クスデバイスの製造では、多くの用途で10:1を超えるアスペクト比(AR)を有する狭いトレンチに充填することが必要とされる。1つの用途は、約20nmから約30nmのトレンチに充填する必要がある、多色パターニングである。この用途では、膜が、トレンチ全体を通じて高品質(例えば、2を下回る湿式エッチング速度比を有する)で、漏れが非常に少ないことが必要とされる。構造の寸法が低下し、アスペクト比が増加すると、堆積したままの流動性膜の後硬化方法が困難になる。充填されたトレンチ全体にわたり、さまざまな組成の膜が結果として得られる。 In the fabrication of microelectronic devices, many applications require filling narrow trenches with aspect ratios (AR) greater than 10:1. One application is multicolor patterning, where trenches of about 20 nm to about 30 nm need to be filled. This application requires that the membrane be of high quality (eg, have a wet etch rate ratio of less than 2) and very low leakage throughout the trench. As structure dimensions decrease and aspect ratio increases, post-curing methods for as-deposited flowable films become difficult. Films of varying composition throughout the filled trench result.

従来のスピンオン誘電体膜、例えば窒化ケイ素(SiN)は、高い収縮率を有しており、充填される構造に曲げを生じさせる可能性がある。従来の低温化学気相堆積(CVD)窒化ケイ素膜は、密度が低く、空気中で安定せず、急速に酸化して、酸窒化ケイ素(SiON)膜へと変換され、酸化ケイ素(SiO)に対するエッチング選択性が損なわれる。 Conventional spin-on dielectric films, such as silicon nitride (SiN), have high shrinkage rates that can cause bending in the filled structure. Traditional low-temperature chemical vapor deposition (CVD) silicon nitride films have low density, are not stable in air, and rapidly oxidize and convert to silicon oxynitride (SiON) films, which are highly sensitive to silicon oxide (SiO). Etch selectivity is impaired.

流動性化学気相堆積(FCVD)は、次世代の半導体デバイスにおいて広く使用されている。特徴サイズが小さくなると、FCVD膜に必要とされる間隙充填容量を以前のノードと比較して大幅に減らすことができる(例えば、<500Å又は<300Å)。構造を曲げずに流動性膜を堆積することは重要であるが、困難である。したがって、流動性の間隙充填膜を改善する方法が必要とされている。 Fluid chemical vapor deposition (FCVD) is widely used in next generation semiconductor devices. The reduced feature size can significantly reduce the gap-filling capacitance required for FCVD films compared to previous nodes (eg, <500 Å or <300 Å). Depositing flowable films without bending the structure is important but difficult. Therefore, there is a need for a method to improve flowable gap-filling membranes.

本開示の1つ以上の実施形態は、膜を堆積する方法を対象とする。1つ以上の実施形態では、膜を堆積する方法は、前駆体及び反応物質に曝露することによって基板表面に流動性膜を形成することであって、前駆体が一般式(I)又は一般式(II)の構造:

Figure 0007433437000001
(式中、R、R、R、R、R、R、R、R、R、R10、R11、及びR12は、水素(H)、置換又は非置換のアルキル、置換又は非置換のアルコキシ、置換又は非置換のビニル、シラン、置換又は非置換のアミン、又はハロゲン化物から独立して選択される)を有する、流動性膜を形成すること;及び、基板をプラズマに曝露することを含む。 One or more embodiments of the present disclosure are directed to methods of depositing films. In one or more embodiments, the method of depositing a film is to form a flowable film on a substrate surface by exposing a precursor and a reactant, the precursor having the general formula (I) or the general formula Structure of (II):
Figure 0007433437000001
(In the formula, R 1 , R 2 , R 3 , R 4 , R 5 , R 6 , R 7 , R 8 , R 9 , R 10 , R 11 , and R 12 are hydrogen (H), substituted or unsubstituted. (independently selected from substituted alkyl, substituted or unsubstituted alkoxy, substituted or unsubstituted vinyl, silane, substituted or unsubstituted amine, or halide); and , including exposing the substrate to a plasma.

本開示の追加の実施形態は、膜を堆積する方法を対象とする。1つ以上の実施形態では、膜を堆積する方法は、処理チャンバ内の基板を、一般式(I)又は一般式(II)の構造を有する前駆体:

Figure 0007433437000002
(式中、R、R、R、R、R、R、R、R、R、R10、R11、及びR12は、水素(H)、置換又は非置換のアルキル、置換又は非置換のアルコキシ、置換又は非置換のビニル、シラン、置換又は非置換のアミン、又はハロゲン化物から独立して選択される)に曝露して、基板上にケイ素含有膜を堆積すること;基板を反応物質に曝露してケイ素含有膜と反応させて基板上に炭窒化ケイ素(SiCN)膜を形成すること;前駆体及び反応物質の処理チャンバをパージすること;並びに、基板をプラズマに曝露することを含む。 Additional embodiments of the present disclosure are directed to methods of depositing films. In one or more embodiments, a method of depositing a film includes depositing a substrate in a processing chamber with a precursor having a structure of general formula (I) or general formula (II):
Figure 0007433437000002
(In the formula, R 1 , R 2 , R 3 , R 4 , R 5 , R 6 , R 7 , R 8 , R 9 , R 10 , R 11 , and R 12 are hydrogen (H), substituted or unsubstituted. (independently selected from substituted alkyls, substituted or unsubstituted alkoxys, substituted or unsubstituted vinyls, silanes, substituted or unsubstituted amines, or halides) to form a silicon-containing film on a substrate. depositing; exposing the substrate to a reactant to react with the silicon-containing film to form a silicon carbonitride (SiCN) film on the substrate; purging the processing chamber of the precursor and reactant; and including exposure to plasma.

本開示のさらなる実施形態は、非一時的コンピュータ可読媒体を対象とする。1つ以上の実施形態では、非一時的コンピュータ可読媒体は、処理チャンバのコントローラによって実行されると、処理チャンバに、次の動作:基板を有する処理チャンバの処理容積内に、一般式(I)又は一般式(II)の構造を有する前駆体:

Figure 0007433437000003
(式中、R、R、R、R、R、R、R、R、R、R10、R11、及びR12は、水素(H)、置換又は非置換のアルキル、置換又は非置換のアルコキシ、置換又は非置換のビニル、シラン、置換又は非置換のアミン、又はハロゲン化物から独立して選択される)を流す動作;処理チャンバの処理容積内に、トリシリルアミン(TSA)を含む反応物質を流す動作;前駆体及び反応物質の処理チャンバをパージする動作;基板をプラズマに曝露する動作;並びに、処理チャンバをパージする動作を実行させる命令を含む。 Further embodiments of the present disclosure are directed to non-transitory computer-readable media. In one or more embodiments, the non-transitory computer-readable medium, when executed by a controller of the processing chamber, causes the processing chamber to perform the following operations: into the processing volume of the processing chamber having a substrate, a substrate of the general formula (I). Or a precursor having the structure of general formula (II):
Figure 0007433437000003
(In the formula, R 1 , R 2 , R 3 , R 4 , R 5 , R 6 , R 7 , R 8 , R 9 , R 10 , R 11 , and R 12 are hydrogen (H), substituted or unsubstituted. into the processing volume of the processing chamber; The method includes instructions for performing the following actions: flowing a reactant including trisilylamine (TSA); purging the processing chamber of precursors and reactants; exposing the substrate to a plasma; and purging the processing chamber.

本開示の上記特徴を詳細に理解することができるように、その一部が添付の図面に示されている実施形態を参照することにより、上に簡単に要約されている本開示のより詳細な説明を得ることができる。しかしながら、添付の図面は、本開示の典型的な実施形態のみを示しているのであり、したがって、本開示は他の同等に有効な実施形態も許容しうることから、その範囲を限定すると見なされるべきではないことに留意されたい。本明細書に記載の実施形態は、例として示されているのであって、同様の参照が同様の要素を示す添付の図面の図に限定されない。 In order that the above features of the present disclosure may be understood in detail, a more detailed description of the present disclosure is briefly summarized above by reference to the embodiments, some of which are illustrated in the accompanying drawings. You can get an explanation. The accompanying drawings, however, are to be considered as illustrating only typical embodiments of the disclosure and therefore limiting the scope of the disclosure, as the disclosure may also tolerate other equally effective embodiments. Please note that you should not. The embodiments described herein are presented by way of example and not by way of limitation to the figures of the accompanying drawings, where like references indicate like elements.

1つ以上の実施形態による方法のプロセスフロー図Process flow diagram of a method according to one or more embodiments 1つ以上の実施形態による基板の断面図Cross-sectional view of a substrate in accordance with one or more embodiments 1つ以上の実施形態による基板の断面図Cross-sectional view of a substrate in accordance with one or more embodiments

本開示の幾つかの例示的な実施形態を説明する前に、本開示が、以下の説明に記載される構成又はプロセスステップの詳細に限定されないことが理解されるべきである。本開示は、他の実施形態も可能であり、さまざまな方法で実施又は実行することができる。 Before describing some exemplary embodiments of the present disclosure, it is to be understood that the present disclosure is not limited to the details of construction or process steps set forth in the following description. The present disclosure is capable of other embodiments and of being practiced or carried out in various ways.

図に示されている詳細、寸法、角度、及び他の特徴の多くは、特定の実施形態の単なる例示である。したがって、他の実施形態は、本開示の趣旨又は範囲から逸脱することなく、他の詳細、構成要素、寸法、角度、及び特徴を有することができる。加えて、本開示のさらなる実施形態は、以下に説明する幾つかの詳細がなくとも、実施することができる。 Many of the details, dimensions, angles, and other features shown in the figures are merely illustrative of particular embodiments. Accordingly, other embodiments may have other details, components, dimensions, angles, and features without departing from the spirit or scope of the disclosure. Additionally, further embodiments of the disclosure may be practiced without some of the details described below.

本明細書で用いられる「基板」、「基板表面」などは、製造処理中にその上で膜処理が行われる、任意の基板表面又は基板上に形成された材料表面を指す。例えば、処理が実施されうる基板表面は、用途に応じて、ケイ素、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素をドープした酸化ケイ素、アモルファスシリコン、ドープしたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及び他の導電材料など、他の任意の材料を含む。基板には半導体ウエハが含まれるが、これに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、UV硬化、電子ビーム硬化、及び/又はベーキングするために前処理プロセスに曝露されうる。基板自体の表面上での直接的な膜処理に加えて、本発明では、開示された膜処理工程のいずれかを、以下により詳細に開示されるように基板上に形成された下層に対して行うことができ、「基板表面」という用語は、文脈が示すように、このような下層を含むことが意図されている。したがって、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されている場合には、新たに堆積された膜/層の露出面が基板表面となる。 As used herein, "substrate", "substrate surface", etc. refer to any substrate surface or material surface formed on a substrate on which film processing is performed during manufacturing processing. For example, substrate surfaces on which treatment may be performed include silicon, silicon oxide, strained silicon, silicon-on-insulator (SOI), carbon-doped silicon oxide, amorphous silicon, doped silicon, germanium, arsenide, etc., depending on the application. Includes materials such as gallium, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials. Substrates include, but are not limited to, semiconductor wafers. The substrate may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, UV cure, e-beam cure, and/or bake the substrate surface. In addition to direct film processing on the surface of the substrate itself, the present invention provides for applying any of the disclosed film processing steps to underlying layers formed on the substrate as disclosed in more detail below. The term "substrate surface" is intended to include such underlying layers, as the context indicates. Thus, for example, if a film/layer or partial film/layer is deposited on a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.

本明細書及び添付の特許請求の範囲で用いられる場合、「反応性化合物」、「反応性ガス」、「反応性核種」、「前駆体」、「プロセスガス」などの用語は、表面反応(例えば、化学吸着、酸化、還元)において基板表面又は基板表面上の材料と反応することができる核種を有する物質を意味するために交換可能に用いられる。例えば、第1の「反応性ガス」は、単に基板の表面に吸着され、第2の反応性ガスとのさらなる化学反応に利用することができる。 As used in this specification and the appended claims, terms such as "reactive compound," "reactive gas," "reactive species," "precursor," and "process gas" refer to surface reactions ( Used interchangeably to mean a substance that has a nuclide that can react with the substrate surface or materials on the substrate surface in, for example, chemisorption, oxidation, reduction). For example, a first "reactive gas" may simply be adsorbed onto the surface of the substrate and available for further chemical reaction with a second reactive gas.

本明細書及び添付の特許請求の範囲で用いられる場合、「前駆体」、「反応物質」、「反応性ガス」などの用語は、基板表面と反応することができる任意のガス種を指すために、交換可能に用いられる。 As used herein and in the appended claims, terms such as "precursor," "reactant," and "reactive gas" refer to any gas species capable of reacting with a substrate surface. used interchangeably.

1つ以上の実施形態では、バイアス電力、したがってプラズマの生成が、堆積中に有利に追加されるか、窒化ケイ素(SiN)膜の層処理によって層に挿入されて、窒化ケイ素(SiN)の品質を高める。加えて、1つ以上の実施形態では、シクロトリシラザン前駆体を使用して、堆積された流動性膜の炭素濃度を調整する。 In one or more embodiments, bias power, and thus plasma generation, is advantageously added during deposition or inserted into the layer by layer processing of the silicon nitride (SiN) film to improve the quality of the silicon nitride (SiN). Increase. Additionally, in one or more embodiments, a cyclotrisilazane precursor is used to adjust the carbon concentration of the deposited flowable film.

図1を参照すると、本開示の1つ以上の実施形態は、膜を堆積する方法100を対象とする。図1に示される方法は、反応性ガスの気相反応と薄膜の堆積を可能にするために反応性ガスが処理チャンバ内で混合される、プラズマ強化プロセスの代表的なものである。 Referring to FIG. 1, one or more embodiments of the present disclosure are directed to a method 100 of depositing a film. The method illustrated in FIG. 1 is representative of a plasma-enhanced process in which reactive gases are mixed within a processing chamber to enable gas phase reactions of the reactive gases and deposition of thin films.

幾つかの実施形態では、方法100は、前処理動作105を含む。前処理は、当業者に知られている任意の適切な前処理でありうる。適切な前処理には、予熱、洗浄、浸漬、自然酸化物の除去、又は層(例えば、窒化チタン(TiN))の堆積が含まれるが、これらに限定されない。 In some embodiments, method 100 includes a preprocessing operation 105. The pretreatment can be any suitable pretreatment known to those skilled in the art. Suitable pretreatments include, but are not limited to, preheating, cleaning, soaking, removing native oxides, or depositing layers (eg, titanium nitride (TiN)).

堆積動作110では、基板(又は、基板表面)上に炭窒化ケイ素(SiCN)膜を堆積させるためのプロセスが実施される。堆積プロセスは、基板上に膜を形成するための1つ以上の動作を含みうる。動作112において、基板(又は、基板表面)は、前駆体に曝露されて、基板(又は、基板表面)上に膜を堆積させる。1つ以上の実施形態では、基板(又は基板表面)は、シランと一般式(I)又は一般式(II)の前駆体とを含む前駆体混合物に曝露される。1つ以上の実施形態では、シランは、トリシリルアミン(TSA)、シラン、ジシラン、トリシラン、テトラシラン、高次のシラン、又は置換シランのうちの1つ以上を含む。 In a deposition operation 110, a process is performed to deposit a silicon carbonitride (SiCN) film on a substrate (or substrate surface). A deposition process may include one or more operations to form a film on a substrate. In operation 112, the substrate (or substrate surface) is exposed to a precursor to deposit a film on the substrate (or substrate surface). In one or more embodiments, the substrate (or substrate surface) is exposed to a precursor mixture comprising a silane and a precursor of general formula (I) or general formula (II). In one or more embodiments, the silane includes one or more of trisilylamine (TSA), silane, disilane, trisilane, tetrasilane, higher order silane, or substituted silane.

1つ以上の実施形態では、有機シリザン(organosilizane)前駆体を使用して、炭窒化ケイ素(SiCN)膜を形成するためのプラズマ強化プロセスが提供される。1つ以上の実施形態では、前駆体は、一般式(I)又は一般式(II)に対応する構造:

Figure 0007433437000004
(式中、R、R、R、R、R、R、R、R、R、R10、R11、及びR12は、水素(H)、置換又は非置換のアルキル、置換又は非置換のアルコキシ、置換又は非置換のビニル、シラン、置換又は非置換のアミン、又はハロゲン化物から独立して選択される)を有する。 In one or more embodiments, a plasma enhanced process for forming silicon carbonitride (SiCN) films using organosilizane precursors is provided. In one or more embodiments, the precursor has a structure corresponding to general formula (I) or general formula (II):
Figure 0007433437000004
(In the formula, R 1 , R 2 , R 3 , R 4 , R 5 , R 6 , R 7 , R 8 , R 9 , R 10 , R 11 , and R 12 are hydrogen (H), substituted or unsubstituted. substituted alkyl, substituted or unsubstituted alkoxy, substituted or unsubstituted vinyl, silane, substituted or unsubstituted amine, or halide).

特に明記しない限り、本明細書で単独で又は別の基の一部として用いられる「低級アルキル」、「アルキル」、又は「alk」という用語は、例えば、メチル、エチル、プロピル、イソプロピル、ブチル、t-ブチル、イソブチル、ペンチル、ヘキシル、イソヘキシル、ヘプチル、4,4-ジメチルペンチル、オクチル、2,2,4-トリメチル-ペンチル、ノニル、デシル、ウンデシル、ドデシル、それらのさまざまな分岐鎖異性体など、直鎖に1から20個の炭素を含有する、直鎖炭化水素及び分岐鎖炭化水素の両方を含む。このような基は、任意選択的に、最大で1から4の置換基を含みうる。 Unless stated otherwise, the term "lower alkyl", "alkyl", or "alk" used herein alone or as part of another group means, for example, methyl, ethyl, propyl, isopropyl, butyl, t-butyl, isobutyl, pentyl, hexyl, isohexyl, heptyl, 4,4-dimethylpentyl, octyl, 2,2,4-trimethyl-pentyl, nonyl, decyl, undecyl, dodecyl, their various branched chain isomers, etc. , including both straight-chain and branched-chain hydrocarbons containing 1 to 20 carbons in the straight chain. Such groups may optionally contain up to 1 to 4 substituents.

本明細書で用いられる場合、「アルコキシ」という用語は、酸素原子に結合した上記のアルキル基のいずれかを含む。 As used herein, the term "alkoxy" includes any of the above alkyl groups attached to an oxygen atom.

本明細書で用いられる場合、「ビニル」又は「ビニル含有」という用語は、ビニル基(-CH=CH)を含有する基を指す。 As used herein, the term "vinyl" or "vinyl-containing" refers to a group containing a vinyl group (-CH=CH 2 ).

本明細書で用いられる場合、「アミン」という用語は、少なくとも1つの塩基性窒素原子を含む任意の有機化合物、例えば、NR’に関し、式中、R’は水素(H)又はアルキルから独立して選択される。 As used herein, the term "amine" refers to any organic compound containing at least one basic nitrogen atom, such as NR'2 , where R' is independent from hydrogen (H) or alkyl. selected.

本明細書で用いられる場合、「シラン」という用語は、化合物SiR’を指し、式中、R’は、水素(H)又はアルキルから独立して選択される。 As used herein, the term "silane" refers to the compound SiR'3 , where R' is independently selected from hydrogen (H) or alkyl.

本明細書で用いられる場合、「ハロゲン化物」という用語は、フッ化物、塩化物、臭化物、ヨウ化物、又はアスタチド化合物を作るために、一部がハロゲン原子であり、他の部分がハロゲンよりも電気陰性度が低い元素又はラジカルである2成分系を指す。ハロゲン化物イオンは、負の電荷を有するハロゲン原子である。当業者に知られているように、ハロゲン化物アニオンには、フッ化物(F-)、塩化物(Cl-)、臭化物(Br-)、ヨウ化物(I-)、及びアスタチン(At-)が含まれる。 As used herein, the term "halide" means a compound in which some are halogen atoms and other moieties are more than halogen to make fluoride, chloride, bromide, iodide, or astatide compounds. Refers to a two-component system that is an element or radical with low electronegativity. A halide ion is a halogen atom that has a negative charge. As known to those skilled in the art, halide anions include fluoride (F-), chloride (Cl-), bromide (Br-), iodide (I-), and astatine (At-). included.

1つ以上の実施形態では、前駆体は、シラン(例えば、トリシリルアミン(TSA)、シラン、ジシラン、トリシラン、テトラシラン、高次のシラン、又は置換シラン)と、ヘキサメチルシクロトリシラザン、オクタメチルシクロトリシラザン、ノナメチルシクロトリシラザン、ヘキサエチルシクロトリシラザン、オクタエチルシクロトリシラザン、2-エチル-2,4,4,6,6-ペンタメチル-1,3,5,2,4,6-トリアザトリシリナン、ヘキサプロピルシクロトリシラザン、オクタプロピルシクロトリシラザン、ジ(ブタン-2-イル)-フルオロ-(2,2,4,4,6,6-ヘキサメチル-1,3,5,2,4,6-トリアザトリシリナン-1-イル)シラン、テトラエチル-ジメチル-トリアザトリシリナン、ジエチル-テトラメチル-トリアザトリシリナン、ヘキサプロピルトリアザトリシリナン、ヘキサメチルトリアザトリシリナン、ヘキサエチルトリアザトリシリナン、オクタプロピルトリアザトリシリナン、オクタアメチルトリアザトリシリナン(octaamethyltriazatrisilinane)、オクタエチルトリアザトリシリナン、テトラエチル-テトラメチル-トリアザトリシリナン、エチル-ペンタメチル-トリアザトリシリナン、トリエチル-トリアザトリシリナン、トリメチル-トリアザトリシリナン、トリプロピル-トリアザトリシリナンなどのうちの1つ以上との混合物を含む。幾つかの特定の実施形態では、前駆体は、ヘキサメチルシクロトリシラザン、ヘキサエチルシクロトリシラザン、ヘキサプロピルシクロトリシラザン、オクタメチルシクロトリシラザン、オクタエチルシクロトリシラザン、オクタプロピルシクロトリシラザンのうちの1つ以上を含む。非常に具体的な実施形態では、前駆体は、ヘキサメチルシクロトリシラザン又はオクタメチルシクロトリシラザンを含む。 In one or more embodiments, the precursors include silanes (e.g., trisilylamine (TSA), silanes, disilanes, trisilanes, tetrasilanes, higher silanes, or substituted silanes), hexamethylcyclotrisilazane, octamethyl Cyclotrisilazane, nonamethylcyclotrisilazane, hexaethylcyclotrisilazane, octaethylcyclotrisilazane, 2-ethyl-2,4,4,6,6-pentamethyl-1,3,5,2,4,6- Triazatrisilinane, hexapropylcyclotrisilazane, octapropylcyclotrisilazane, di(butan-2-yl)-fluoro-(2,2,4,4,6,6-hexamethyl-1,3,5,2 , 4,6-triazatrisilinan-1-yl)silane, tetraethyl-dimethyl-triazatrisilinane, diethyl-tetramethyl-triazatrisilinane, hexapropyltriazatrisilinane, hexamethyltriazatrisilinane, hexa Ethyltriazatrisilinane, octapropyltriazatrisilinane, octaamethyltriazatrisilinane, octaethyltriazatrisilinane, tetraethyl-tetramethyl-triazatrisilinane, ethyl-pentamethyl-triazatrisilinane, including mixtures with one or more of triethyl-triazatrisilinane, trimethyl-triazatrisilinane, tripropyl-triazatrisilinane, and the like. In some specific embodiments, the precursor is one of hexamethylcyclotrisilazane, hexaethylcyclotrisilazane, hexapropylcyclotrisilazane, octamethylcyclotrisilazane, octaethylcyclotrisilazane, octapropylcyclotrisilazane. including one or more of the following. In a very specific embodiment, the precursor comprises hexamethylcyclotrisilazane or octamethylcyclotrisilazane.

1つ以上の実施形態では、前駆体は、本質的に、シラン(例えば、シラン、ジシラン、トリシラン、テトラシラン、高次のシラン、又は置換シラン)と、ヘキサメチルシクロトリシラザン、オクタメチルシクロトリシラザン、ノナメチルシクロトリシラザン、ヘキサエチルシクロトリシラザン、オクタエチルシクロトリシラザン、2-エチル-2,4,4,6,6-ペンタメチル-1,3,5,2,4,6-トリアザトリシリナン、ヘキサプロピルシクロトリシラザン、オクタプロピルシクロトリシラザン、ジ(ブタン-2-イル)-フルオロ-(2,2,4,4,6,6-ヘキサメチル-1,3,5,2,4,6-トリアザトリシリナン-1-イル)シラン、テトラエチル-ジメチル-トリアザトリシリナン、ジエチル-テトラメチル-トリアザトリシリナン、ヘキサプロピルトリアザトリシリナン、ヘキサメチルトリアザトリシリナン、ヘキサエチルトリアザトリシリナン、オクタプロピルトリアザトリシリナン、オクタアメチルトリアザトリシリナン(octaamethyltriazatrisilinane)、オクタエチルトリアザトリシリナン、テトラエチル-テトラメチル-トリアザトリシリナン、エチル-ペンタメチル-トリアザトリシリナン、トリエチル-トリアザトリシリナン、トリメチル-トリアザトリシリナン、トリプロピル-トリアザトリシリナンなどのうちの1つ以上との混合物からなる。幾つかの具体的な実施形態では、前駆体は、本質的に、ヘキサメチルシクロトリシラザン、ヘキサエチルシクロトリシラザン、ヘキサプロピルシクロトリシラザン、オクタメチルシクロトリシラザン、オクタエチルシクロトリシラザン、オクタプロピルシクロトリシラザンのうちの1つ以上からなる。非常に具体的な実施形態では、前駆体は、本質的に、ヘキサメチルシクロトリシラザン又はオクタメチルシクロトリシラザンからなる。このように用いられる場合、「本質的に~からなる」という用語は、ケイ素前駆体が、分子基準で、ヘキサメチルシクロトリシラザン、オクタメチルシクロトリシラザン、ノナメチルシクロトリシラザン、ヘキサエチルシクロトリシラザン、オクタエチルシクロトリシラザン、2-エチル-2,4,4,6,6-ペンタメチル-1,3,5,2,4,6-トリアザトリシリナン、ヘキサプロピルシクロトリシラザン、オクタプロピルシクロトリシラザン、ジ(ブタン-2-イル)-フルオロ-(2,2,4,4,6,6-ヘキサメチル-1,3,5,2,4,6-トリアザトリシリナン-1-イル)シラン、テトラエチル-ジメチル-トリアザトリシリナン、ジエチル-テトラメチル-トリアザトリシリナン、ヘキサプロピルトリアザトリシリナン、ヘキサメチルトリアザトリシリナン、ヘキサエチルトリアザトリシリナン、オクタプロピルトリアザトリシリナン、オクタアメチルトリアザトリシリナン(octaamethyltriazatrisilinane)、オクタエチルトリアザトリシリナン、テトラエチル-テトラメチル-トリアザトリシリナン、エチル-ペンタメチル-トリアザトリシリナン、トリエチル-トリアザトリシリナン、トリメチル-トリアザトリシリナン、トリプロピル-トリアザトリシリナンなどのうちの1つ以上を、約95%以上、98%以上、99%以上、又は99.5%以上含むことを意味する。希釈ガス、キャリアガス及び/又は不活性ガスの存在は、計算において考慮されない。 In one or more embodiments, the precursor consists essentially of a silane (e.g., silane, disilane, trisilane, tetrasilane, higher order silane, or substituted silane) and hexamethylcyclotrisilazane, octamethylcyclotrisilazane. , nonamethylcyclotrisilazane, hexaethylcyclotrisilazane, octaethylcyclotrisilazane, 2-ethyl-2,4,4,6,6-pentamethyl-1,3,5,2,4,6-triazatricily Nan, hexapropylcyclotrisilazane, octapropylcyclotrisilazane, di(butan-2-yl)-fluoro-(2,2,4,4,6,6-hexamethyl-1,3,5,2,4, 6-triazatrisilinan-1-yl)silane, tetraethyl-dimethyl-triazatrisilinane, diethyl-tetramethyl-triazatrisilinane, hexapropyltriazatrisilinane, hexamethyltriazatrisilinane, hexaethyltriazat Resilinan, octapropyltriazatrisilinane, octaamethyltriazatrisilinane, octaethyltriazatrisilinane, tetraethyl-tetramethyl-triazatrisilinane, ethyl-pentamethyl-triazatrisilinane, triethyl-trisilinane It consists of a mixture with one or more of azatrisilinane, trimethyl-triazatrisilinane, tripropyl-triazatrisilinane, etc. In some specific embodiments, the precursor consists essentially of hexamethylcyclotrisilazane, hexaethylcyclotrisilazane, hexapropylcyclotrisilazane, octamethylcyclotrisilazane, octaethylcyclotrisilazane, octapropyl Consisting of one or more of cyclotrisilazane. In a very specific embodiment, the precursor consists essentially of hexamethylcyclotrisilazane or octamethylcyclotrisilazane. When used in this manner, the term "consisting essentially of" means that the silicon precursor is, on a molecular basis, hexamethylcyclotrisilazane, octamethylcyclotrisilazane, nonamethylcyclotrisilazane, hexaethylcyclotrisilazane, hexaethylcyclotrisilazane, Silazane, octaethylcyclotrisilazane, 2-ethyl-2,4,4,6,6-pentamethyl-1,3,5,2,4,6-triazatrisilazane, hexapropylcyclotrisilazane, octapropylcyclo Trisilazane, di(butan-2-yl)-fluoro-(2,2,4,4,6,6-hexamethyl-1,3,5,2,4,6-triazatrisilinan-1-yl) Silane, Tetraethyl-dimethyl-triazatrisilinane, Diethyl-tetramethyl-triazatrisilinane, Hexapropyltriazatrisilinane, Hexamethyltriazatrisilinane, Hexaethyltriazatrisilinane, Octapropyltriazatrisilinane, Octa Octaamethyltriazatrisilinane, octaethyltriazatrisilinane, tetraethyl-tetramethyl-triazatrisilinane, ethyl-pentamethyl-triazatrisilinane, triethyl-triazatrisilinane, trimethyl-triazatrisilinane, It means containing about 95% or more, 98% or more, 99% or more, or 99.5% or more of one or more of tripropyl-triazatrisilinane and the like. The presence of diluent gas, carrier gas and/or inert gas is not taken into account in the calculations.

1つ以上の実施形態では、堆積プロセスは、約25℃、約50℃、約75℃、約100℃、約125℃、約150℃、約175℃、約200℃、約425℃、約250℃、約275℃、約300℃、約325℃、約350℃、約375℃、及び約400℃を含む、約0℃から約400℃までの範囲の温度で行われる。 In one or more embodiments, the deposition process is performed at about 25°C, about 50°C, about 75°C, about 100°C, about 125°C, about 150°C, about 175°C, about 200°C, about 425°C, about 250°C. The temperature range is from about 0°C to about 400°C, including about 275°C, about 300°C, about 325°C, about 350°C, about 375°C, and about 400°C.

1つ以上の実施形態では、基板(又は、基板表面)は任意の適切な表面でありうる。適切な表面には、ケイ素(Si)、二酸化ケイ素(SiO)、酸化ケイ素(SiO)、シリコンオキシカーバイド(SiOC)、白金(Pt)、窒化チタン(TiN)、窒化タンタル(TaN)、銅(Cu)、コバルト(Cu)、タングステン(W)、ルテニウム(Ru)、モリブデン(Mo)、又はそれらの組合せが含まれるが、これらに限定されない。 In one or more embodiments, the substrate (or substrate surface) can be any suitable surface. Suitable surfaces include silicon (Si), silicon dioxide (SiO 2 ), silicon oxide (SiO x ), silicon oxycarbide (SiOC), platinum (Pt), titanium nitride (TiN), tantalum nitride (TaN), copper. (Cu), cobalt (Cu), tungsten (W), ruthenium (Ru), molybdenum (Mo), or combinations thereof.

動作114では、基板(又は、基板表面)は、反応物質に曝露されて、基板上に炭窒化ケイ素(SiCN)膜を形成する。1つ以上の実施形態では、反応物質は、アンモニア又はアミノ基を含有する他の前駆体を含む。 In operation 114, the substrate (or substrate surface) is exposed to a reactant to form a silicon carbonitride (SiCN) film on the substrate. In one or more embodiments, the reactant includes ammonia or other precursor containing amino groups.

幾つかの実施形態では、動作112及び114は、基板が前駆体及び反応物質に同時に曝露されるように、同時に行われる。他の実施形態では、動作112及び114は、基板が、まず前駆体に曝露され、反応物質に曝露されるように、順次行われる。 In some embodiments, acts 112 and 114 are performed simultaneously such that the substrate is exposed to the precursor and reactant simultaneously. In other embodiments, operations 112 and 114 are performed sequentially such that the substrate is first exposed to the precursor and then exposed to the reactant.

動作116では、処理チャンバがパージされて、未反応の前駆体、未反応の反応物質、反応生成物、及び副生成物が除去される。このように使用される場合、「処理チャンバ」という用語はまた、処理チャンバの完全な内部容積を包含せずに、基板表面に隣接する処理チャンバの部分も含む。例えば、空間的に分離された処理チャンバのセクターにおいて、基板表面に隣接する処理チャンバの部分は、基板をガスカーテンに通して、レニウム前駆体を全く又は実質的に全く含まない処理チャンバの部分又はセクターに移動させることを含むが、これに限定されない任意の適切な技法によって、レニウム前駆体からパージされる。幾つかの実施形態では、処理チャンバをパージすることは、基板の上にパージガスを流すことを含む。幾つかの実施形態では、処理チャンバの一部分とは、処理チャンバ内のマイクロ容積又は小容積の処理ステーションを指す。基板表面を指す「隣接する」という用語は、表面反応(例えば、前駆体吸着)が起こるのに十分な空間を提供することができる、基板の表面の隣の物理的空間を意味する。 In operation 116, the processing chamber is purged to remove unreacted precursors, unreacted reactants, reaction products, and byproducts. When used in this manner, the term "processing chamber" also includes the portion of the processing chamber that is adjacent to the substrate surface without encompassing the complete interior volume of the processing chamber. For example, in spatially separated sectors of the processing chamber, the portions of the processing chamber adjacent to the substrate surface may pass the substrate through a gas curtain, and the portions of the processing chamber that contain no or substantially no rhenium precursor or The rhenium precursor is purged by any suitable technique, including, but not limited to, moving it into sectors. In some embodiments, purging the processing chamber includes flowing a purge gas over the substrate. In some embodiments, a portion of a processing chamber refers to a micro-volume or small-volume processing station within the processing chamber. The term "adjacent" referring to a substrate surface means the physical space next to the surface of the substrate that can provide sufficient space for surface reactions (eg, precursor adsorption) to occur.

1つ以上の実施形態では、堆積プロセスは、遠隔プラズマ化学気相堆積プロセス(PECVD)を含む。基板上に炭窒化ケイ素(SiCN)膜を形成した後、基板は、動作118においてプラズマに曝露される。1つ以上の実施形態では、炭窒化ケイ素(SiCN)膜を処理チャンバ内でプラズマに曝露することにより、膜の特性が改善される。例えば、1つ以上の実施形態では、湿式エッチングの速度が改善され、プラズマ処理によって膜の密度が向上されたことを示している。1つ以上の実施形態では、プラズマは、窒素(N)、アルゴン(Ar)、ヘリウム(He)、水素(H)、一酸化炭素(CO)、又は二酸化炭素(CO)のうちの1つ以上を含む。幾つかの実施形態では、プラズマは遠隔プラズマである。他の実施形態では、プラズマは直接プラズマである。 In one or more embodiments, the deposition process includes a remote plasma enhanced chemical vapor deposition process (PECVD). After forming a silicon carbonitride (SiCN) film on the substrate, the substrate is exposed to a plasma in operation 118. In one or more embodiments, exposing a silicon carbonitride (SiCN) film to a plasma within a processing chamber improves the properties of the film. For example, one or more embodiments have shown improved wet etch rates and increased film density with plasma treatment. In one or more embodiments, the plasma is one of nitrogen ( N2 ), argon (Ar), helium (He), hydrogen ( H2 ), carbon monoxide (CO), or carbon dioxide ( CO2 ). Contains one or more. In some embodiments, the plasma is a remote plasma. In other embodiments, the plasma is a direct plasma.

1つ以上の実施形態では、プラズマは、遠隔で、又は処理チャンバ内で生成することができる。1つ以上の実施形態では、プラズマは、誘導結合プラズマ(ICP)又は伝導結合プラズマ(CCP)である。例えば、反応物質又は他のプロセス条件に応じて、任意の適切な電力を使用することができる。幾つかの実施形態では、プラズマは、約10Wから約3000Wまでの範囲のプラズマ出力で生成される。幾つかの実施形態では、プラズマは、約3000W以下、約2000W以下、約1000W以下、約500W以下、又は約250W以下のプラズマ出力で生成される。 In one or more embodiments, the plasma can be generated remotely or within the processing chamber. In one or more embodiments, the plasma is an inductively coupled plasma (ICP) or a conductively coupled plasma (CCP). For example, any suitable power can be used depending on the reactants or other process conditions. In some embodiments, the plasma is generated with a plasma power ranging from about 10W to about 3000W. In some embodiments, the plasma is generated at a plasma power of about 3000 W or less, about 2000 W or less, about 1000 W or less, about 500 W or less, or about 250 W or less.

動作120では、処理チャンバは、プラズマへの曝露後にパージされる。動作120の処理チャンバのパージは、動作116のパージと同じプロセスであっても異なるプロセスであってもよい。処理チャンバ、処理チャンバの一部、基板表面に隣接する領域などをパージすることにより、プラズマ、反応生成物、及び副生成物が基板表面に隣接する領域から除去される。 In operation 120, the processing chamber is purged after exposure to the plasma. Purging the processing chamber in operation 120 may be the same or a different process than the purging in operation 116. Plasma, reaction products, and byproducts are removed from the region adjacent the substrate surface by purging the processing chamber, a portion of the processing chamber, the region adjacent the substrate surface, or the like.

決定点125において、1つ以上の実施形態では、堆積された膜の厚さ、又は前駆体及び反応物質のサイクル数が考慮される。1つ以上の実施形態では、堆積された膜が所定の厚さに達した場合、又は所定の数の処理サイクルが実行された場合、方法100は後処理動作130に移る。1つ以上の実施形態では、堆積された膜の厚さ又は処理サイクルの数が所定の閾値に達していない場合、方法100は、堆積動作110に戻り、動作112において基板表面を再び前駆体に曝露し、その後の動作を継続する。 At decision point 125, in one or more embodiments, the thickness of the deposited film or the number of precursor and reactant cycles are considered. In one or more embodiments, when the deposited film reaches a predetermined thickness or a predetermined number of processing cycles have been performed, method 100 moves to post-processing operation 130. In one or more embodiments, if the deposited film thickness or number of processing cycles has not reached a predetermined threshold, method 100 returns to deposition operation 110 and resubstrates the substrate surface with the precursor in operation 112. Exposure and then continue the action.

1つ以上の実施形態では、後処理動作130は、例えば、膜特性を変更するプロセス(例えば、アニーリング)、又は追加の膜を成長させるためのさらなる膜堆積プロセス(例えば、追加のALD又はCVDプロセス)を含む。幾つかの実施形態では、後処理動作130は、堆積された膜の特性を変更するプロセスである。幾つかの実施形態では、後処理動作130は、堆積されたままの膜をアニーリングすることを含む。幾つかの実施形態では、アニーリングは、約300℃、400℃、500℃、600℃、700℃、800℃、900℃、又は1000℃の範囲の温度で行われる。幾つかの実施形態のアニーリング環境は、不活性ガス(例えば、分子状窒素(N)、アルゴン(Ar))、若しくは還元性ガス(例えば、分子状水素(H)又はアンモニア(NH))、若しくは酸化剤、例えば、限定はしないが、酸素(O)、オゾン(O)、又は過酸化物のうちの1つ以上を含む。1つ以上の実施形態では、アニーリングは、任意の適切な時間の長さで実行される。幾つかの実施形態では、膜は、約15秒から約90分の範囲、又は約1分から約60分の範囲の所定の時間、アニールされる。幾つかの実施形態では、堆積されたままの膜をアニーリングすることにより、密度が増加し、抵抗率が低下し、及び/又は膜の純度が増加する。 In one or more embodiments, post-processing operations 130 include, for example, processes that modify film properties (e.g., annealing) or further film deposition processes to grow additional films (e.g., additional ALD or CVD processes). )including. In some embodiments, post-processing operation 130 is a process that alters the properties of the deposited film. In some embodiments, post-processing operation 130 includes annealing the as-deposited film. In some embodiments, annealing is performed at a temperature in the range of about 300°C, 400°C, 500°C, 600°C, 700°C, 800°C, 900°C, or 1000°C. The annealing environment of some embodiments includes an inert gas (e.g., molecular nitrogen ( N2 ), argon (Ar)), or a reducing gas (e.g., molecular hydrogen ( H2 ) or ammonia ( NH3 )). ), or oxidizing agents, such as, but not limited to, oxygen (O 2 ), ozone (O 3 ), or peroxides. In one or more embodiments, annealing is performed for any suitable length of time. In some embodiments, the film is annealed for a period of time ranging from about 15 seconds to about 90 minutes, or from about 1 minute to about 60 minutes. In some embodiments, annealing the as-deposited film increases density, reduces resistivity, and/or increases purity of the film.

方法100は、例えば、前駆体、反応剤、又はデバイスの熱収支に応じて、任意の適切な温度で実行することができる。幾つかの実施形態では、前駆体(動作112)及び反応物質(動作114)への曝露は、同じ温度で行われる。幾つかの実施形態では、基板は、約0℃から約400℃の範囲、又は約0℃から約100℃の範囲の温度で維持されて、流動性膜を得る。 Method 100 can be performed at any suitable temperature, depending, for example, on the thermal budget of the precursors, reactants, or devices. In some embodiments, the exposure to the precursor (act 112) and reactant (act 114) occurs at the same temperature. In some embodiments, the substrate is maintained at a temperature ranging from about 0°C to about 400°C, or from about 0°C to about 100°C to obtain a flowable film.

幾つかの実施形態では、前駆体(動作112)への曝露は、反応物質(動作114)への曝露とは異なる温度で行われる。幾つかの実施形態では、基板は、前駆体への曝露では約0℃から約200℃の範囲の第1の温度で維持され、反応物質への曝露では約200℃から約500℃の範囲の第2の温度で維持される。このような実施形態では、メインフレーム内に2つのチャンバが必要となりうる。 In some embodiments, the exposure to the precursor (act 112) occurs at a different temperature than the exposure to the reactant (act 114). In some embodiments, the substrate is maintained at a first temperature ranging from about 0°C to about 200°C for exposure to the precursor and from about 200°C to about 500°C for exposure to the reactant. maintained at a second temperature. Such embodiments may require two chambers within the mainframe.

図1に示される実施形態の堆積動作110において、基板(又は、基板表面)は、前駆体及び反応物質に順次、曝露される。別の図示されていない実施形態では、基板(又は、基板表面)は、PE-CVD反応において、前駆体及び反応物質に同時に曝露される。PE-CVD反応では、基板(又は、基板表面)は、前駆体と反応物質のガス状混合物に曝露されて、所定の厚さを有する炭窒化ケイ素(SiCN)膜を堆積する。PE-CVD反応では、炭窒化ケイ素(SiCN)膜は、混合反応性ガスへの1回の曝露で堆積させてもよく、あるいは、間にパージをしつつ、混合反応性ガスに複数回曝露させてもよい。 In the deposition operation 110 of the embodiment shown in FIG. 1, a substrate (or substrate surface) is sequentially exposed to a precursor and a reactant. In another not-illustrated embodiment, the substrate (or substrate surface) is simultaneously exposed to precursors and reactants in a PE-CVD reaction. In a PE-CVD reaction, a substrate (or substrate surface) is exposed to a gaseous mixture of precursors and reactants to deposit a silicon carbonitride (SiCN) film having a predetermined thickness. In PE-CVD reactions, silicon carbonitride (SiCN) films may be deposited in a single exposure to mixed reactive gases or multiple exposures to mixed reactive gases with purging in between. It's okay.

1つ以上の実施形態では、堆積プロセスは、約0.1mTorr、約1mTorr、約10mTorr、約100mTorr、約500mTorr、約1Torr、約2Torr、約3Torr、約4Torr、約5Torr、約6Torr、約7Torr、約8Torr、約9Torr、及び約10Torrの圧力を含む、0.1mTorrから10Torrまでの範囲の圧力で、処理容積内で行われる。 In one or more embodiments, the deposition process includes about 0.1 mTorr, about 1 mTorr, about 10 mTorr, about 100 mTorr, about 500 mTorr, about 1 Torr, about 2 Torr, about 3 Torr, about 4 Torr, about 5 Torr, about 6 Torr, about 7 Torr, Pressures ranging from 0.1 mTorr to 10 Torr are conducted within the processing volume, including pressures of about 8 Torr, about 9 Torr, and about 10 Torr.

前駆体含有ガス混合物は、ヘリウム(He)、アルゴン(Ar)、キセノン(Xe)、窒素(N)、又は水素(H)から選択される1つ以上の希釈ガスをさらに含みうる。幾つかの実施形態の希釈ガスは、反応物質及び基板材料に対して不活性ガスである化合物を含む。 The precursor-containing gas mixture may further include one or more diluent gases selected from helium (He), argon (Ar), xenon (Xe), nitrogen ( N2 ), or hydrogen ( H2 ). The diluent gas of some embodiments includes a compound that is an inert gas with respect to the reactants and substrate material.

プラズマ(例えば、容量結合プラズマ)は、上部電極及び下部電極又は側面電極のいずれかから形成することができる。電極は、誘電体の薄膜を堆積するために、本明細書に記載されている反応ガスのいずれか又はすべてとともにCVDシステムにおいて代替的に又は同時に使用されている、単一給電電極、二重給電電極、又は限定はしないが、350KHz、2MHz、13.56MHz、27MHz、40MHz、60MHz、及び100MHzなどの複数の周波数を有するより多くの電極から形成することができる。幾つかの実施形態では、プラズマは容量結合プラズマ(CCP)である。幾つかの実施形態では、プラズマは誘導結合プラズマ(ICP)である。幾つかの実施形態では、プラズマはマイクロ波プラズマである。 A plasma (eg, a capacitively coupled plasma) can be formed from either a top and bottom electrode or a side electrode. The electrode can be a single-fed electrode, a dual-fed electrode, used alternatively or simultaneously in a CVD system with any or all of the reactant gases described herein to deposit thin films of dielectrics. electrodes, or more electrodes having multiple frequencies such as, but not limited to, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, and 100 MHz. In some embodiments, the plasma is a capacitively coupled plasma (CCP). In some embodiments, the plasma is an inductively coupled plasma (ICP). In some embodiments, the plasma is a microwave plasma.

1つ以上の実施形態では、炭窒化ケイ素(SiCN)膜は、原子基準で、約5%以上、7.5%以上、10%以上、12.5%以上、15%以上、20%以上、25%以上、又は30%以上の炭素含有量を有する。幾つかの実施形態では、炭窒化ケイ素(SiOCN)膜は、原子基準で、約0.1%から約30%までの範囲、又は約3%から約25%までの範囲、又は約4%から約20%までの範囲の炭素含有量を含む。 In one or more embodiments, the silicon carbonitride (SiCN) film is about 5% or more, 7.5% or more, 10% or more, 12.5% or more, 15% or more, 20% or more, on an atomic basis. It has a carbon content of 25% or more, or 30% or more. In some embodiments, the silicon carbonitride (SiOCN) film has a silicon carbonitride (SiOCN) film, on an atomic basis, in a range of about 0.1% to about 30%, or in a range of about 3% to about 25%, or in a range of about 4% to Contains carbon content ranging up to about 20%.

堆積動作110を繰り返して、所定の厚さを有する炭窒化ケイ素(SiCN)膜を形成することができる。幾つかの実施形態では、堆積動作110を繰り返して、約0.1nmを超える厚さ、若しくは約10nmから約500nm、約10nmから約100nm、約5nmから約50nm、約10nmから約50nm、又は約20nmから約30nmを含む、約0.1nmから約1000nmの範囲の厚さを有する炭窒化ケイ素(SiCN)膜を提供する。 Deposition operation 110 may be repeated to form a silicon carbonitride (SiCN) film having a predetermined thickness. In some embodiments, the deposition operation 110 is repeated to a thickness greater than about 0.1 nm, or from about 10 nm to about 500 nm, from about 10 nm to about 100 nm, from about 5 nm to about 50 nm, from about 10 nm to about 50 nm, or about A silicon carbonitride (SiCN) film is provided having a thickness ranging from about 0.1 nm to about 1000 nm, including from 20 nm to about 30 nm.

幾つかの実施形態では、膜は、基板ペデスタルが約300℃で維持され、圧力が約5Torrで維持され、プラズマが静電チャックに約200ワットのバイアスを印加することによってウエハレベル(すなわち、直接プラズマ)で生成される、チャンバ内で堆積される。幾つかの実施形態では、2MHzで約1000ワットの追加のRF電力も静電チャックに供給され、ウエハレベルで二重バイアスプラズマを生成する。 In some embodiments, the membrane is grown at the wafer level (i.e. directly plasma) and is deposited in a chamber. In some embodiments, approximately 1000 watts of additional RF power at 2 MHz is also provided to the electrostatic chuck to create a dual bias plasma at the wafer level.

概して、次の例示的な堆積プロセスパラメータを使用して、堆積されたままの膜を形成することができる。ウエハ温度は約200℃から約500℃の範囲でありうる。チャンバ圧力は、約0.1mTorrから約10Torrまでの範囲のチャンバ圧力範囲でありうる。前駆体含有ガス混合物の流量は、約10sccmから約1,000sccmまでの範囲にありうる。希釈ガスの流量は、個別に、約50sccmから約50,000sccmまでの範囲にありうる。 In general, the following exemplary deposition process parameters can be used to form an as-deposited film. The wafer temperature can range from about 200°C to about 500°C. The chamber pressure can range from about 0.1 mTorr to about 10 Torr. The flow rate of the precursor-containing gas mixture can range from about 10 sccm to about 1,000 sccm. The diluent gas flow rate can range from about 50 sccm to about 50,000 sccm, respectively.

膜は、約300Åから約10,000Åの範囲、約2000Åから約3000Åの範囲、又は約5Åから約200Åの範囲を含む、約5Åから約60,000Åの範囲の厚さまで堆積することができる。 The film can be deposited to a thickness ranging from about 5 Å to about 60,000 Å, including from about 300 Å to about 10,000 Å, from about 2000 Å to about 3000 Å, or from about 5 Å to about 200 Å.

図2は、特徴部210を有する基板200の断面図を示している。図面は例示目的で単一の特徴を有する基板を示しているが、当業者は、1つより多くの特徴が存在してよいことを理解するであろう。特徴110の形状は、限定はしないが、トレンチ及び円筒形のビアを含む、任意の適切な形状でありうる。この関連で使用する場合、「特徴部」という用語は、あらゆる意図的な表面の不規則性を意味する。特徴の適切な例には、限定はしないが、上部、2つの側壁、及び底部を有するトレンチ、並びに上部及び2つの側壁を有するピークが含まれる。特徴部は、任意の適切なアスペクト比(特徴の幅に対する特徴の深さの比)を有しうる。一部の実施形態では、アスペクト比は、約5:1、10:1、15:1、20:1、25:1、30:1、35:1、又は40:1以上である。 FIG. 2 shows a cross-sectional view of substrate 200 with features 210. FIG. Although the drawings depict a substrate with a single feature for illustrative purposes, those skilled in the art will understand that more than one feature may be present. The shape of feature 110 may be any suitable shape, including, but not limited to, trenches and cylindrical vias. As used in this context, the term "feature" means any intentional surface irregularity. Suitable examples of features include, but are not limited to, trenches with a top, two sidewalls, and a bottom, and peaks with a top and two sidewalls. The features may have any suitable aspect ratio (ratio of feature depth to feature width). In some embodiments, the aspect ratio is about 5:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1, or 40:1 or greater.

基板200は基板表面220を有する。少なくとも1つの特徴部210は、基板表面220に開口部を形成する。特徴部210は、基板表面220から底面212へと深さDまで延びる。特徴210は、該特徴210の幅Wを画成する第1の側壁214及び第2の側壁216を有する。側壁と底部によって形成される開口領域は、間隙とも称される。 Substrate 200 has a substrate surface 220. At least one feature 210 forms an opening in the substrate surface 220. Feature 210 extends from substrate surface 220 to bottom surface 212 to a depth D. Feature 210 has a first sidewall 214 and a second sidewall 216 that define a width W of feature 210. The open area formed by the side walls and the bottom is also referred to as a gap.

本開示の1つ以上の実施形態は、少なくとも1つの特徴部を有する基板表面が提供される処理方法を対象とする。これに関連して用いられる「提供される」という用語は、さらなる処理のために、基板がある位置又は環境に置かれることを意味する。 One or more embodiments of the present disclosure are directed to a processing method in which a substrate surface having at least one feature is provided. The term "provided" as used in this context means that the substrate is placed in a location or environment for further processing.

図3は、特徴部210を有する基板200の断面図を示している。図3に示されるように、流動性膜250は、基板表面220、並びに少なくとも1つの特徴部210の第1の側壁214、第2の側壁216、及び底面212上に形成される。流動性膜250は、実質的に継ぎ目が形成されないように、少なくとも1つの特徴部210に充填される。継ぎ目は、特徴210の側壁間の特徴に形成される間隙であるが、必ずしも側壁の中央には形成されない。これに関連して、「実質的に継ぎ目がない」という用語は、側壁間の膜に形成された間隙が側壁の断面積の約1%未満であることを意味する。 FIG. 3 shows a cross-sectional view of substrate 200 with features 210. FIG. As shown in FIG. 3, a flowable film 250 is formed on the substrate surface 220 and the first sidewall 214, second sidewall 216, and bottom surface 212 of the at least one feature 210. Flowable membrane 250 fills at least one feature 210 such that substantially no seams are formed. A seam is a gap formed in a feature between the sidewalls of feature 210, but not necessarily in the middle of the sidewalls. In this context, the term "substantially seamless" means that the gap formed in the membrane between the sidewalls is less than about 1% of the cross-sectional area of the sidewalls.

流動性膜250は、任意の適切なプロセスによって形成することができる。幾つかの実施形態では、流動性膜の形成は、プラズマ化学気相堆積(PECVD)によって行われる。言い換えれば、流動性膜は、プラズマ化学気相堆積プロセスによって、又は遠隔プラズマを使用することによって堆積することができる。 Flowable membrane 250 can be formed by any suitable process. In some embodiments, forming the flowable film is performed by plasma enhanced chemical vapor deposition (PECVD). In other words, the flowable film can be deposited by a plasma enhanced chemical vapor deposition process or by using remote plasma.

幾つかの実施形態のPECVDプロセスは、基板表面を反応性ガスに曝露することを含む。反応性ガスは、1つ以上の核種の混合物を含みうる。例えば、反応性ガスは、一般式Iの前駆体と、一般式I又は一般式IIの前駆体と混合されたシランとを含みうる。1つ以上の実施形態では、サイレンス(silence)は、シラン、ジシラン、トリシラン、テトラシラン、高次のシラン、置換シラン、又はトリシリルアミン(TSA)のうちの1つ以上を含む。プラズマガスは、点火してプラズマを形成することができる、及び/又は前駆体のキャリア又は希釈剤として作用することができる、任意の適切なガスでありうる。1つ以上の実施形態では、プラズマガスはアンモニア(NH)を含み、該アンモニアは、1つ以上の前駆体を活性化するためのプラズマ処理に用いられる。 The PECVD process of some embodiments includes exposing a substrate surface to a reactive gas. The reactive gas may include a mixture of one or more nuclides. For example, the reactive gas may include a precursor of general formula I and a silane mixed with a precursor of general formula I or general formula II. In one or more embodiments, the silence includes one or more of silane, disilane, trisilane, tetrasilane, higher order silane, substituted silane, or trisilylamine (TSA). The plasma gas may be any suitable gas that can be ignited to form a plasma and/or act as a carrier or diluent for the precursor. In one or more embodiments, the plasma gas includes ammonia ( NH3 ), which is used in the plasma treatment to activate one or more precursors.

したがって、本開示の1つ以上の実施形態は、原子基準で0%を超える炭素含有量を有する窒化ケイ素膜を堆積するための処理方法を対象とする。該処理方法は、プラズマ堆積プロセスにおいて基板又は基板表面を第1の反応性ガス及び第2の反応性ガスに曝露することを含み、これらの反応性ガスは、基板に別々に曝露される。 Accordingly, one or more embodiments of the present disclosure are directed to processing methods for depositing silicon nitride films having a carbon content greater than 0% on an atomic basis. The processing method includes exposing a substrate or substrate surface to a first reactive gas and a second reactive gas in a plasma deposition process, the reactive gases being separately exposed to the substrate.

図3を参照すると、流動性膜250は、任意の適切な温度で形成することができる。幾つかの実施形態では、流動性膜250は、約0℃から約200℃の範囲の温度で形成することができる。温度は、形成されるデバイスのサーマルバジェットを維持するために、低く保たれうる。 Referring to FIG. 3, flowable membrane 250 can be formed at any suitable temperature. In some embodiments, flowable membrane 250 can be formed at a temperature ranging from about 0°C to about 200°C. The temperature can be kept low to maintain the thermal budget of the device being formed.

流動性膜の組成は、反応性ガスの組成を変更することによって調整することができる。幾つかの実施形態では、流動性膜は、SiCN、SiCON、及びSiNのうちの1つ以上を含む。酸素含有膜を形成するために、共反応物質は、例えば、酸素、オゾン、又は水のうちの1つ以上を含みうる。窒素含有膜を形成するために、共反応物質は、例えば、アンモニア、ヒドラジン、NO、又はNのうちの1つ以上を含みうる。当業者は、流動性膜の組成を変更するために、反応性ガス混合物に他の核種又はそれらの組合せを含めることができることを理解するであろう。 The composition of the flowable membrane can be adjusted by changing the composition of the reactive gas. In some embodiments, the flowable membrane includes one or more of SiCN, SiCON, and SiN. To form an oxygen-containing film, the co-reactants can include, for example, one or more of oxygen, ozone, or water. To form a nitrogen-containing film, the coreactant can include, for example, one or more of ammonia, hydrazine, NO2 , or N2 . Those skilled in the art will appreciate that other species or combinations thereof can be included in the reactive gas mixture to alter the composition of the flowable membrane.

1つ以上の実施形態では、流動性CVD膜はウエハ上に堆積され(ウエハの温度は-10℃から600℃まででありうる。)、それらの流動性に起因して、ポリマーはトレンチを通って流れ、間隙充填される。次に、これらの膜をオゾン/UV/蒸気アニーリング/NHアニーリングなどの硬化ステップに供し、安定した膜を得る。1つ以上の実施形態では、流動性CVD膜250の形成後、膜は硬化されて流動性CVD膜を固化し、実質的に継ぎ目のない間隙充填を形成する。1つ以上の実施形態では、流動性CVD膜を硬化することは、流動性CVD膜を、オゾン、UV光、蒸気アニーリング、アンモニアアニーリング、及び酸素プラズマのうちの1つ以上に曝露することを含む。幾つかの実施形態では、流動性CVD膜は、膜をUV硬化プロセスに曝露することによって硬化される。UV硬化プロセスは、約10℃から約550℃の範囲の温度で行うことができる。1つ以上の実施形態では、UV硬化プロセスは、流動性CVD膜を十分に固化するために必要な任意の適切な時間枠で行われる。1つ以上の実施形態では、UV硬化は、例えば、出力、温度、環境などのさまざまなパラメータを使用して行われる。 In one or more embodiments, flowable CVD films are deposited on a wafer (the temperature of the wafer can be from -10°C to 600°C) and, due to their flowability, the polymer flows through the trenches. flows and fills the gaps. These films are then subjected to curing steps such as ozone/UV/steam annealing/ NH3 annealing to obtain stable films. In one or more embodiments, after forming flowable CVD film 250, the film is cured to solidify the flowable CVD film and form a substantially seamless gap fill. In one or more embodiments, curing the flowable CVD film includes exposing the flowable CVD film to one or more of ozone, UV light, steam annealing, ammonia annealing, and oxygen plasma. . In some embodiments, the flowable CVD film is cured by exposing the film to a UV curing process. The UV curing process can be carried out at temperatures ranging from about 10°C to about 550°C. In one or more embodiments, the UV curing process is performed for any suitable time frame necessary to sufficiently solidify the flowable CVD film. In one or more embodiments, UV curing is performed using various parameters such as power, temperature, environment, etc., for example.

幾つかの実施形態では、流動性CVD膜を硬化することは、熱アニーリングを含む。1つ以上の実施形態では、熱アニーリングは、任意の適切な温度及び任意の適切な環境で行われる。 In some embodiments, curing the flowable CVD film includes thermal annealing. In one or more embodiments, thermal annealing is performed at any suitable temperature and in any suitable environment.

幾つかの実施形態では、流動性CVD膜を硬化することは、プラズマ又は電子ビームに曝露することを含む。1つ以上の実施形態では、膜を硬化させるためのプラズマ曝露は、PECVDプラズマとは別のプラズマを含む。1つ以上の実施形態では、プラズマ核種及び処理チャンバは同じであり、他の実施形態では、プラズマ硬化はPECVDプロセスとは異なるプロセスである。 In some embodiments, curing the flowable CVD film includes exposing it to a plasma or an electron beam. In one or more embodiments, the plasma exposure to cure the film includes a plasma other than a PECVD plasma. In one or more embodiments, the plasma species and processing chamber are the same; in other embodiments, the plasma curing is a different process than the PECVD process.

幾つかの実施形態では、流動性CVD膜を硬化することは、流動性CVD膜を蒸気アニーリング及び/又は酸素プラズマに曝露することを含む。1つ以上の実施形態では、硬化された膜が、堆積されたままの流動性CVD膜よりも低い炭素含有量を有するように、蒸気アニーリング及び/又は酸素プラズマの使用により、流動性CVD膜の炭素含有量を低下させる。1つ以上の実施形態では、蒸気アニーリング及び/又は酸素プラズマの使用は、堆積された流動性のSiCN膜又はSiOCN膜をSiOへと変換する。 In some embodiments, curing the flowable CVD film includes exposing the flowable CVD film to steam annealing and/or oxygen plasma. In one or more embodiments, the flowable CVD film is cured by steam annealing and/or the use of an oxygen plasma such that the cured film has a lower carbon content than the as-deposited flowable CVD film. Reduce carbon content. In one or more embodiments, the use of steam annealing and/or oxygen plasma converts the deposited flowable SiCN or SiOCN film to SiO.

幾つかの実施形態では、シラン、ジシラン、トリシラン、テトラシラン、高次のシラン、置換シラン、又はトリシリルアミン(TSA)反応物質は、さまざまな組成物の膜を堆積するための流動性プロセスにおいて、別の前駆体(例えば、別のSi含有前駆体との共流)とともに用いられる。 In some embodiments, a silane, disilane, trisilane, tetrasilane, higher order silane, substituted silane, or trisilylamine (TSA) reactant is used in a fluid process to deposit films of various compositions. Used with another precursor (eg, co-current with another Si-containing precursor).

幾つかの実施形態では、別の元素が流動性CVD膜にドープされる。例えば、1つ以上の実施形態では、ホウ素(B)、ヒ素(As)、又はリン(P)のうちの1つ以上が流動性CVD膜にドープされる。1つ以上の実施形態では、流動性CVD膜に、ホウ素(B)及びリン(P)などの元素がドープされて、膜の特性が改善される。1つ以上の実施形態では、ホウ素及びリンを含有する前駆体は、堆積プロセス中に一般式Iの前駆体及びトリシリルアミン(TSA)と共流されるか、又は堆積が行われた後に浸透される。 In some embodiments, another element is doped into the flowable CVD film. For example, in one or more embodiments, the flowable CVD film is doped with one or more of boron (B), arsenic (As), or phosphorus (P). In one or more embodiments, the flowable CVD film is doped with elements such as boron (B) and phosphorous (P) to improve the properties of the film. In one or more embodiments, the boron and phosphorus containing precursor is co-flowed with the precursor of general formula I and trisilylamine (TSA) during the deposition process or is infiltrated after the deposition has taken place. Ru.

1つ以上の実施形態によれば、基板は、層の形成前及び/又は形成後に処理に供される。この処理は、同じチャンバ内、又は1つ以上の別個の処理チャンバ内で行うことができる。幾つかの実施形態では、基板は、さらなる処理のために、第1のチャンバから別個の第2のチャンバへと移される。基板は、第1のチャンバから別個の処理チャンバへと直接移されてもよく、あるいは、第1のチャンバから1つ以上の移送チャンバへと移され、その後、別個の処理チャンバへと移されてもよい。したがって、処理装置は、移送ステーションと連絡している複数のチャンバを含みうる。この種の装置は、「クラスタツール」又は「クラスタシステム」などと称されうる。 According to one or more embodiments, the substrate is subjected to processing before and/or after formation of the layer. This processing can occur within the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is transferred from the first chamber to a separate second chamber for further processing. The substrate may be transferred directly from the first chamber to a separate processing chamber, or may be transferred from the first chamber to one or more transfer chambers and then transferred to a separate processing chamber. Good too. Accordingly, a processing device may include multiple chambers in communication with a transfer station. This type of device may be referred to as a "cluster tool" or "cluster system."

概して、クラスタツールは、基板の中心検出と方向付け、ガス抜き、アニーリング、堆積、プラズマ処理、UV硬化、及び/又はエッチングを含むさまざまな機能を実行する複数のチャンバを備えたモジュラーシステムである。1つ以上の実施形態によれば、クラスタツールは、少なくとも第1のチャンバと中央移送チャンバを含む。中央移送チャンバは、複数の処理チャンバと複数のロードロックチャンバとの間で基板を往復搬送することができるロボットを格納することができる。移送チャンバは、通常、減圧条件で維持され、基板をあるチャンバから別のチャンバへ、及び/又はクラスタツールの前端に配置されたロードロックチャンバへと往復させる中間ステージを提供する。本開示に適合しうる2つのよく知られているクラスタツールは、Centura(登録商標)とEndura(登録商標)であり、両方とも、米国カリフォルニア州サンタクララ所在のApplied Materials,Inc.から入手可能である。しかしながら、チャンバの厳密な配置及び組合せは、本明細書に記載されたプロセスの特定の工程を実行する目的で変更することができる。使用することができる他の処理チャンバには、限定はしないが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及び他の基板処理が含まれる。クラスタツールのチャンバ内でプロセスを実行することにより、大気中の不純物による基板の表面汚染を、後続の膜の堆積前に、酸化することなく回避することができる。 Generally, cluster tools are modular systems with multiple chambers that perform various functions including substrate centering and orientation, degassing, annealing, deposition, plasma processing, UV curing, and/or etching. According to one or more embodiments, the cluster tool includes at least a first chamber and a central transfer chamber. A central transfer chamber can house a robot that can shuttle substrates between multiple processing chambers and multiple load lock chambers. The transfer chamber is typically maintained at reduced pressure conditions and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber located at the front end of the cluster tool. Two well-known cluster tools that may be compatible with this disclosure are Centura® and Endura®, both manufactured by Applied Materials, Inc., Santa Clara, California, USA. Available from. However, the exact arrangement and combination of chambers may be varied for purposes of performing particular steps of the processes described herein. Other processing chambers that can be used include, but are not limited to, cyclic layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etching , precleaning, chemical cleaning, thermal treatments such as RTP, plasma nitridation, degassing, orientation, hydroxylation, and other substrate treatments. By performing the process in the chamber of a cluster tool, surface contamination of the substrate by atmospheric impurities can be avoided without oxidation before subsequent film deposition.

1つ以上の実施形態によれば、基板は連続的減圧下又は「ロードロック」状態にあり、あるチャンバから次のチャンバへと移動する際に周囲空気に曝露されない。したがって、移送チャンバは減圧下にあり、減圧下で「ポンプダウン」される。処理チャンバ又は移送チャンバ内には、不活性ガスが存在しうる。幾つかの実施形態では、不活性ガスは、反応物の一部又はすべてを除去するために、パージガスとして使用される。1つ以上の実施形態によれば、パージガスは、反応物が堆積チャンバから移送チャンバ及び/又は追加の処理チャンバに移動するのを防ぐために、堆積チャンバの出口に注入される。したがって、不活性ガスの流れがチャンバの出口にカーテンを形成する。 According to one or more embodiments, the substrate is under continuous vacuum or "load-locked" and is not exposed to ambient air as it moves from one chamber to the next. The transfer chamber is therefore under reduced pressure and is "pumped down" under reduced pressure. An inert gas may be present within the processing or transfer chamber. In some embodiments, an inert gas is used as a purge gas to remove some or all of the reactants. According to one or more embodiments, a purge gas is injected at the outlet of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chambers. The flow of inert gas thus forms a curtain at the outlet of the chamber.

基板は、単一基板堆積チャンバ内で処理することができ、そこで、単一の基板がロード、処理、及びアンロードされた後、別の基板が処理される。基板は、複数の基板が個々にチャンバの第1の部分にロードされ、チャンバ内を移動し、チャンバの第2の部分からアンロードされるコンベアシステムと同様に、連続的な態様で処理することもできる。チャンバ及び関連するコンベヤシステムの形状は、直線経路又は曲線経路を形成することができる。加えて、処理チャンバはカルーセルであってもよく、そこで、複数の基板が、中心軸の周りを移動し、カルーセル経路全体を通じて堆積、エッチング、アニーリング、洗浄などのプロセスにさらされる。 Substrates can be processed in a single substrate deposition chamber, where a single substrate is loaded, processed, and unloaded before another substrate is processed. The substrates are processed in a continuous manner, similar to a conveyor system in which a plurality of substrates are individually loaded into a first part of the chamber, moved through the chamber, and unloaded from a second part of the chamber. You can also do it. The shape of the chamber and associated conveyor system can form a straight or curved path. Additionally, the processing chamber may be a carousel, in which multiple substrates are moved around a central axis and exposed to processes such as deposition, etching, annealing, cleaning, etc. throughout the carousel path.

処理中、基板は加熱又は冷却されうる。このような加熱又は冷却は、限定はしないが、基板支持体の温度を変化させること、及び加熱又は冷却されたガスを基板表面に流すことを含む、任意の適切な手段によって達成することができる。幾つかの実施形態では、基板支持体は、伝導的に基板温度を変化させるように制御することができるヒータ/クーラを含む。1つ以上の実施形態では、基板温度を局所的に変化させるために、用いられるガス(反応性ガス又は不活性ガス)は加熱又は冷却される。幾つかの実施形態では、基板温度を対流によって変化させるために、ヒータ/クーラが、基板表面に隣接してチャンバ内に配置される。 During processing, the substrate may be heated or cooled. Such heating or cooling can be accomplished by any suitable means, including, but not limited to, changing the temperature of the substrate support and flowing heated or cooled gases over the substrate surface. . In some embodiments, the substrate support includes a heater/cooler that can be controlled to conductively change the substrate temperature. In one or more embodiments, the gas used (reactive or inert gas) is heated or cooled to locally change the substrate temperature. In some embodiments, a heater/cooler is placed within the chamber adjacent the substrate surface to convectively change the substrate temperature.

基板はまた、処理中に静止していても回転していてもよい。回転する基板は、連続的に又は個別の工程で(基板軸を中心に)回転させることができる。例えば、基板は、プロセス全体を通して回転させることができ、あるいは基板は、異なる反応性ガス又はパージガスへの曝露の合間に少しずつ回転させることができる。処理中に基板を回転させると(連続的又は段階的のいずれか)、例えば、ガス流の幾何学的形状の局所的なばらつきの影響を最小限に抑えることにより、より均一な堆積又はエッチングの実現に役立てることができる。 The substrate may also be stationary or rotating during processing. The rotating substrate can be rotated (about the substrate axis) continuously or in discrete steps. For example, the substrate can be rotated throughout the process, or the substrate can be rotated in small increments between exposures to different reactive or purge gases. Rotating the substrate during processing (either continuously or stepwise) can result in more uniform deposition or etching, for example by minimizing the effects of local variations in gas flow geometry. It can be used to help achieve this goal.

プロセスは、概して、プロセッサによって実行されると、処理チャンバに本開示の処理を実行させるソフトウェアルーチンとしてメモリに格納されうる。ソフトウェアルーチンはまた、プロセッサによって制御されているハードウェアから遠隔に位置している第2のプロセッサ(図示せず)によって格納及び/又は実行されうる。本開示の方法の幾つか又はすべてをハードウェアで実行することもできる。したがって、プロセスは、ソフトウェアに実装されてもよく、かつ、例えば、ハードウェア内のコンピュータシステムを特定用途向け集積回路又は他の種類のハードウェアの実装、若しくはソフトウェアとハードウェアとの組合せとして使用して、プロセスを実行することもできる。ソフトウェアルーチンは、プロセッサによって実行されると、汎用コンピュータを、プロセスが実行されるようにチャンバの動作を制御する特定用途向けコンピュータ(コントローラ)に変換する。 The processes may generally be stored in memory as software routines that, when executed by the processor, cause the processing chamber to perform the processes of the present disclosure. The software routines may also be stored and/or executed by a second processor (not shown) located remotely from the hardware being controlled by the processor. Some or all of the methods of this disclosure may also be implemented in hardware. Thus, the process may be implemented in software and, for example, using a computer system in hardware as an application-specific integrated circuit or other type of hardware implementation, or a combination of software and hardware. You can also run the process. The software routines, when executed by the processor, transform a general purpose computer into a special purpose computer (controller) that controls the operation of the chamber so that the process is performed.

1つ以上の実施形態では、非一時的コンピュータ可読媒体は、処理チャンバのコントローラによって実行されると、処理チャンバに次の動作:基板を有する処理チャンバの処理容積内に、一般式(I)又は一般式(II)の構造:

Figure 0007433437000005
(式中、R、R、R、R、R、R、R、R、R、R10、R11、及びR12は、水素(H)、置換又は非置換のアルキル、置換又は非置換のアルコキシ、置換又は非置換のビニル、シラン、置換又は非置換のアミン、又はハロゲン化物から独立して選択される)を有する前駆体を流す動作;処理チャンバの処理容積内に、トリシリルアミン(TSA)を含む反応物質を流す動作;前駆体及び反応物質の処理チャンバをパージする動作;基板をプラズマに曝露する動作;並びに、処理チャンバをパージする動作を実行させる命令を含む。 In one or more embodiments, the non-transitory computer-readable medium, when executed by the controller of the processing chamber, causes the processing chamber to perform the following operations: enter the processing volume of the processing chamber with a substrate into a substrate of the general formula (I) or Structure of general formula (II):
Figure 0007433437000005
(In the formula, R 1 , R 2 , R 3 , R 4 , R 5 , R 6 , R 7 , R 8 , R 9 , R 10 , R 11 , and R 12 are hydrogen (H), substituted or unsubstituted. (independently selected from substituted alkyl, substituted or unsubstituted alkoxy, substituted or unsubstituted vinyl, silane, substituted or unsubstituted amine, or halide); processing the processing chamber; flowing a reactant including trisilylamine (TSA) into the volume; purging the processing chamber of precursors and reactants; exposing the substrate to a plasma; and causing the processing chamber to purge. Contains instructions.

次に、以下の例を参照して本開示を説明する。本開示の幾つかの例示的な実施形態を説明する前に、本開示が、以下の説明に記載される構成又はプロセスステップの詳細に限定されないことが理解されるべきである。本開示は、他の実施形態も可能であり、さまざまな方法で実施又は実行することができる。 The present disclosure will now be described with reference to the following examples. Before describing some exemplary embodiments of the present disclosure, it is to be understood that the present disclosure is not limited to the details of construction or process steps set forth in the following description. The present disclosure is capable of other embodiments and of being practiced or carried out in various ways.

実施例1
炭窒化ケイ素膜を基板上に堆積させた。ヘキサメチルシクロトリシラザン(HMCTZ)及びトリシリルアミン(TSA)を約3.6:1の比で、ヘリウム(g)のキャリアガス中、反応チャンバ内に共流させた後、アンモニア(NH)の流れを基板の上に流し、バイアス電力を約3000Wでオンにして、プラズマを生成させる。次に、基板を、Ar(g)の雰囲気中、約0.7Tの圧力で約15秒間、アンモニアプラズマで処理した。炭窒化ケイ素膜を基板上に堆積させた。次に、アルゴン(Ar)の流れを基板の上に30秒間、通過させた。基板を、窒素(N)の雰囲気中、400℃、5Tの圧力で10分間、アニーリングした。
Example 1
A silicon carbonitride film was deposited onto the substrate. Hexamethylcyclotrisilazane (HMCTZ) and trisilylamine (TSA) are co-flowed into the reaction chamber in a ratio of about 3.6:1 in a carrier gas of helium (g), followed by ammonia (NH 3 ). flow over the substrate and turn on the bias power at about 3000 W to generate a plasma. The substrate was then treated with ammonia plasma for about 15 seconds at a pressure of about 0.7 T in an atmosphere of Ar(g). A silicon carbonitride film was deposited onto the substrate. A flow of argon (Ar) was then passed over the substrate for 30 seconds. The substrate was annealed for 10 minutes at 400° C. and 5 T pressure in a nitrogen (N 2 ) atmosphere.

実施例2
炭窒化ケイ素膜を基板上に堆積させた。ヘキサメチルシクロトリシラザン(HMCTZ)及びトリシリルアミン(TSA)を約3.6:1の比で、ヘリウム(g)のキャリアガス中、反応チャンバ内に共流させた後、アンモニア(NH3)の流れを基板の上に流し、バイアス電力を約3000Wでオンにして、プラズマを生成させる。炭窒化ケイ素膜を基板上に堆積させた。次に、約0.7Tでのアルゴン(Ar)の流れを基板の上に30秒間、通過させた。基板を、窒素(N)の雰囲気中、400℃、5Tの圧力で10分間、アニーリングした。
Example 2
A silicon carbonitride film was deposited onto the substrate. Hexamethylcyclotrisilazane (HMCTZ) and trisilylamine (TSA) are co-flowed into the reaction chamber in a ratio of about 3.6:1 in a carrier gas of helium (g), followed by ammonia (NH3). A flow is applied over the substrate and the bias power is turned on at approximately 3000 W to generate a plasma. A silicon carbonitride film was deposited onto the substrate. A flow of argon (Ar) at about 0.7 T was then passed over the substrate for 30 seconds. The substrate was annealed for 10 minutes at 400° C. and 5 T pressure in a nitrogen (N 2 ) atmosphere.

実施例3
炭窒化ケイ素膜を基板上に堆積させた。ヘキサメチルシクロトリシラザン(HMCTZ)及びトリシリルアミン(TSA)を約3.6:1の比で、ヘリウム(g)のキャリアガス中、反応チャンバ内に共流させた後、アンモニア(NH)の流れを基板の上に流し、バイアス電力を約3000Wでオンにして、プラズマを生成させる。炭窒化ケイ素膜を基板上に堆積させた。基板を、窒素(N)の雰囲気中、400℃、5Tの圧力で10分間、アニーリングした。
Example 3
A silicon carbonitride film was deposited onto the substrate. Hexamethylcyclotrisilazane (HMCTZ) and trisilylamine (TSA) are co-flowed into the reaction chamber in a ratio of about 3.6:1 in a carrier gas of helium (g), followed by ammonia (NH 3 ). flow over the substrate and turn on the bias power at about 3000 W to generate a plasma. A silicon carbonitride film was deposited onto the substrate. The substrate was annealed for 10 minutes at 400° C. and 5 T pressure in a nitrogen (N 2 ) atmosphere.

表1は膜の特性を示している。

Figure 0007433437000006
Table 1 shows the properties of the membrane.
Figure 0007433437000006

本明細書で論じられる材料及び方法を説明する文脈(とりわけ、以下の請求項の文脈)での「a」及び「an」及び「the」という用語、並びに同様の指示対象の使用は、本明細書に別段の記載がない限り、又は文脈に明確に矛盾しない限り、単数形及び複数形の両方に及ぶと解釈されるべきである。本明細書の値の範囲の列挙は、本明細書に別段の記載がない限り、範囲内に入る各個別の値を個別に参照する簡単な方法として機能することを単に意図しており、各個別の値は、本明細書に個別に記載されているかのように本明細書に組み込まれる。本明細書に記載されるすべての方法は、本明細書に別段の指示がない限り、又は文脈によって明らかに矛盾しない限り、任意の適切な順序で実施することができる。本明細書で提供される任意及びすべての例、又は例示的な言語(例えば、「など」)の使用は、単に材料及び方法をより明らかにすることを意図しており、特に明記しない限り、特許請求の範囲に制限を課すことはない。明細書のいかなる文言も、特許請求されていない要素が開示された材料及び方法の実施に不可欠であることを示していると解釈されるべきではない。 The use of the terms "a" and "an" and "the" and similar referents in the context of describing the materials and methods discussed herein (in particular, in the context of the claims below) Unless otherwise specified in the book or clearly contradicted by context, the terms should be construed in both the singular and plural terms. The enumeration of ranges of values herein, unless otherwise stated herein, is merely intended to serve as a shorthand way of individually referring to each individual value falling within the range, and each Individual values are incorporated herein as if individually set forth herein. All methods described herein can be performed in any suitable order, unless indicated otherwise herein or clearly contradicted by context. Any and all examples provided herein or the use of exemplary language (e.g., "etc.") are merely intended to make the materials and methods more clear, and unless otherwise specified, No limitations are imposed on the scope of the claims. No language in the specification should be construed as indicating any non-claimed element as essential to the practice of the disclosed materials and methods.

この明細書全体を通じての、「一実施形態」、「ある特定の実施形態」、「1つ以上の実施形態」、又は、「実施形態」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。ゆえに、この明細書全体のさまざまな箇所での「1つ以上の実施形態で」、「ある実施形態で」、「一実施形態で」、又は「実施形態において」などの表現の表出は、必ずしも、本開示の同一の実施形態に言及するものではない。1つ以上の実施形態では、特定の特徴部、構造、材料、又は特性は、任意の適切な態様で組み合わされる。 Throughout this specification, references to "one embodiment," "a particular embodiment," "one or more embodiments," or "an embodiment" are described with reference to the embodiment. A particular feature, structure, material, or property is meant to be included in at least one embodiment of the present disclosure. Thus, the appearances of the phrases "in one or more embodiments," "in an embodiment," "in one embodiment," or "in an embodiment" in various places throughout this specification include They are not necessarily alluding to the same embodiment of the disclosure. In one or more embodiments, the particular features, structures, materials, or characteristics are combined in any suitable manner.

本明細書の開示は具体的な実施形態を参照して説明されているが、これらの実施形態は、本開示の原理及び用途の単なる例示であるものと理解されたい。本開示の主旨及び範囲から逸脱することなく、本開示の方法及び装置に対してさまざまな修正及び変形を行うことができることは、当業者にとって明らかであろう。したがって、本開示は、添付の特許請求の範囲及びその等価物の範囲内である修正及び変形を含むことが意図されている。 Although the disclosure herein has been described with reference to specific embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the disclosure. It will be apparent to those skilled in the art that various modifications and variations can be made to the methods and apparatus of the present disclosure without departing from the spirit and scope of the disclosure. Accordingly, it is intended that this disclosure cover modifications and variations that come within the scope of the appended claims and their equivalents.

Claims (17)

膜を堆積する方法であって、
シランと、一般式(II)の構造を有する前駆体:

Figure 0007433437000007

(式中、R、R、R、R、R、R、R、R、R、R10、R11、及びR12は、水素(H)、置換又は非置換のアルキル、置換又は非置換のアルコキシ、置換又は非置換のビニル、シラン、置換又は非置換のアミン、又はハロゲン化物から独立して選択される)とを含む前駆体混合物に基板表面を曝露することによって、該基板表面に流動性膜を形成すること
アンモニア(NH )の流れを基板表面の上に流すこと;及び
バイアス電力をオンにして、プラズマを生成させること
を含み、
前記流動性膜が、5原子%以上の炭素含有量を有する、方法。
A method of depositing a film, the method comprising:
Silane and a precursor having the structure of general formula (II):

Figure 0007433437000007

(In the formula, R 1 , R 2 , R 3 , R 4 , R 5 , R 6 , R 7 , R 8 , R 9 , R 10 , R 11 , and R 12 are hydrogen (H), substituted or unsubstituted. (independently selected from substituted alkyl, substituted or unsubstituted alkoxy, substituted or unsubstituted vinyl, silane, substituted or unsubstituted amine, or halide). forming a fluid film on the surface of the substrate ;
passing a stream of ammonia (NH3 ) over the substrate surface; and
including turning on bias power to generate a plasma ;
The method , wherein the flowable membrane has a carbon content of 5 atomic % or more .
前記シランが、トリシリルアミン(TSA)、シラン、ジシラン、トリシラン、テトラシラン、高次のシラン、又は置換シランのうちの1つ以上を含む、請求項1に記載の方法。 2. The method of claim 1, wherein the silane comprises one or more of trisilylamine (TSA), silane, disilane, trisilane, tetrasilane, higher order silane, or substituted silane. 前記流動性膜が約50Åから約1000Åまでの範囲の厚さを有する、請求項1に記載の方法。 2. The method of claim 1, wherein the flowable membrane has a thickness ranging from about 50 Å to about 1000 Å. 前記流動性膜が約0.3Torrから約10Torrまでの範囲の圧力で形成される、請求項1に記載の方法。 2. The method of claim 1, wherein the flowable membrane is formed at a pressure ranging from about 0.3 Torr to about 10 Torr. 前記流動性膜が約0℃から約200℃までの範囲の温度で形成される、請求項1に記載の方法。 2. The method of claim 1, wherein the flowable membrane is formed at a temperature ranging from about 0<0>C to about 200<0>C. 前記流動性膜が炭窒化ケイ素(SiCN)膜である、請求項1に記載の方法。 2. The method of claim 1, wherein the flowable membrane is a silicon carbonitride (SiCN) membrane. 膜を堆積する方法であって、
処理チャンバ内の基板を、一般式(II)の構造を有する前駆体:

Figure 0007433437000008

(式中、R、R、R、R、R、R、R、R、R、R10、R11、及びR12は、水素(H)、置換又は非置換のアルキル、置換又は非置換のアルコキシ、置換又は非置換のビニル、シラン、置換又は非置換のアミン、又はハロゲン化物から独立して選択される)に曝露して、ケイ素含有膜を前記基板上に堆積すること;
前記基板をシランに曝露して前記ケイ素含有膜と反応させて、前記基板上に5原子%以上の炭素含有量を有する炭窒化ケイ素(SiCN)膜を形成すること;
前記前駆体及びシランの前記処理チャンバをパージすること
アンモニア(NH )の流れを基板表面の上に流すこと;及び
バイアス電力をオンにして、プラズマを生成させること
を含む、方法。
A method of depositing a film, the method comprising:
The substrate in the processing chamber is treated with a precursor having the structure of general formula (II):

Figure 0007433437000008

(In the formula, R 1 , R 2 , R 3 , R 4 , R 5 , R 6 , R 7 , R 8 , R 9 , R 10 , R 11 , and R 12 are hydrogen (H), substituted or unsubstituted. independently selected from substituted alkyl, substituted or unsubstituted alkoxy, substituted or unsubstituted vinyl, silane, substituted or unsubstituted amine, or halide) to form a silicon-containing film on said substrate. to be deposited on;
exposing the substrate to silane to react with the silicon-containing film to form a silicon carbonitride (SiCN) film having a carbon content of 5 atomic percent or more on the substrate;
purging the processing chamber of the precursor and silane ;
passing a stream of ammonia (NH3 ) over the substrate surface; and
A method comprising turning on bias power to generate a plasma.
前記シランが、トリシリルアミン(TSA)、シラン、ジシラン、トリシラン、テトラシラン、高次のシラン、又は置換シランのうちの1つ以上を含む、請求項に記載の方法。 8. The method of claim 7 , wherein the silane comprises one or more of trisilylamine (TSA), silane, disilane, trisilane, tetrasilane, higher order silane, or substituted silane. 前記基板が前記前駆体及び前記シランに同時に曝露される、請求項に記載の方法。 8. The method of claim 7 , wherein the substrate is exposed to the precursor and the silane simultaneously. 前記処理チャンバをパージすることが前記基板の上にパージガスを流すことを含む、請求項に記載の方法。 8. The method of claim 7 , wherein purging the processing chamber includes flowing a purge gas over the substrate. 前記パージガスが、アルゴン(Ar)、窒素(N)、及びヘリウム(He)のうちの1つ以上から選択される、請求項10に記載の方法。 11. The method of claim 10 , wherein the purge gas is selected from one or more of argon (Ar), nitrogen ( N2 ), and helium (He). 処理チャンバのコントローラによって実行されると、前記処理チャンバに次の動作:
基板を有する前記処理チャンバの処理容積内に前駆体混合物を流す動作であって、前記前駆体混合物が、シランと、一般式(II)の構造を有する前駆体:

Figure 0007433437000009

(式中、R、R、R、R、R、R、R、R、R、R10、R11、及びR12は、水素(H)、置換又は非置換のアルキル、置換又は非置換のアルコキシ、置換又は非置換のビニル、シラン、置換又は非置換のアミン、又はハロゲン化物から独立して選択される)とを含む、前駆体混合物を流す動作;
前記処理チャンバの前記処理容積内に反応物質を流す動作であって、前記反応物質がトリシリルアミン(TSA)を含む、反応物質を流して、5原子%以上の炭素含有量を有する流動性膜を形成する動作;
前記前駆体及び前記反応物質の前記処理チャンバをパージする動作;
アンモニア(NH )の流れを基板表面の上に流す動作;
バイアス電力をオンにして、プラズマを生成させる動作;及び
前記処理チャンバをパージする動作
を実行させる命令を含む、非一時的コンピュータ可読媒体。
When performed by the processing chamber controller, the following operations in said processing chamber:
Flowing a precursor mixture into a processing volume of the processing chamber having a substrate, the precursor mixture comprising silane and a precursor having the structure of general formula (II):

Figure 0007433437000009

(In the formula, R 1 , R 2 , R 3 , R 4 , R 5 , R 6 , R 7 , R 8 , R 9 , R 10 , R 11 , and R 12 are hydrogen (H), substituted or unsubstituted. (independently selected from substituted alkyl, substituted or unsubstituted alkoxy, substituted or unsubstituted vinyl, silane, substituted or unsubstituted amine, or halide);
flowing a reactant into the processing volume of the processing chamber, the reactant comprising trisilylamine (TSA) , flowing the reactant having a carbon content of 5 atomic % or more; Action of forming a film ;
an act of purging the processing chamber of the precursor and the reactant;
the act of directing a stream of ammonia (NH 3 ) over the substrate surface;
A non-transitory computer-readable medium comprising instructions for performing the acts of : turning on bias power to generate a plasma; and purging the processing chamber.
前記前駆体混合物は、前駆体:シランの比が約3.6:1である、請求項1に記載の方法。 2. The method of claim 1, wherein the precursor mixture has a precursor:silane ratio of about 3.6:1. バイアス電力をオンにして、プラズマを生成させることは、静電チャックに約200ワットのバイアスを印加することによって直接プラズマを生成させることを含む、請求項1に記載の方法。 2. The method of claim 1, wherein turning on bias power to generate a plasma comprises directly generating a plasma by applying a bias of about 200 watts to the electrostatic chuck. 2MHzで約1000ワットの追加RF電力を静電チャックに印加して、ウエハレベルで二重バイアスプラズマを生成させることをさらに含む、請求項14に記載の方法。 15. The method of claim 14, further comprising applying approximately 1000 watts of additional RF power at 2 MHz to the electrostatic chuck to generate a dual bias plasma at the wafer level. バイアス電力をオンにして、プラズマを生成させることは、静電チャックに約200ワットのバイアスを印加することによって直接プラズマを生成させることを含む、請求項7に記載の方法。 8. The method of claim 7, wherein turning on bias power to generate a plasma includes directly generating a plasma by applying a bias of about 200 watts to the electrostatic chuck. 2MHzで約1000ワットの追加RF電力を静電チャックに印加して、ウエハレベルで二重バイアスプラズマを生成させることをさらに含む、請求項16に記載の方法。 17. The method of claim 16, further comprising applying approximately 1000 watts of additional RF power at 2 MHz to the electrostatic chuck to generate a dual bias plasma at the wafer level.
JP2022536758A 2019-12-20 2020-12-17 Silicon carbonitride gap filling with adjustable carbon content Active JP7433437B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962951188P 2019-12-20 2019-12-20
US62/951,188 2019-12-20
US17/120,494 US11566325B2 (en) 2019-12-20 2020-12-14 Silicon carbonitride gapfill with tunable carbon content
US17/120,494 2020-12-14
PCT/US2020/065521 WO2021127123A1 (en) 2019-12-20 2020-12-17 Silicon carbonitride gapfill with tunable carbon content

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2024016123A Division JP2024063001A (en) 2019-12-20 2024-02-06 Silicon carbonitride gap fillers with tunable carbon content

Publications (2)

Publication Number Publication Date
JP2023507326A JP2023507326A (en) 2023-02-22
JP7433437B2 true JP7433437B2 (en) 2024-02-19

Family

ID=76437873

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022536758A Active JP7433437B2 (en) 2019-12-20 2020-12-17 Silicon carbonitride gap filling with adjustable carbon content

Country Status (7)

Country Link
US (2) US11566325B2 (en)
EP (1) EP4077761A4 (en)
JP (1) JP7433437B2 (en)
KR (1) KR20220118521A (en)
CN (1) CN115190917A (en)
TW (1) TWI819257B (en)
WO (1) WO2021127123A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI819257B (en) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 Silicon carbonitride gapfill with tunable carbon content
US11776846B2 (en) * 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
WO2023147382A1 (en) * 2022-01-26 2023-08-03 Versum Materials Us, Llc Halide-functionalized cyclotrisilazanes as precursors for deposition of silicon-containing films
CN114572943B (en) * 2022-02-09 2023-05-09 大连理工大学 Method for directly synthesizing carbon nitrogen compound from oxynitride

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015507362A (en) 2012-01-18 2015-03-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Low temperature plasma chemical vapor deposition of conformal silicon nitride carbon and conformal silicon nitride films
JP2016536792A (en) 2013-11-01 2016-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Low temperature silicon nitride film using remote plasma CVD technology
JP2017535077A (en) 2014-10-24 2017-11-24 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Composition for deposition of silicon-containing films and method using the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7790635B2 (en) * 2006-12-14 2010-09-07 Applied Materials, Inc. Method to increase the compressive stress of PECVD dielectric films
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US20130189841A1 (en) 2012-01-20 2013-07-25 Applied Materials, Inc. Engineering dielectric films for cmp stop
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US20150140833A1 (en) * 2013-11-18 2015-05-21 Applied Materials, Inc. Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
KR102341710B1 (en) * 2014-11-25 2021-12-22 삼성전자주식회사 Method of treating a porous dielectric layer and method of fabricating semiconductor device using the same
CN107112278B (en) 2014-12-15 2021-05-04 应用材料公司 Ultra-thin dielectric diffusion barrier and etch stop for advanced interconnect applications
TWI701357B (en) 2015-03-17 2020-08-11 美商應用材料股份有限公司 Pulsed plasma for film deposition
JP6600074B2 (en) * 2015-07-31 2019-10-30 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Compositions and methods for depositing silicon nitride films
CN108140555B (en) * 2015-10-22 2024-03-15 应用材料公司 Method for depositing flowable films comprising SiO and SiN
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
TWI819257B (en) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 Silicon carbonitride gapfill with tunable carbon content

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015507362A (en) 2012-01-18 2015-03-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Low temperature plasma chemical vapor deposition of conformal silicon nitride carbon and conformal silicon nitride films
JP2016536792A (en) 2013-11-01 2016-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Low temperature silicon nitride film using remote plasma CVD technology
JP2017535077A (en) 2014-10-24 2017-11-24 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Composition for deposition of silicon-containing films and method using the same

Also Published As

Publication number Publication date
KR20220118521A (en) 2022-08-25
US11566325B2 (en) 2023-01-31
EP4077761A4 (en) 2024-02-28
JP2023507326A (en) 2023-02-22
US20210189555A1 (en) 2021-06-24
CN115190917A (en) 2022-10-14
TW202138292A (en) 2021-10-16
WO2021127123A1 (en) 2021-06-24
US20230066497A1 (en) 2023-03-02
TWI819257B (en) 2023-10-21
EP4077761A1 (en) 2022-10-26

Similar Documents

Publication Publication Date Title
JP7135187B2 (en) Formation of SiOCN thin film
JP6929279B2 (en) Method of depositing a fluid film containing SiO and SiN
JP7433437B2 (en) Silicon carbonitride gap filling with adjustable carbon content
US20180025907A1 (en) Deposition Of Flowable Silicon-Containing Films
KR20230145020A (en) Method of forming a structure on a substrate
JP7118512B2 (en) Gap filling using reactive annealing
KR20150037662A (en) Gapfill of variable aspect ratio features with a composite peald and pecvd method
TW201441408A (en) PEALD of films comprising silicon nitride
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
US11186909B2 (en) Methods of depositing low-K films
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
TW201816166A (en) Methods of depositing SiCON with c, o and n compositional control
KR20220114060A (en) deposition of metal films
US20180057362A1 (en) Precursors Suitable For High Temperature Atomic Layer Deposition Of Silicon-Containing Films
US11447865B2 (en) Deposition of low-κ films
US11107674B2 (en) Methods for depositing silicon nitride
JP2024511271A (en) Reducing agent for atomic layer deposition
JP2024063001A (en) Silicon carbonitride gap fillers with tunable carbon content

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220810

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231205

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240206

R150 Certificate of patent or registration of utility model

Ref document number: 7433437

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150