JP7425149B2 - plasma treatment system - Google Patents

plasma treatment system Download PDF

Info

Publication number
JP7425149B2
JP7425149B2 JP2022161487A JP2022161487A JP7425149B2 JP 7425149 B2 JP7425149 B2 JP 7425149B2 JP 2022161487 A JP2022161487 A JP 2022161487A JP 2022161487 A JP2022161487 A JP 2022161487A JP 7425149 B2 JP7425149 B2 JP 7425149B2
Authority
JP
Japan
Prior art keywords
focus ring
module
plasma processing
processing system
detection sensor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022161487A
Other languages
Japanese (ja)
Other versions
JP2022173574A (en
JP2022173574A5 (en
Inventor
繁 石澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2022161487A priority Critical patent/JP7425149B2/en
Publication of JP2022173574A publication Critical patent/JP2022173574A/en
Publication of JP2022173574A5 publication Critical patent/JP2022173574A5/en
Priority to JP2024005811A priority patent/JP2024026843A/en
Application granted granted Critical
Publication of JP7425149B2 publication Critical patent/JP7425149B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は、プラズマ処理システムに関する。 The present invention relates to plasma processing systems.

処理室の内部に設けられた載置台に基板を載置してプラズマ処理を行うプラズマ処理装置が知られている。このようなプラズマ処理装置においては、プラズマ処理を繰り返し行うことにより徐々に消耗するような消耗部品が存在する(例えば、特許文献1参照)。 2. Description of the Related Art Plasma processing apparatuses are known that perform plasma processing by placing a substrate on a mounting table provided inside a processing chamber. In such a plasma processing apparatus, there are consumable parts that gradually wear out as plasma processing is repeated (for example, see Patent Document 1).

消耗部品としては、例えば載置台の上面における基板の周囲に設けられるフォーカスリングが挙げられる。フォーカスリングは、プラズマに曝されることにより削られるため、定期的に交換する必要がある。 Examples of consumable parts include a focus ring provided around the substrate on the upper surface of the mounting table. The focus ring is scraped by exposure to plasma, so it needs to be replaced periodically.

そこで、従来では、定期的に処理室を大気開放し、作業者が手動でフォーカスリングの交換を行っている。 Therefore, conventionally, the processing chamber is periodically opened to the atmosphere and an operator manually replaces the focus ring.

特開2006-253541号公報Japanese Patent Application Publication No. 2006-253541

しかしながら、処理室を大気開放する方法では、フォーカスリングの交換に長い時間を要し、フォーカスリングの交換を行っている間、処理室内において基板に処理を行うことができないため、生産性が低下する。 However, with the method of opening the processing chamber to the atmosphere, it takes a long time to replace the focus ring, and it is not possible to process the substrate in the processing chamber while the focus ring is replaced, which reduces productivity. .

本開示は、生産性を向上させることが可能な技術を提供する。 The present disclosure provides techniques that can improve productivity.

本開示の一態様のプラズマ処理システムは、プロセスモジュールと、前記プロセスモジュールが接続される第1の搬送モジュールと、前記第1の搬送モジュールの内部に設けられ、前記第1の搬送モジュールから前記プロセスモジュールへのフォーカスリングの搬送に用いられる搬送装置と、前記搬送装置により搬送される前記フォーカスリングの搬送経路上であって、前記フォーカスリングを検出できる位置に設けられる位置検出センサと、を備え、前記位置検出センサは、前記第1の搬送モジュールから前記プロセスモジュールへの前記フォーカスリングの搬送中に前記フォーカスリングが前記位置検出センサを通過することにより生じる出力に基づいて、前記フォーカスリングの位置を検出する。 A plasma processing system according to an aspect of the present disclosure includes a process module, a first transport module to which the process module is connected, and a plasma processing system provided inside the first transport module , from which the process module is connected to the first transport module. A transport device used for transporting a focus ring to a module; and a position detection sensor provided on a transport path of the focus ring transported by the transport device at a position capable of detecting the focus ring, The position detection sensor detects the position of the focus ring based on an output generated when the focus ring passes through the position detection sensor during transport of the focus ring from the first transport module to the process module. To detect.

本開示によれば、生産性を向上させることができる。 According to the present disclosure, productivity can be improved.

一実施形態のプラズマ処理システムを示す概略構成図Schematic configuration diagram showing a plasma processing system of one embodiment 一実施形態のプラズマ処理装置を示す概略断面図A schematic sectional view showing a plasma processing apparatus according to an embodiment 一実施形態のフォーカスリング交換方法を説明するためのフローチャートFlowchart for explaining a focus ring replacement method according to one embodiment 図1の処理ユニット側搬送装置を説明するための図Diagram for explaining the processing unit side transport device in FIG. 1 図1の処理ユニット側搬送装置がウエハを保持した状態を示す図A diagram showing a state in which the processing unit-side transfer device in FIG. 1 holds a wafer. 図1の処理ユニット側搬送装置がフォーカスリングを保持した状態を示す図A diagram showing a state in which the processing unit side transport device in FIG. 1 holds the focus ring. 図1の位置検出センサを説明するための図Diagram for explaining the position detection sensor in Figure 1 ウエハの位置を補正する方法を説明するための図Diagram to explain how to correct the wafer position フォーカスリングの位置を補正する方法を説明するための図Diagram to explain how to correct the focus ring position

以下、本発明の実施形態について添付の図面を参照しながら説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することによって重複した説明を省く。 Embodiments of the present invention will be described below with reference to the accompanying drawings. Note that, in this specification and the drawings, components having substantially the same functional configuration are given the same reference numerals to omit redundant explanation.

本発明の一実施形態のフォーカスリング交換方法は、処理室を大気開放することなく、搬送装置により処理室内からフォーカスリングを搬出し、処理室内をクリーニング処理し、搬送装置により処理室内にフォーカスリングを搬入するものである。フォーカスリングは、処理室の内部に設けられ、基板を載置する載置台の上面において、基板の周囲を囲むように載置されている部材であり、エッチングの均一性を向上させるためのものである。 A method for replacing a focus ring according to an embodiment of the present invention is to take out the focus ring from the processing chamber using a transport device without opening the processing chamber to the atmosphere, perform a cleaning process on the inside of the processing chamber, and replace the focus ring inside the processing chamber using the transport device. This is what will be brought in. The focus ring is a member that is installed inside the processing chamber and placed on the top surface of the mounting table on which the substrate is placed so as to surround the periphery of the substrate, and is used to improve the uniformity of etching. be.

本発明の一実施形態のフォーカスリング交換方法は、フォーカスリングが用いられる各種のプラズマ処理装置に適用可能である。 A focus ring replacement method according to an embodiment of the present invention is applicable to various plasma processing apparatuses in which a focus ring is used.

(プラズマ処理システム)
まず、本発明の一実施形態のプラズマ処理システムについて説明する。図1は、一実施形態のプラズマ処理システムを示す概略構成図である。
(Plasma treatment system)
First, a plasma processing system according to an embodiment of the present invention will be described. FIG. 1 is a schematic configuration diagram showing a plasma processing system according to an embodiment.

図1に示されるように、プラズマ処理システムは、処理ユニットPUと、搬送ユニットTUとを有するクラスタツールである。 As shown in FIG. 1, the plasma processing system is a cluster tool that includes a processing unit PU and a transport unit TU.

処理ユニットPUは、半導体ウエハ(以下、「ウエハW」という。)等の基板に対し、成膜処理、エッチング処理等の所定の処理を行うユニットである。処理ユニットPUは、プロセスモジュールPM1~PM6と、トランスファモジュールTMと、ロードロックモジュールLL1、LL2とを有する。なお、プロセスモジュールPM及びロードロックモジュールLLの数は、上記に限定されるものではない。 The processing unit PU is a unit that performs predetermined processing such as film formation processing and etching processing on a substrate such as a semiconductor wafer (hereinafter referred to as "wafer W"). The processing unit PU includes process modules PM1 to PM6, a transfer module TM, and load lock modules LL1 and LL2. Note that the number of process modules PM and load lock modules LL is not limited to the above.

プロセスモジュールPM1~PM6は、トランスファモジュールTMの周囲に接続されており、ウエハWに対し、成膜処理、エッチング処理等の所定の処理を行う。なお、プロセスモジュールPM1~PM6は、同種の処理を行うものであってもよく、異種の処理を行うものであってもよい。 The process modules PM1 to PM6 are connected around the transfer module TM, and perform predetermined processing on the wafer W, such as film formation processing and etching processing. Note that the process modules PM1 to PM6 may perform the same type of processing or may perform different types of processing.

プロセスモジュールPM1~PM6の内部には、ウエハWを載置するための載置台3がそれぞれ設けられている。また、プロセスモジュールPM1~PM6には、図示は省略するが、例えばパージガスを導入するガス導入系、処理ガスを導入するガス導入系及び真空引き可能な排気系が設けられている。 A mounting table 3 for mounting a wafer W is provided inside each of the process modules PM1 to PM6. Further, although not shown in the drawings, each of the process modules PM1 to PM6 is provided with, for example, a gas introduction system for introducing a purge gas, a gas introduction system for introducing a processing gas, and an exhaust system that can be evacuated.

プロセスモジュールPM1~PM6では、予め制御部CUの記憶部等に記憶された処理ステップを示すレシピ等に基づいて、ウエハWに所定の処理が行われる。また、プロセスモジュールPM1~PM6では、予め制御部CUの記憶部等に記憶された所定のタイミングでフォーカスリングの交換が行われる。なお、プロセスモジュールPMの詳細及びフォーカスリング交換方法の詳細については後述する。 In the process modules PM1 to PM6, a predetermined process is performed on the wafer W based on a recipe indicating process steps stored in advance in a storage unit or the like of the control unit CU. Further, in the process modules PM1 to PM6, focus rings are replaced at predetermined timings stored in advance in the storage unit of the control unit CU. Note that the details of the process module PM and the focus ring replacement method will be described later.

トランスファモジュールTMは、対向する一対の辺が他の辺よりも長い六角形状に形成されている。トランスファモジュールTMの先端側の短い2辺には、それぞれゲートバルブG3、G4を介してプロセスモジュールPM3、PM4が接続されている。トランスファモジュールTMの基端側の短い2辺には、それぞれゲートバルブG7、G8を介してロードロックモジュールLL1、LL2が接続されている。トランスファモジュールTMの一方の長い辺には、それぞれゲートバルブG1、G2を介してプロセスモジュールPM1、PM2が接続されている。トランスファモジュールTMの他方の長い辺には、それぞれゲートバルブG5、G6を介してプロセスモジュールPM5、PM6が接続されている。 The transfer module TM is formed in a hexagonal shape with a pair of opposing sides longer than the other sides. Process modules PM3 and PM4 are connected to the two short sides on the tip side of the transfer module TM via gate valves G3 and G4, respectively. Load lock modules LL1 and LL2 are connected to two short sides on the proximal side of the transfer module TM via gate valves G7 and G8, respectively. Process modules PM1 and PM2 are connected to one long side of the transfer module TM via gate valves G1 and G2, respectively. Process modules PM5 and PM6 are connected to the other long side of the transfer module TM via gate valves G5 and G6, respectively.

トランスファモジュールTMは、プロセスモジュールPM1~PM6の間、及び、プロセスモジュールPM1~PM6とロードロックモジュールLL1、LL2との間でウエハW及びフォーカスリングを搬送(搬出及び搬入)する機能を有する。トランスファモジュールTMには、図示は省略するが、例えばパージガスを導入するガス導入系及び真空引き可能な排気系が設けられている。 The transfer module TM has a function of transferring (unloading and loading) the wafer W and the focus ring between the process modules PM1 to PM6 and between the process modules PM1 to PM6 and the load lock modules LL1 and LL2. Although not shown, the transfer module TM is provided with, for example, a gas introduction system for introducing purge gas and an evacuation system capable of evacuation.

トランスファモジュールTMの内部には、プロセスモジュールPM1~PM6、ロードロックモジュールLL1、LL2の各モジュール間でウエハW及びフォーカスリングを搬送するための処理ユニット側搬送装置TR1が設けられている。なお、処理ユニット側搬送装置TR1の詳細については後述する。 Inside the transfer module TM, a processing unit side transfer device TR1 is provided for transferring the wafer W and focus ring between the process modules PM1 to PM6 and the load lock modules LL1 and LL2. Note that details of the processing unit side transport device TR1 will be described later.

トランスファモジュールTMのゲートバルブG1の近傍であって、トランスファモジュールTMからプロセスモジュールPM1へ搬送されるウエハW及びフォーカスリングの搬送経路上には、位置検出センサS11、S12が設けられている。位置検出センサS11、S12は、互いの距離がウエハWの外径よりも小さく、フォーカスリングの内径よりも小さくなるように配置されている。これにより、プロセスモジュールPM1へ搬送されるウエハW及びフォーカスリングの位置を補正することができる。なお、位置検出センサS11、S12の詳細については後述する。 Position detection sensors S11 and S12 are provided near the gate valve G1 of the transfer module TM and on the transfer path of the wafer W and focus ring transferred from the transfer module TM to the process module PM1. The position detection sensors S11 and S12 are arranged such that the distance between them is smaller than the outer diameter of the wafer W and smaller than the inner diameter of the focus ring. Thereby, the positions of the wafer W and the focus ring transferred to the process module PM1 can be corrected. Note that the details of the position detection sensors S11 and S12 will be described later.

また、トランスファモジュールTMのゲートバルブG2~G6の近傍であって、トランスファモジュールTMからプロセスモジュールPM2~6へ搬送されるウエハW及びフォーカスリングの搬送経路上にも同様に、位置検出センサが設けられている。即ち、トランスファモジュールTMには、位置検出センサS11、S12、S21、S22、S31、S32、S41、S42、S51、S52、S61、S62が設けられている。 Further, position detection sensors are similarly provided near the gate valves G2 to G6 of the transfer module TM and on the transport path of the wafer W and focus ring that are transported from the transfer module TM to the process modules PM2 to PM6. ing. That is, the transfer module TM is provided with position detection sensors S11, S12, S21, S22, S31, S32, S41, S42, S51, S52, S61, and S62.

ロードロックモジュールLL1、LL2は、それぞれゲートバルブG9、G10を介して搬送モジュールLMに接続されている。ロードロックモジュールLL1、LL2は、搬送モジュールLMから搬送されるウエハWを一時的に保持して圧力調整後にトランスファモジュールTMへ搬送する機能を有している。また、ロードロックモジュールLL1、LL2は、トランスファモジュールTMから搬送されるウエハWを一時的に保持して圧力調整後に搬送モジュールLMへ搬送する機能を有している。 Load lock modules LL1 and LL2 are connected to transfer module LM via gate valves G9 and G10, respectively. The load lock modules LL1 and LL2 have a function of temporarily holding the wafer W transferred from the transfer module LM and transferring it to the transfer module TM after pressure adjustment. Further, the load lock modules LL1 and LL2 have a function of temporarily holding the wafer W transferred from the transfer module TM and transferring it to the transfer module LM after adjusting the pressure.

ロードロックモジュールLL1、LL2の内部には、それぞれウエハWを載置可能な受渡し台が設けられている。また、ロードロックモジュールLL1、LL2には、図示は省略するが、残留物等のパーティクルをパージ及び排気可能な排気系が設けられている。 A transfer table on which a wafer W can be placed is provided inside each of the load lock modules LL1 and LL2. Further, although not shown in the drawings, the load lock modules LL1 and LL2 are provided with an exhaust system capable of purging and exhausting particles such as residue.

このような処理ユニットPUでは、プロセスモジュールPM1~PM6とトランスファモジュールTMとの間及びトランスファモジュールTMとロードロックモジュールLL1、LL2との間はそれぞれ気密に開閉可能となっている。また、搬送モジュールLMとロードロックモジュールLL1、LL2との間もそれぞれ気密に開閉可能となっている。 In such a processing unit PU, the spaces between the process modules PM1 to PM6 and the transfer module TM and between the transfer module TM and the load lock modules LL1 and LL2 can be opened and closed in an airtight manner. Furthermore, the transport module LM and the load lock modules LL1 and LL2 can also be opened and closed in an airtight manner.

搬送ユニットTUは、後述するFOUP(Front Opening Unified Pod)と処理ユニットPUとの間でウエハWを搬送するユニットであり、搬送モジュールLMを有している。 The transport unit TU is a unit that transports a wafer W between a FOUP (Front Opening Unified Pod) described later and a processing unit PU, and includes a transport module LM.

搬送モジュールLMは、矩形状に形成されている。搬送モジュールLMの一方の長辺には、複数のロードポートLP1~LP3が並設されている。ロードポートLP1~LP3は、それぞれFOUPを載置することが可能である。なお、図1では、ロードポートLP1~LP3のすべてにFOUPが載置されている場合を示している。FOUPは、例えば25枚のウエハWを等ピッチで多段に載置して収容可能な容器である。FOUPは、その内部に例えばNガスが充填された密閉構造となっている。FOUPは、開閉ドアD1~D3を介して搬送モジュールLMと接続されている。なお、ロードポートLPの数は上記に限定されるものではない。 The transport module LM is formed in a rectangular shape. A plurality of load ports LP1 to LP3 are arranged in parallel on one long side of the transport module LM. Each of the load ports LP1 to LP3 can place a FOUP. Note that FIG. 1 shows a case where FOUPs are placed on all load ports LP1 to LP3. The FOUP is a container that can accommodate, for example, 25 wafers W placed in multiple stages at equal pitches. The FOUP has a sealed structure in which the inside is filled with, for example, N 2 gas. The FOUP is connected to the transport module LM via opening/closing doors D1 to D3. Note that the number of load ports LP is not limited to the above.

搬送モジュールLMの一方の短辺には、アライナAUが設けられている。アライナAUは、その内部にウエハWを載置する回転載置台と、ウエハWの外周縁部を光学的に検出する光学センサとを有する。アライナAUでは、例えばウエハWのオリエンテーションフラット、ノッチ等を検出して、ウエハWの位置合わせを行う。 An aligner AU is provided on one short side of the transport module LM. The aligner AU has a rotary mounting table on which the wafer W is mounted, and an optical sensor that optically detects the outer peripheral edge of the wafer W. The aligner AU detects, for example, orientation flats, notches, etc. of the wafer W, and aligns the wafer W.

搬送モジュールLMの内部には、ロードロックモジュールLL1、LL2、FOUP、アライナAUの各モジュール間でウエハW及びフォーカスリングを搬送するための搬送ユニット側搬送装置TR2が設けられている。搬送ユニット側搬送装置TR2は、旋回機構によって旋回可能に基台231に取付けられた搬送アームを備え、スライド機構によって搬送モジュールLMの長手方向に沿ってスライド可能となっている。搬送ユニット側搬送装置TR2の搬送アームは、例えば図1に示されるように、一対の多関節アームを有するダブルアーム機構である。図1に示す搬送アームは、上下に併設された伸縮可能な多関節アームである第1アーム211と第2アーム221とを含む。 Inside the transport module LM, a transport unit-side transport device TR2 is provided for transporting the wafer W and focus ring between the load lock modules LL1, LL2, FOUP, and aligner AU. The transport unit-side transport device TR2 includes a transport arm that is rotatably attached to the base 231 by a rotation mechanism, and is slidable along the longitudinal direction of the transport module LM by a slide mechanism. The transport arm of the transport unit-side transport device TR2 is, for example, a double arm mechanism having a pair of multi-joint arms, as shown in FIG. The transport arm shown in FIG. 1 includes a first arm 211 and a second arm 221, which are extendable and retractable multi-joint arms that are arranged vertically.

搬送ユニット側搬送装置TR2のスライド機構は、例えばリニアモータを有する。具体的には、搬送モジュールLMの内部に長手方向に沿って案内レール232が設けられ、搬送アームが取付けられた基台231は案内レール232に沿ってスライド可能に設けられている。基台231及び案内レール232には、それぞれリニアモータの可動子と固定子とが設けられており、案内レール232の端部には、リニアモータを駆動するためのリニアモータ駆動機構233が設けられている。リニアモータ駆動機構233には、制御部CUが接続されている。これにより、制御部CUからの制御信号に基づいてリニアモータ駆動機構233が駆動し、搬送ユニット側搬送装置TR2が基台231と共に案内レール232に沿って矢印方向へ移動するようになっている。なお、搬送ユニット側搬送装置TR2のスライド機構は、上記に限定されるものではなく、他の機構を有していてもよい。 The slide mechanism of the transport unit-side transport device TR2 includes, for example, a linear motor. Specifically, a guide rail 232 is provided inside the transport module LM along the longitudinal direction, and the base 231 to which the transport arm is attached is provided so as to be slidable along the guide rail 232. A movable element and a stator of a linear motor are provided on the base 231 and the guide rail 232, respectively, and a linear motor drive mechanism 233 for driving the linear motor is provided at the end of the guide rail 232. ing. A control unit CU is connected to the linear motor drive mechanism 233. Thereby, the linear motor drive mechanism 233 is driven based on the control signal from the control unit CU, and the transport unit side transport device TR2 moves along the guide rail 232 together with the base 231 in the direction of the arrow. Note that the slide mechanism of the transport unit-side transport device TR2 is not limited to the above, and may include other mechanisms.

搬送ユニット側搬送装置TR2の搬送アームである第1アーム211及び第2アーム221はそれぞれ先端にピック212、222を有しており、一度に2枚のウエハW又は2つのフォーカスリングを保持することができるようになっている。これにより、例えばロードロックモジュールLL1、LL2、FOUP、アライナAUに対してウエハW及びフォーカスリングを搬送する際、ウエハW及びフォーカスリングを交換するように搬送することができる。なお、一度に1枚のウエハWと1つのフォーカスリングとを保持して搬送してもよい。また、搬送ユニット側搬送装置TR2の搬送アームの数は上記のものに限定されるものではなく、例えば1つのみのアームを有するシングルアーム機構であってもよい。 The first arm 211 and the second arm 221, which are the transfer arms of the transfer device TR2 on the transfer unit side, have picks 212 and 222 at their tips, respectively, and can hold two wafers W or two focus rings at a time. is now possible. Thereby, for example, when the wafer W and focus ring are transferred to the load lock modules LL1, LL2, FOUP, and aligner AU, the wafer W and focus ring can be transferred so as to be replaced. Note that one wafer W and one focus ring may be held and transported at a time. Further, the number of transport arms of the transport unit-side transport device TR2 is not limited to the above-mentioned number, and may be a single arm mechanism having only one arm, for example.

また、搬送ユニット側搬送装置TR2は、搬送アームを旋回、伸縮及び昇降させるための図示しない旋回用モータ、伸縮用モータ及び昇降用モータを有する。各モータは、制御部CUに接続され、制御部CUからの制御信号に基づいて搬送ユニット側搬送装置TR2の搬送アームの制御を行うことができるようになっている。 Further, the transport unit-side transport device TR2 includes a turning motor, a telescoping motor, and an elevating motor (not shown) for rotating, extending/contracting, and raising/lowering the transport arm. Each motor is connected to the control unit CU, and can control the transport arm of the transport unit-side transport device TR2 based on a control signal from the control unit CU.

プラズマ処理システムには、プラズマ処理システムの各部、例えば処理ユニット側搬送装置TR1、搬送ユニット側搬送装置TR2、ゲートバルブG1~G10、開閉ドアD1~D3、アライナAU等を制御する制御部CUが設けられている。 The plasma processing system is provided with a control unit CU that controls each part of the plasma processing system, such as a processing unit side transfer device TR1, a transfer unit side transfer device TR2, gate valves G1 to G10, opening/closing doors D1 to D3, aligner AU, etc. It is being

(プラズマ処理装置)
次に、本発明の一実施形態のプラズマ処理装置について、図2に基づき説明する。図2は、一実施形態のプラズマ処理装置を示す概略断面図である。図2に示すプラズマ処理装置は、前述のプラズマ処理システムにおけるプロセスモジュールPM1~PM6として用いることができる装置である。
(Plasma processing equipment)
Next, a plasma processing apparatus according to an embodiment of the present invention will be described based on FIG. FIG. 2 is a schematic cross-sectional view showing a plasma processing apparatus of one embodiment. The plasma processing apparatus shown in FIG. 2 is an apparatus that can be used as process modules PM1 to PM6 in the plasma processing system described above.

図2に示されるように、プラズマ処理装置は、略円筒状の処理室10を有する。処理室10の内壁面は、例えば陽極酸化されたアルミニウムにより形成されている。処理室10は接地されている。 As shown in FIG. 2, the plasma processing apparatus has a substantially cylindrical processing chamber 10. As shown in FIG. The inner wall surface of the processing chamber 10 is made of, for example, anodized aluminum. The processing chamber 10 is grounded.

処理室10には、処理ガスを導入するためのガスシャワーヘッド2が設けられている。ガスシャワーヘッド2は上部電極として機能する。処理室10の内部には、ガスシャワーヘッド2と対向するように載置台3が設けられている。載置台3は、下部電極として機能する。 The processing chamber 10 is provided with a gas shower head 2 for introducing processing gas. Gas shower head 2 functions as an upper electrode. A mounting table 3 is provided inside the processing chamber 10 so as to face the gas shower head 2 . The mounting table 3 functions as a lower electrode.

ガスシャワーヘッド2(上部電極)の下面側には、ガス供給路21及びバッファ室21aを介して連通する複数のガス吐出口22が形成されている。複数のガス吐出口22により、載置台3に載置されたウエハWに向かって処理ガスが吐出される。ガス供給路21は、基端側がガス導入系23に接続されている。 A plurality of gas discharge ports 22 are formed on the lower surface side of the gas shower head 2 (upper electrode) and communicate with each other via a gas supply path 21 and a buffer chamber 21a. Processing gas is discharged toward the wafer W placed on the mounting table 3 through the plurality of gas discharge ports 22 . The gas supply path 21 is connected to the gas introduction system 23 at its base end.

ガス導入系23は、ウエハWに対して成膜処理に用いられる処理ガスの供給源と、ウエハWに対してエッチング処理に用いられる処理ガスの供給源とを有する。また、ガス導入系23は、処理室10をクリーニング処理に用いられる処理ガスの供給源と、処理室10をシーズニング処理に用いられる処理ガスの供給源とを有する。ガス導入系23は、バルブ、流量調整部等の供給制御機器等を有し、所定の流量の処理ガスを処理室10内に供給することができる。 The gas introduction system 23 has a processing gas supply source used for film formation processing on the wafer W, and a processing gas supply source used for etching processing on the wafer W. Further, the gas introduction system 23 includes a supply source of a processing gas used for cleaning the processing chamber 10 and a supply source of processing gas used for seasoning the processing chamber 10 . The gas introduction system 23 includes supply control equipment such as a valve and a flow rate adjustment section, and can supply processing gas at a predetermined flow rate into the processing chamber 10 .

上部電極には、整合器25を介して高周波電力を供給するための高周波電源部26が接続されている。上部電極は、絶縁部材27により処理室10の側壁部分と絶縁されている。 A high frequency power supply section 26 for supplying high frequency power via a matching box 25 is connected to the upper electrode. The upper electrode is insulated from the side wall portion of the processing chamber 10 by an insulating member 27.

載置台3は、本体部30と、静電チャック31とを有する。 The mounting table 3 has a main body 30 and an electrostatic chuck 31.

本体部30は、例えばアルミニウム等の導電性部材により形成されている。本体部30の内部には、温調機構として機能する図示しない冷媒流路が設けられている。冷媒流路に供給される冷媒の温度が調整することにより、静電チャック31に保持されたウエハWの温度が制御される。 The main body portion 30 is made of a conductive member such as aluminum. Inside the main body portion 30, a refrigerant flow path (not shown) is provided that functions as a temperature control mechanism. By adjusting the temperature of the coolant supplied to the coolant flow path, the temperature of the wafer W held by the electrostatic chuck 31 is controlled.

本体部30の上には、ウエハWとウエハWを囲むように配置されるフォーカスリングFRの両方を吸着可能な静電チャック31が設けられている。静電チャック31の上側中央部には凸状の基板載置部32が形成されており、基板載置部32の上面はウエハWを載置する基板載置面33を構成する。基板載置面33の周囲の低い部分の上面はフォーカスリングFRを載置するフォーカスリング載置面34を構成する。 An electrostatic chuck 31 is provided on the main body 30 and is capable of attracting both the wafer W and the focus ring FR arranged to surround the wafer W. A convex substrate mounting section 32 is formed in the upper center of the electrostatic chuck 31, and the upper surface of the substrate mounting section 32 constitutes a substrate mounting surface 33 on which the wafer W is mounted. The upper surface of the lower part around the substrate mounting surface 33 constitutes a focus ring mounting surface 34 on which the focus ring FR is mounted.

静電チャック31は、絶縁材の間に電極35が介在された構成となっている。電極35は、ウエハWとフォーカスリングFRの両方を吸着できるように、基板載置面33の下側のみならず、フォーカスリング載置面34の下側まで延出して設けられている。 The electrostatic chuck 31 has a structure in which an electrode 35 is interposed between insulating materials. The electrode 35 is provided extending not only below the substrate mounting surface 33 but also below the focus ring mounting surface 34 so as to be able to attract both the wafer W and the focus ring FR.

静電チャック31は、スイッチ36を介して電極35に接続された直流電源37から所定の直流電圧が印加される。これにより、ウエハW及びフォーカスリングFRが静電チャック31に静電吸着される。なお、基板載置部32は、例えば図2に示されるように、ウエハWの径よりも小径に形成し、ウエハWを載置したときにウエハWのエッジ部が基板載置部32から張り出すようにする。 A predetermined DC voltage is applied to the electrostatic chuck 31 from a DC power supply 37 connected to the electrode 35 via a switch 36 . As a result, the wafer W and focus ring FR are electrostatically attracted to the electrostatic chuck 31. Note that, as shown in FIG. 2, for example, the substrate mounting section 32 is formed to have a diameter smaller than that of the wafer W, so that when the wafer W is mounted, the edge portion of the wafer W is stretched away from the substrate mounting section 32. Let it come out.

載置台3には、ウエハWの裏面とフォーカスリングFRの裏面に別々に伝熱ガス(例えばヘリウム(He)ガス)を供給する伝熱ガス供給部38が設けられている。 The mounting table 3 is provided with a heat transfer gas supply section 38 that separately supplies heat transfer gas (for example, helium (He) gas) to the back surface of the wafer W and the back surface of the focus ring FR.

伝熱ガス供給部38は、基板載置面33に載置されたウエハWの裏面に第1伝熱ガスを供給する第1伝熱ガス供給部38aと、フォーカスリング載置面34に載置されたフォーカスリングFRの裏面に第2伝熱ガスを供給する第2伝熱ガス供給部38bとを備える。 The heat transfer gas supply section 38 includes a first heat transfer gas supply section 38a that supplies a first heat transfer gas to the back surface of the wafer W placed on the substrate placement surface 33, and a first heat transfer gas supply section 38a that supplies a first heat transfer gas to the back surface of the wafer W placed on the substrate placement surface 33, and and a second heat transfer gas supply section 38b that supplies the second heat transfer gas to the back surface of the focus ring FR.

フォーカスリングFRは、静電チャック31の上に載置されている。フォーカスリングFRの上面には段差が形成され、内周部分よりも外周部分が高く形成されている。また、フォーカスリングFRの内周部分は、載置台3よりも外側に突出しているウエハWの外周部分の下側に食い込むように形成されている。即ち、フォーカスリングFRの内径は、ウエハWの外径よりも小さく形成されている。これにより、ウエハWに対してエッチング処理を行う際、静電チャック31がプラズマから保護される。 The focus ring FR is placed on the electrostatic chuck 31. A step is formed on the upper surface of the focus ring FR, and the outer circumferential portion is higher than the inner circumferential portion. Further, the inner circumferential portion of the focus ring FR is formed so as to bite into the lower side of the outer circumferential portion of the wafer W that protrudes outward from the mounting table 3. That is, the inner diameter of the focus ring FR is smaller than the outer diameter of the wafer W. Thereby, when etching the wafer W, the electrostatic chuck 31 is protected from plasma.

載置台3には、整合器39を介してバイアス用の電力を印加する高周波電源部40が接続されている。また、載置台3の内部には、図1に記載の処理ユニット側搬送装置TR1に対してウエハW及びフォーカスリングFRの受渡しを行うことが可能な図示しない昇降ピンが設けられている。処理ユニット側搬送装置TR1によるフォーカスリングFRの受渡しの際には、昇降ピンを上昇させてフォーカスリングFRを載置台3から離間させる。 A high frequency power supply unit 40 that applies bias power via a matching box 39 is connected to the mounting table 3 . Further, inside the mounting table 3, a lifting pin (not shown) is provided that can transfer the wafer W and the focus ring FR to the processing unit side transfer device TR1 shown in FIG. When the focus ring FR is delivered by the processing unit side transport device TR1, the lift pin is raised to separate the focus ring FR from the mounting table 3.

処理室10の側壁には、開閉自在なゲートバルブG1を有する開口部13が形成されている。ウエハW及びフォーカスリングFRは、開口部13を介して搬送される。 An opening 13 having a gate valve G1 that can be opened and closed is formed in a side wall of the processing chamber 10. The wafer W and focus ring FR are transported through the opening 13.

処理室10の内壁には、内壁に沿ってデポシールド41が着脱自在に設けられている。デポシールド41は、載置台3の外周にも設けられている。デポシールド41は、エッチングにより生じる反応生成物が処理室10の内壁面に付着することを防止するものであり、例えばアルミニウムにY等のセラミックスを被覆することにより形成されている。 A deposit shield 41 is removably provided on the inner wall of the processing chamber 10 along the inner wall. The deposit shield 41 is also provided on the outer periphery of the mounting table 3. The deposit shield 41 prevents reaction products generated by etching from adhering to the inner wall surface of the processing chamber 10, and is formed by, for example, coating aluminum with ceramics such as Y2O3 .

載置台3の周囲には、処理室10内を均一に排気するため、多数の排気孔を有するバッフル板42が設けられている。バッフル板42は、例えばアルミニウムにY等のセラミックスを被覆することにより形成されている。バッフル板42の下方には、排気管11を介して、ターボ分子ポンプ、ドライポンプ等の真空ポンプ12が接続されている。 A baffle plate 42 having a large number of exhaust holes is provided around the mounting table 3 in order to uniformly exhaust the inside of the processing chamber 10 . The baffle plate 42 is formed, for example, by coating aluminum with ceramics such as Y 2 O 3 . A vacuum pump 12 such as a turbo molecular pump or a dry pump is connected below the baffle plate 42 via an exhaust pipe 11.

プラズマ処理装置は、各部を制御する制御部50を有する。制御部50は、例えばCPUとプログラムとを有するコンピュータである。プログラムには、プラズマ処理装置によるウエハWへの成膜処理やエッチング処理を行うための例えばガス導入系23からの各ガスの供給、高周波電源部26、40からの電力供給の制御等についてのステップ(命令)群が組まれている。プログラムは、例えばハードディスク、コンパクトディスク、メモリーカード等の記憶媒体に格納され、記憶媒体からコンピュータにインストールされる。 The plasma processing apparatus includes a control section 50 that controls each section. The control unit 50 is, for example, a computer having a CPU and a program. The program includes steps for, for example, supplying each gas from the gas introduction system 23 and controlling power supply from the high-frequency power supply units 26 and 40 to perform film formation processing and etching processing on the wafer W by the plasma processing apparatus. (commands) A group is formed. The program is stored in a storage medium such as a hard disk, compact disk, or memory card, and is installed into a computer from the storage medium.

(フォーカスリング交換方法)
次に、本発明の一実施形態のフォーカスリング交換方法について、図3に基づき説明する。図3は、一実施形態のフォーカスリング交換方法を説明するためのフローチャートである。
(Focus ring replacement method)
Next, a focus ring replacement method according to an embodiment of the present invention will be described based on FIG. 3. FIG. 3 is a flowchart for explaining a focus ring replacement method according to one embodiment.

以下では、前述のプロセスモジュールPM1の載置台3に載置されているフォーカスリングFRを交換する場合を例に挙げて説明する。具体的には、プロセスモジュールPM1において使用されたフォーカスリングをFOUPに収容し、FOUPに予め収容された未使用のフォーカスリングに交換する場合について説明する。なお、プロセスモジュールPM1以外のプロセスモジュールPM2~PM6の載置台3に載置されているフォーカスリングFRについても、同様の方法により交換することが可能である。また、本発明の一実施形態のフォーカスリング交換方法は、制御部CUによりプラズマ処理システムの各部が制御されることにより行われる。 In the following, a case where the focus ring FR placed on the mounting table 3 of the process module PM1 described above is replaced will be described as an example. Specifically, a case will be described in which the focus ring used in the process module PM1 is accommodated in a FOUP and replaced with an unused focus ring previously accommodated in the FOUP. Note that the focus ring FR placed on the mounting table 3 of the process modules PM2 to PM6 other than the process module PM1 can also be replaced by the same method. Further, the focus ring replacement method according to the embodiment of the present invention is performed by controlling each part of the plasma processing system by the control unit CU.

図3に示されるように、一実施形態のフォーカスリング交換方法は、消耗度判定ステップS10と、交換可否判定ステップS20と、第1のクリーニングステップS30と、搬出ステップS40と、第2のクリーニングステップS50と、搬入ステップS60と、シーズニングステップS70とを有する。以下、各々のステップについて説明する。 As shown in FIG. 3, the focus ring replacement method of one embodiment includes a wear level determination step S10, a replacement possibility determination step S20, a first cleaning step S30, an unloading step S40, and a second cleaning step. S50, a loading step S60, and a seasoning step S70. Each step will be explained below.

消耗度判定ステップS10は、プロセスモジュールPM1の載置台3に載置されているフォーカスリングFRの交換が必要か否かを判定するステップである。消耗度判定ステップS10では、制御部CUは、プロセスモジュールPM1の載置台3に載置されているフォーカスリングFRの交換が必要であるか否かを判定する。具体的には、制御部CUは、例えばRF積算時間、RF積算電力、レシピの特定ステップの積算値に基づいて、フォーカスリングFRの交換が必要であるか否かを判定する。RF積算時間とは、所定のプラズマ処理の際にプロセスモジュールPM1において高周波電力が供給された時間の積算値である。RF積算電力とは、所定のプラズマ処理の際にプロセスモジュールPM1において供給された高周波電力の積算値である。レシピの特定ステップの積算値とは、プロセスモジュールPM1において行われる処理のステップのうちフォーカスリングFRが削られるステップにおいて高周波電力が供給された時間の積算値や高周波電力の積算値である。なお、RF積算時間、RF積算電力及びレシピの特定ステップの積算値は、例えば装置が導入された時点、メンテナンスが実施された時点等、フォーカスリングFRを交換した時点を起点として算出される値である。 The wear degree determination step S10 is a step of determining whether or not the focus ring FR placed on the mounting table 3 of the process module PM1 needs to be replaced. In wear degree determination step S10, the control unit CU determines whether or not the focus ring FR placed on the mounting table 3 of the process module PM1 needs to be replaced. Specifically, the control unit CU determines whether or not the focus ring FR needs to be replaced, based on, for example, the RF integrated time, the RF integrated power, and the integrated value of a specific step of the recipe. The RF integrated time is an integrated value of the time during which high frequency power is supplied to the process module PM1 during a predetermined plasma process. The RF integrated power is an integrated value of high frequency power supplied in the process module PM1 during predetermined plasma processing. The integrated value of the specific step of the recipe is the integrated value of the time during which high-frequency power was supplied or the integrated value of high-frequency power in the step in which the focus ring FR is shaved out of the processing steps performed in the process module PM1. Note that the RF integrated time, RF integrated power, and integrated value of a specific step of a recipe are values calculated starting from the time when the focus ring FR is replaced, such as when the device is installed or when maintenance is performed. be.

RF積算時間に基づいてフォーカスリングFRの交換が必要であるか否かを判定する場合、制御部CUは、RF積算時間が閾値に達した場合、フォーカスリングFRを交換する必要があると判定する。これに対し、制御部CUは、RF積算時間が閾値に達していない場合、フォーカスリングFRを交換する必要がないと判定する。なお、閾値は、予備実験等により、フォーカスリングFRの材質等の種類に応じて定められる値である。 When determining whether or not the focus ring FR needs to be replaced based on the RF cumulative time, the control unit CU determines that the focus ring FR needs to be replaced when the RF cumulative time reaches a threshold value. . On the other hand, if the RF cumulative time has not reached the threshold, the control unit CU determines that there is no need to replace the focus ring FR. Note that the threshold value is a value determined according to the type of material of the focus ring FR, etc., through preliminary experiments and the like.

RF積算電力に基づいてフォーカスリングFRの交換が必要であるか否かを判定する場合、制御部CUは、RF積算電力が閾値に達した場合、フォーカスリングFRを交換する必要があると判定する。これに対し、制御部CUは、RF積算電力が閾値に達していない場合、フォーカスリングFRを交換する必要がないと判定する。なお、閾値は、予備実験等により、フォーカスリングFRの材質等の種類に応じて定められる値である。 When determining whether or not the focus ring FR needs to be replaced based on the RF integrated power, the control unit CU determines that the focus ring FR needs to be replaced when the RF integrated power reaches a threshold value. . On the other hand, if the RF integrated power has not reached the threshold value, the control unit CU determines that there is no need to replace the focus ring FR. Note that the threshold value is a value determined according to the type of material of the focus ring FR, etc., through preliminary experiments and the like.

レシピの特定ステップの積算値に基づいてフォーカスリングFRの交換が必要であるか否かを判定する場合、制御部CUは、特定のステップにおけるRF積算時間又はRF積算電力が閾値に達した場合、フォーカスリングFRの交換が必要である判定する。これに対し、制御部CUは、特定ステップにおけるRF積算時間又はRF積算電力が閾値に達していない場合、フォーカスリングFRを交換する必要がないと判定する。レシピの特定ステップの積算値に基づいてフォーカスリングFRの交換が必要であるか否かを判定する場合、高周波電力が印加され、フォーカスリングFRが削られるステップに基づいて、フォーカスリングFRを交換するタイミングを算出することができる。このため、特に高い精度でフォーカスリングFRを交換するタイミングを算出することができる。なお、閾値は、予備実験等により、フォーカスリングFRの材質等の種類に応じて定められる値である。 When determining whether or not the focus ring FR needs to be replaced based on the integrated value of a specific step of the recipe, the control unit CU determines whether or not the RF integrated time or RF integrated power in the specific step reaches a threshold value. It is determined that the focus ring FR needs to be replaced. On the other hand, if the RF integrated time or the RF integrated power in the specific step has not reached the threshold, the control unit CU determines that there is no need to replace the focus ring FR. When determining whether or not the focus ring FR needs to be replaced based on the integrated value of a specific step of the recipe, the focus ring FR is replaced based on the step in which high frequency power is applied and the focus ring FR is shaved. Timing can be calculated. Therefore, the timing to replace the focus ring FR can be calculated with particularly high accuracy. Note that the threshold value is a value determined according to the type of material of the focus ring FR, etc., through preliminary experiments and the like.

消耗度判定ステップS10において、プロセスモジュールPM1の載置台3に載置されているフォーカスリングFRの交換が必要であると判定した場合、制御部CUは、交換可否判定ステップS20を行う。消耗度判定ステップS10において、プロセスモジュールPM1の載置台3に載置されているフォーカスリングFRの交換が必要でないと判定した場合、制御部CUは、消耗度判定ステップS10を繰り返す。 If it is determined in the wear degree determination step S10 that the focus ring FR placed on the mounting table 3 of the process module PM1 needs to be replaced, the control unit CU performs a replaceability determination step S20. If it is determined in the wear level determination step S10 that the focus ring FR placed on the mounting table 3 of the process module PM1 does not need to be replaced, the control unit CU repeats the wear level determination step S10.

交換可否判定ステップS20は、プラズマ処理システムの状態が、フォーカスリングFRの交換を行うことができる状態であるか否かを判定するステップである。交換可否判定ステップS20では、制御部CUは、プラズマ処理システムの状態が、フォーカスリングFRの交換を行うことができる状態であるか否かを判定する。具体的には、制御部CUは、例えばフォーカスリングFRの交換を行うプロセスモジュールPM1においてウエハWに処理が行われていない場合、フォーカスリングFRの交換が可能であると判定する。これに対し、制御部CUは、プロセスモジュールPM1においてウエハWに処理が行われている場合、フォーカスリングFRの交換が可能ではないと判定する。また、制御部CUは、例えばフォーカスリングFRの交換を行うプロセスモジュールPM1において処理が行われているウエハWと同一のロットのウエハWの処理が終了した場合、フォーカスリングFRの交換が可能であると判定してもよい。この場合、制御部CUは、プロセスモジュールPM1において処理が行われているウエハWと同一のロットのウエハWの処理が終了するまでの間、フォーカスリングFRの交換が可能ではないと判定する。 The replacement possibility determination step S20 is a step of determining whether the state of the plasma processing system is such that the focus ring FR can be replaced. In replaceability determining step S20, the control unit CU determines whether the state of the plasma processing system is such that the focus ring FR can be replaced. Specifically, the control unit CU determines that the focus ring FR can be replaced, for example, when the wafer W is not processed in the process module PM1 that replaces the focus ring FR. On the other hand, if the wafer W is being processed in the process module PM1, the control unit CU determines that the focus ring FR cannot be replaced. Further, the control unit CU can replace the focus ring FR, for example, when processing of a wafer W of the same lot as the wafer W being processed in the process module PM1 that performs the replacement of the focus ring FR is completed. It may be determined that In this case, the control unit CU determines that the focus ring FR cannot be replaced until the processing of wafers W of the same lot as the wafer W being processed in the process module PM1 is completed.

交換可否判定ステップS20において、プラズマ処理システムの状態が、フォーカスリングFRの交換を行うことができる状態であると判定した場合、制御部CUは、第1のクリーニングステップS30を行う。交換可否判定ステップS20において、プラズマ処理システムの状態が、フォーカスリングFRの交換を行うことができない状態であると判定した場合、制御部CUは、交換可否判定ステップS20を繰り返す。 If it is determined in the replacement possibility determination step S20 that the state of the plasma processing system is such that the focus ring FR can be replaced, the control unit CU performs a first cleaning step S30. If it is determined in the replaceability determination step S20 that the state of the plasma processing system is such that the focus ring FR cannot be replaced, the control unit CU repeats the replaceability determination step S20.

第1のクリーニングステップS30は、プロセスモジュールPM1のクリーニング処理を行うステップである。第1のクリーニングステップS30では、制御部CUは、ガス導入系、排気系、電力導入系等を制御することにより、プロセスモジュールPM1のクリーニング処理を行う。クリーニング処理とは、プラズマ処理によって発生したプロセスモジュールPM1内の堆積物を処理ガスのプラズマ等により除去し、プロセスモジュールPM1内をクリーンな状態で安定させる処理である。第1のクリーニングステップS30を行うことにより、搬出ステップS40において載置台3からフォーカスリングFRを搬出する際、処理室10内の堆積物が巻き上がることを抑制することができる。処理ガスとしては、例えば、酸素(O)ガス、フッ化炭素(CF)系ガス、窒素(N)ガス、アルゴン(Ar)ガス、Heガス、あるいは、これらの二種以上の混合ガスを用いることができる。また、プロセスモジュールPM1のクリーニング処理を行う際、処理条件によっては載置台3の静電チャックを保護するために、静電チャックの上面にダミーウエハ等のウエハWを載置した状態でクリーニング処理を行ってもよい。なお、処理室10に堆積物が存在しない場合等、堆積物が巻き上がることがない場合には、第1のクリーニングステップS30を行わなくてもよい。また、静電チャックによりフォーカスリングFRが載置台3に吸着している場合には、次の搬出ステップS40までに除電処理を行う。 The first cleaning step S30 is a step of cleaning the process module PM1. In the first cleaning step S30, the control unit CU performs a cleaning process on the process module PM1 by controlling the gas introduction system, the exhaust system, the power introduction system, and the like. The cleaning process is a process that removes deposits inside the process module PM1 generated by the plasma process using plasma of a processing gas, etc., and stabilizes the inside of the process module PM1 in a clean state. By performing the first cleaning step S30, it is possible to suppress the deposits in the processing chamber 10 from being rolled up when the focus ring FR is carried out from the mounting table 3 in the carrying out step S40. As the processing gas, for example, oxygen (O 2 ) gas, fluorocarbon (CF)-based gas, nitrogen (N 2 ) gas, argon (Ar) gas, He gas, or a mixture of two or more of these gases may be used. Can be used. In addition, when cleaning the process module PM1, depending on the processing conditions, in order to protect the electrostatic chuck on the mounting table 3, the cleaning process may be performed with a wafer W such as a dummy wafer placed on the top surface of the electrostatic chuck. It's okay. Note that if the deposit does not roll up, such as when there is no deposit in the processing chamber 10, the first cleaning step S30 may not be performed. Furthermore, if the focus ring FR is attracted to the mounting table 3 by the electrostatic chuck, static elimination processing is performed before the next unloading step S40.

搬出ステップS40は、プロセスモジュールPM1を大気開放することなく、プロセスモジュールPM1内からフォーカスリングFRを搬出するステップである。搬出ステップS40では、制御部CUは、プロセスモジュールPM1を大気開放することなく、プロセスモジュールPM1内からフォーカスリングFRを搬出するようにプラズマ処理システムの各部を制御する。具体的には、ゲートバルブG1を開き、処理ユニット側搬送装置TR1により、プロセスモジュールPM1の内部の載置台3に載置されたフォーカスリングFRをプロセスモジュールPM1から搬出する。続いて、ゲートバルブG8を開き、処理ユニット側搬送装置TR1により、プロセスモジュールPM1から搬出されたフォーカスリングFRをロードロックモジュールLL2の受渡し台に載置する。続いて、ゲートバルブG8を閉じ、ロードロックモジュールLL2内の圧力調整後、ゲートバルブG10を開き、搬送ユニット側搬送装置TR2により、受渡し台に載置されたフォーカスリングFRをトランスファモジュールTMへ搬送する。続いて、開閉ドアD3を開き、搬送ユニット側搬送装置TR2により、ロードポートLP3に載置されたFOUPにフォーカスリングFRを収容する。 The carrying out step S40 is a step of carrying out the focus ring FR from inside the process module PM1 without exposing the process module PM1 to the atmosphere. In the unloading step S40, the control unit CU controls each part of the plasma processing system to unload the focus ring FR from inside the process module PM1 without opening the process module PM1 to the atmosphere. Specifically, the gate valve G1 is opened, and the focus ring FR placed on the mounting table 3 inside the process module PM1 is carried out from the process module PM1 by the processing unit side transfer device TR1. Subsequently, the gate valve G8 is opened, and the focus ring FR carried out from the process module PM1 is placed on the transfer table of the load lock module LL2 by the processing unit side transfer device TR1. Subsequently, the gate valve G8 is closed, and after adjusting the pressure inside the load lock module LL2, the gate valve G10 is opened, and the focus ring FR placed on the delivery stand is transported to the transfer module TM by the transport unit-side transport device TR2. . Subsequently, the opening/closing door D3 is opened, and the focus ring FR is accommodated in the FOUP placed on the load port LP3 by the transport unit-side transport device TR2.

第2のクリーニングステップS50は、プロセスモジュールPM1の載置台3のフォーカスリングFRが載置される面(フォーカスリング載置面34)をクリーニング処理するステップである。第2のクリーニングステップS50では、制御部CUは、ガス導入系、排気系、電力導入系等を制御することにより、プロセスモジュールPM1の載置台3のフォーカスリングFRが載置される面のクリーニング処理を行う。第2のクリーニングステップS50におけるクリーニング処理は、例えば第1のクリーニングステップS30と同様の方法で行うことができる。即ち、処理ガスとしては、例えば、Oガス、CF系ガス、Nガス、Arガス、Heガス、あるいは、これらの二種以上の混合ガスを用いることができる。また、プロセスモジュールPM1のクリーニング処理を行う際、処理条件によっては載置台3の静電チャックを保護するために、静電チャックの上面にダミーウエハ等のウエハWを載置した状態でクリーニング処理を行ってもよい。 The second cleaning step S50 is a step of cleaning the surface (focus ring mounting surface 34) on which the focus ring FR of the mounting table 3 of the process module PM1 is mounted. In the second cleaning step S50, the control unit CU performs a cleaning process on the surface on which the focus ring FR of the mounting table 3 of the process module PM1 is mounted by controlling the gas introduction system, the exhaust system, the power introduction system, etc. I do. The cleaning process in the second cleaning step S50 can be performed, for example, in the same manner as in the first cleaning step S30. That is, as the processing gas, for example, O 2 gas, CF-based gas, N 2 gas, Ar gas, He gas, or a mixture of two or more of these gases can be used. In addition, when cleaning the process module PM1, depending on the processing conditions, in order to protect the electrostatic chuck on the mounting table 3, the cleaning process may be performed with a wafer W such as a dummy wafer placed on the top surface of the electrostatic chuck. It's okay.

搬入ステップS60は、プロセスモジュールPM1を大気開放することなく、プロセスモジュールPM1内にフォーカスリングFRを搬入し、載置台3に載置するステップである。搬入ステップS60では、制御部CUは、プロセスモジュールPM1を大気開放することなく、プロセスモジュールPM1内にフォーカスリングFRを搬入するようにプラズマ処理システムの各部を制御する。具体的には、例えば開閉ドアD3を開き、搬送ユニット側搬送装置TR2により、ロードポートLP3に載置されたFOUPに収容された未使用のフォーカスリングFRを搬出する。続いて、ゲートバルブG9を開き、搬送ユニット側搬送装置TR2により、未使用のフォーカスリングFRをロードロックモジュールLL1の受渡し台に載置する。続いて、ゲートバルブG7及びゲートバルブG1を開き、処理ユニット側搬送装置TR1により、ロードロックモジュールLL1の受渡し台に載置された未使用のフォーカスリングFRを搬出し、プロセスモジュールPM1に搬入し、載置台3に載置する。 The carrying-in step S60 is a step of carrying the focus ring FR into the process module PM1 and placing it on the mounting table 3 without exposing the process module PM1 to the atmosphere. In the loading step S60, the control unit CU controls each part of the plasma processing system to load the focus ring FR into the process module PM1 without opening the process module PM1 to the atmosphere. Specifically, for example, the opening/closing door D3 is opened, and the unused focus ring FR accommodated in the FOUP placed on the load port LP3 is transported out by the transport unit side transport device TR2. Subsequently, the gate valve G9 is opened, and the unused focus ring FR is placed on the transfer table of the load lock module LL1 by the transfer unit side transfer device TR2. Subsequently, the gate valve G7 and the gate valve G1 are opened, and the unused focus ring FR placed on the transfer table of the load lock module LL1 is carried out by the processing unit side transfer device TR1, and carried into the process module PM1. Place it on the mounting table 3.

シーズニングステップS70は、プロセスモジュールPM1のシーズニング処理を行うステップである。シーズニングステップS70では、制御部CUは、ガス導入系、排気系、電力導入系等を制御することにより、プロセスモジュールPM1のシーズニング処理を行う。シーズニング処理とは、所定のプラズマ処理を行うことにより、プロセスモジュールPM1内の温度や堆積物の状態を安定させるための処理である。また、シーズニングステップS70では、プロセスモジュールPM1のシーズニング処理の後、プロセスモジュールPM1内に品質管理用ウエハを搬入し、品質管理用ウエハに対し、所定の処理を行ってもよい。これにより、プロセスモジュールPM1の状態が正常であるか否かを確認することができる。 The seasoning step S70 is a step in which the process module PM1 is seasoned. In the seasoning step S70, the control unit CU performs a seasoning process on the process module PM1 by controlling the gas introduction system, the exhaust system, the power introduction system, and the like. The seasoning process is a process for stabilizing the temperature and the state of deposits in the process module PM1 by performing a predetermined plasma process. Further, in the seasoning step S70, after the seasoning process of the process module PM1, the quality control wafer may be carried into the process module PM1, and a predetermined process may be performed on the quality control wafer. Thereby, it is possible to confirm whether the state of the process module PM1 is normal.

以上のステップにより、フォーカスリングFRを交換することができる。 Through the above steps, the focus ring FR can be replaced.

以上に説明したように、本発明の一実施形態のフォーカスリング交換方法では、処理室10を大気開放することなく、処理ユニット側搬送装置TR1により処理室10内からフォーカスリングFRを搬出し、処理室10内をクリーニング処理し、処理ユニット側搬送装置TR1により処理室10内にフォーカスリングFRを搬入する。これにより、作業者が手動でフォーカスリングFRの交換を行う必要がない。このため、フォーカスリングFRの交換に要する時間を短縮することができ、生産性が向上する。また、フォーカスリングFRの搬入前にフォーカスリング載置面34がクリーニングされることにより、フォーカスリングFRとフォーカスリング載置面34との間に堆積物が存在することを抑制できる。その結果、両者の接触が良好となることでフォーカスリングFRの温度制御性を良好に維持することができる。 As described above, in the focus ring replacement method according to the embodiment of the present invention, the focus ring FR is carried out from the processing chamber 10 by the processing unit side transport device TR1 and processed without opening the processing chamber 10 to the atmosphere. The inside of the chamber 10 is cleaned, and the focus ring FR is carried into the processing chamber 10 by the processing unit side transport device TR1. This eliminates the need for the operator to manually replace the focus ring FR. Therefore, the time required to replace the focus ring FR can be shortened, and productivity is improved. Further, by cleaning the focus ring mounting surface 34 before carrying in the focus ring FR, it is possible to suppress the presence of deposits between the focus ring FR and the focus ring mounting surface 34. As a result, the contact between the two becomes good, so that the temperature controllability of the focus ring FR can be maintained well.

(処理ユニット側搬送装置)
次に、処理ユニット側搬送装置TR1の一例について、図4に基づき説明する。図4は、図1の処理ユニット側搬送装置を説明するための図である。
(Processing unit side transport device)
Next, an example of the processing unit side transport device TR1 will be described based on FIG. 4. FIG. 4 is a diagram for explaining the processing unit side transport device of FIG. 1. FIG.

まず、処理ユニット側搬送装置TR1のスライド機構の一例について説明する。処理ユニット側搬送装置TR1の搬送アーム(第1アーム111、第2アーム121)は、例えば図4(a)に示されるように、基台131上に取付けられている。基台131は、案内レール132a、132b上をスライド軸であるY軸の方向(トランスファモジュールTMの長手方向)にスライド可能になっている。そして、例えばY軸用モータ133によって駆動するボールスクリュー134を基台131に螺合させ、Y軸用モータ133を駆動制御することにより、処理ユニット側搬送装置TR1の搬送アームのスライド駆動を制御できる。 First, an example of the slide mechanism of the processing unit side transport device TR1 will be described. The transport arms (first arm 111, second arm 121) of the processing unit side transport device TR1 are mounted on a base 131, for example, as shown in FIG. 4(a). The base 131 is slidable on the guide rails 132a and 132b in the direction of the Y axis (the longitudinal direction of the transfer module TM), which is a slide axis. For example, by screwing the ball screw 134 driven by the Y-axis motor 133 to the base 131 and controlling the drive of the Y-axis motor 133, the slide drive of the transfer arm of the processing unit side transfer device TR1 can be controlled. .

次に、処理ユニット側搬送装置TR1の旋回機構の一例について説明する。処理ユニット側搬送装置TR1の搬送アーム(第1アーム111、第2アーム121)は、例えば図4に示されるように、基台131上に旋回軸であるθ軸の方向に旋回可能に設けられた回転板135を介して取付けられている。回転板135は、例えば基台131上に設けられたθ軸用モータ136により駆動するようになっている。これにより、θ軸用モータ136を駆動制御することによって、処理ユニット側搬送装置TR1の搬送アームの旋回駆動を制御できる。 Next, an example of the turning mechanism of the processing unit side transport device TR1 will be explained. The transfer arms (first arm 111, second arm 121) of the processing unit side transfer device TR1 are provided on a base 131 so as to be pivotable in the direction of the θ axis, which is a pivot axis, as shown in FIG. 4, for example. It is attached via a rotating plate 135. The rotary plate 135 is driven by, for example, a θ-axis motor 136 provided on the base 131. Thereby, by controlling the drive of the θ-axis motor 136, the rotation drive of the transfer arm of the processing unit side transfer device TR1 can be controlled.

なお、処理ユニット側搬送装置TR1の搬送アームである第1アーム111及び第2アーム121は、それぞれ先端にピック112、122を備え、一度に2枚のウエハW又は2つのフォーカスリングFRを保持することができるようになっている。これにより、例えばプロセスモジュールPM1~PM6、ロードロックモジュールLL1、LL2に対してウエハW又はフォーカスリングFRを搬送する際、ウエハW又はフォーカスリングFRを交換するように搬送することができる。なお、処理ユニット側搬送装置TR1の搬送アームの数は上記のものに限定されず、例えば1つのみのアームを有するシングルアーム機構であってもよい。 Note that the first arm 111 and the second arm 121, which are the transfer arms of the processing unit side transfer device TR1, are equipped with picks 112 and 122 at their tips, respectively, and hold two wafers W or two focus rings FR at a time. It is now possible to do so. Thereby, when the wafer W or the focus ring FR is transferred to, for example, the process modules PM1 to PM6 and the load lock modules LL1 and LL2, the wafer W or the focus ring FR can be transferred so as to be replaced. Note that the number of transfer arms of the processing unit side transfer device TR1 is not limited to the above-mentioned number, and may be a single arm mechanism having only one arm, for example.

また、処理ユニット側搬送装置TR1は、搬送アームを伸縮させるための図示しない伸縮用モータを有する。伸縮用モータは、例えばθ軸用モータ136の下側に取付けられ、θ軸用モータ136とは独立して制御可能である。なお、処理ユニット側搬送装置TR1を駆動するモータとしては、上記の他、搬送アームを昇降させる昇降用モータ(図示しない)を設けるようにしてもよい。 Further, the processing unit side transport device TR1 has an extension motor (not shown) for extending and contracting the transport arm. The telescopic motor is attached, for example, below the θ-axis motor 136, and can be controlled independently of the θ-axis motor 136. In addition to the motor described above, a lifting motor (not shown) for raising and lowering the transport arm may be provided as a motor for driving the processing unit side transport device TR1.

処理ユニット側搬送装置TR1を駆動するためのθ軸用モータ136、Y軸用モータ133等は、それぞれ制御部CUに接続されており、制御部CUからの指令に基づいて駆動制御されるようになっている。 The θ-axis motor 136, Y-axis motor 133, etc. for driving the processing unit side transport device TR1 are each connected to the control unit CU, and are driven and controlled based on commands from the control unit CU. It has become.

なお、処理ユニット側搬送装置TR1の基台131には、例えば図1に示されるように、θ軸用モータ136等の配線を通すためのフレキシブルアーム137が接続されている。フレキシブルアーム137は、例えば筒状に形成されたアーム機構からなる。フレキシブルアーム137は気密に接続され、その内部はトランスファモジュールTMの底部に形成された孔部を介して大気と連通している。これにより、トランスファモジュールTM内は真空状態になっていても、フレキシブルアーム137内は大気圧状態であるため、配線の損傷等を防止することができる。 Note that, as shown in FIG. 1, for example, a flexible arm 137 is connected to the base 131 of the processing unit side transport device TR1, through which wiring for the θ-axis motor 136 and the like is passed. The flexible arm 137 is composed of, for example, a cylindrical arm mechanism. The flexible arm 137 is airtightly connected, and its interior communicates with the atmosphere through a hole formed in the bottom of the transfer module TM. Thereby, even if the inside of the transfer module TM is in a vacuum state, the inside of the flexible arm 137 is in an atmospheric pressure state, so that damage to the wiring can be prevented.

このように処理ユニット側搬送装置TR1によれば、案内レール132a、132bに沿ってスライド駆動させると共に搬送アームを伸縮させることができる。これにより、プロセスモジュールPM1~PM6及びロードロックモジュールLL1、LL2の各モジュール間でウエハW及びフォーカスリングFRを搬送することができる。 In this way, according to the processing unit side transport device TR1, it is possible to slide the transport arm along the guide rails 132a and 132b and to extend and retract the transport arm. Thereby, the wafer W and the focus ring FR can be transferred between the process modules PM1 to PM6 and the load lock modules LL1 and LL2.

次に、処理ユニット側搬送装置TR1のピック112の一例について説明する。図5は、図1の処理ユニット側搬送装置がウエハを保持した状態を示す図である。図5(a)は、ウエハWを保持したピック112を側面から見た図であり、図5(b)は、ウエハWを保持したピック112を上面から見た図である。図6は、図1の処理ユニット側搬送装置がフォーカスリングを保持した状態を示す図である。図6(a)は、フォーカスリングFRを保持したピック112を側面から見た図であり、図6(b)は、フォーカスリングFRを保持したピック112を上面から見た図である。なお、図5及び図6では、ピック112を例に挙げて説明するが、ピック122についても同様とすることができる。 Next, an example of the pick 112 of the processing unit side transport device TR1 will be described. FIG. 5 is a diagram showing a state in which the processing unit side transfer device of FIG. 1 holds a wafer. 5A is a side view of the pick 112 holding the wafer W, and FIG. 5B is a top view of the pick 112 holding the wafer W. FIG. 6 is a diagram showing a state in which the processing unit side transport device of FIG. 1 holds a focus ring. FIG. 6(a) is a side view of the pick 112 holding the focus ring FR, and FIG. 6(b) is a top view of the pick 112 holding the focus ring FR. Although the pick 112 will be described as an example in FIGS. 5 and 6, the same can be applied to the pick 122.

図5に示されるように、ピック112には、ウエハWの外周縁部を保持する複数(例えば3つ)の突起部113が形成されている。突起部113は、例えば円錐台形状であり、ウエハWの外周縁部に沿うように配置され、突起部113が円錐台形状のテーパ部114においてウエハWの外周縁部と当接することによってピック112に対するウエハWの位置ずれを防止する。突起部113は、例えばエラストマーにより形成されている。 As shown in FIG. 5, the pick 112 is formed with a plurality (for example, three) of protrusions 113 that hold the outer peripheral edge of the wafer W. The protrusion 113 has a truncated cone shape, for example, and is arranged along the outer peripheral edge of the wafer W. When the protrusion 113 comes into contact with the outer peripheral edge of the wafer W at the truncated cone-shaped taper part 114, the pick 112 This prevents misalignment of the wafer W with respect to the wafer W. The protrusion 113 is made of, for example, an elastomer.

また、図6に示されるように、突起部113は、円錐台形状の上面115においてフォーカスリングFRの下面と当接することによってフォーカスリングFRを保持することが可能となっている。これは、前述したように、フォーカスリングFRの内径がウエハWの外径よりも小さく形成されているからである。このように処理ユニット側搬送装置TR1は、1つのピック112により、ウエハW及びフォーカスリングFRを保持することができるようになっている。 Further, as shown in FIG. 6, the protrusion 113 can hold the focus ring FR by abutting the lower surface of the focus ring FR on the truncated conical upper surface 115. This is because the inner diameter of the focus ring FR is smaller than the outer diameter of the wafer W, as described above. In this way, the processing unit side transfer device TR1 can hold the wafer W and the focus ring FR with one pick 112.

以上に説明したように、ピック112は、突起部113のテーパ部114でウエハWを保持し、突起部113の上面115でフォーカスリングFRを保持するので、ピック112の長さを長くすることなく、フォーカスリングFRを保持することができる。これにより、ピック112によりウエハWやフォーカスリングFRを搬送する際、ピック112の先端が他の部位(例えばFOUPの内壁面)に接触することを防止できる。なお、図5及び図6では、突起部113が3つの場合を例に挙げて説明したが、突起部113の数はこれに限定されるものではない。 As explained above, the pick 112 holds the wafer W with the tapered part 114 of the protrusion 113 and holds the focus ring FR with the upper surface 115 of the protrusion 113, so the length of the pick 112 does not need to be increased. , the focus ring FR can be held. Thereby, when the wafer W or the focus ring FR is transported by the pick 112, the tip of the pick 112 can be prevented from coming into contact with other parts (for example, the inner wall surface of the FOUP). 5 and 6, the case where there are three protrusions 113 has been described as an example, but the number of protrusions 113 is not limited to this.

また、処理ユニット側搬送装置TR1は、フォーカスリングFRを保持した状態で旋回する際、旋回半径が最小となるように旋回することが好ましい。これにより、ピック112に保持されたフォーカスリングFRが他の部位に接触することを防止することができる。さらに、2つのピック112、122が略同一の平面において旋回する場合、一方のピック112でウエハWを保持し、他方のピック122でフォーカスリングFRを保持した場合であっても、ウエハWとフォーカスリングFRとが接触することを防止できる。 Moreover, when the processing unit side transport device TR1 turns while holding the focus ring FR, it is preferable to turn so that the turning radius becomes the minimum. This can prevent the focus ring FR held by the pick 112 from coming into contact with other parts. Further, when the two picks 112 and 122 rotate in substantially the same plane, even if one pick 112 holds the wafer W and the other pick 122 holds the focus ring FR, the wafer W and the focus Contact with ring FR can be prevented.

(位置検出センサ)
次に、位置検出センサの一例について、図7に基づき説明する。図7は図1の位置検出センサを説明するための図であり、図1における一点鎖線1A-1Bにおいて切断した断面の一部を示している。
(Position detection sensor)
Next, an example of a position detection sensor will be described based on FIG. 7. FIG. 7 is a diagram for explaining the position detection sensor of FIG. 1, and shows a part of a cross section taken along the dashed line 1A-1B in FIG.

図7に示されるように、位置検出センサS11は、投光部310と受光部320とを有する。投光部310はトランスファモジュールTMの上壁330に設けられ、受光部320はトランスファモジュールTMの下壁340に設けられている。投光部310は、受光部320に向けてレーザ光Lを照射する。受光部320は、投光部310から照射されたレーザ光Lの受光の有無を検出する。なお、図7では、位置検出センサS11の投光部310及び受光部320を例示しているが、位置検出センサS12についても、位置検出センサS11と同様に投光部及び受光部を有している。これにより、位置検出センサS11の投光部310から受光部320へ照射されたレーザ光Lは、トランスファモジュールTMからプロセスモジュールPM1へ搬送されるウエハW又はフォーカスリングFRにより所定の時間だけ遮られる。また、位置検出センサS12の投光部から受光部へ照射されたレーザ光Lは、トランスファモジュールTMからプロセスモジュールPM1へ搬送されるウエハW又はフォーカスリングFRにより所定の時間だけ遮られる。 As shown in FIG. 7, the position detection sensor S11 includes a light projecting section 310 and a light receiving section 320. The light projecting section 310 is provided on the upper wall 330 of the transfer module TM, and the light receiving section 320 is provided on the lower wall 340 of the transfer module TM. The light projector 310 emits laser light L toward the light receiver 320 . The light receiving section 320 detects whether or not the laser beam L emitted from the light projecting section 310 is received. Although FIG. 7 illustrates the light emitting part 310 and the light receiving part 320 of the position detection sensor S11, the position detection sensor S12 also has a light emitting part and a light receiving part similarly to the position detection sensor S11. There is. Thereby, the laser light L irradiated from the light projecting section 310 to the light receiving section 320 of the position detection sensor S11 is blocked for a predetermined time by the wafer W or the focus ring FR transferred from the transfer module TM to the process module PM1. Further, the laser light L irradiated from the light projecting part to the light receiving part of the position detection sensor S12 is blocked for a predetermined time by the wafer W or the focus ring FR transferred from the transfer module TM to the process module PM1.

次に、ウエハW及びフォーカスリングFRの位置を補正する方法に説明する。 Next, a method for correcting the positions of the wafer W and the focus ring FR will be explained.

本発明の一実施形態のウエハW及びフォーカスリングFRの位置を補正する方法では、制御部CUが、ウエハWの位置補正及びフォーカスリングFRの位置補正を同一の位置検出センサにより行う。以下、具体的に説明する。 In the method for correcting the positions of the wafer W and focus ring FR according to an embodiment of the present invention, the control unit CU corrects the position of the wafer W and the focus ring FR using the same position detection sensor. This will be explained in detail below.

まず、トランスファモジュールTMからプロセスモジュールPM1へウエハWを搬送する場合について、図8に基づき説明する。図8は、ウエハの位置を補正する方法を説明するための図である。図8(a)は、ウエハWの位置と位置検出センサの位置との関係を示している。図8(b)は、ウエハWが図8(a)における位置P11を起点としてウエハWを位置P14まで搬送したときの位置検出センサS11、S12のセンサ出力の変化を示している。なお、図8(b)において、位置P11での時刻をt11、位置P12での時刻をt12、位置P13での時刻をt13、位置P14での時刻をt14で示している。 First, the case where the wafer W is transferred from the transfer module TM to the process module PM1 will be described based on FIG. 8. FIG. 8 is a diagram for explaining a method of correcting the wafer position. FIG. 8(a) shows the relationship between the position of the wafer W and the position of the position detection sensor. FIG. 8(b) shows changes in the sensor outputs of the position detection sensors S11 and S12 when the wafer W is transported from position P11 in FIG. 8(a) to position P14. In addition, in FIG. 8(b), the time at position P11 is shown as t11, the time at position P12 is shown as t12, the time at position P13 is shown as t13, and the time at position P14 is shown as t14.

制御部CUは、位置検出センサS11、S12により検出されるウエハWの位置と予め定められた基準位置とに基づいて、ピック112に保持されたウエハWの基準位置からのずれ量を算出する。続いて、制御部CUは、処理ユニット側搬送装置TR1により、算出されたずれ量を補正するようにプロセスモジュールPM1の載置台3にウエハWを載置する。これにより、ピック112に保持されたウエハWの位置が基準位置からずれていた場合であっても、プロセスモジュールPM1の載置台3の所定の位置にウエハWを載置することができる。 The control unit CU calculates the amount of deviation of the wafer W held by the pick 112 from the reference position based on the position of the wafer W detected by the position detection sensors S11 and S12 and a predetermined reference position. Subsequently, the control unit CU uses the processing unit side transfer device TR1 to place the wafer W on the mounting table 3 of the process module PM1 so as to correct the calculated amount of deviation. Thereby, even if the position of the wafer W held by the pick 112 deviates from the reference position, the wafer W can be placed at a predetermined position on the mounting table 3 of the process module PM1.

ピック112に保持されたウエハWの位置は、ウエハWの外周縁部が位置検出センサS11、S12を通過することにより生じる位置検出センサS11、S12のセンサ出力の変化に基づいて算出することができる。例えば図8(a)に示されるように、位置P11から位置P14までウエハWを搬送する場合、位置検出センサS11、S12がウエハWにより遮光される位置P12から位置P13までの時間T1に基づいて算出することができる。具体的には、図8(b)に示されるように、位置P12での時刻t12及び位置P13での時刻t13を用いて、T1=t13-t12により算出することができる。なお、図8では、ウエハWにより位置検出センサS11が遮光されるときの位置と位置検出センサS12が遮光されるときの位置とが同じ場合を示しているが、これらの位置は異なっていてもよい。 The position of the wafer W held by the pick 112 can be calculated based on changes in the sensor outputs of the position detection sensors S11 and S12 that occur when the outer peripheral edge of the wafer W passes through the position detection sensors S11 and S12. . For example, as shown in FIG. 8A, when the wafer W is transported from the position P11 to the position P14, the position detection sensors S11 and S12 are detected based on the time T1 from the position P12 to the position P13 where the wafer W blocks light. It can be calculated. Specifically, as shown in FIG. 8(b), it can be calculated by T1=t13-t12 using time t12 at position P12 and time t13 at position P13. Note that although FIG. 8 shows a case where the position when the position detection sensor S11 is shielded from light by the wafer W and the position when the position detection sensor S12 is shielded from light are the same, even if these positions are different, good.

基準位置は、例えば処理ユニット側搬送装置TR1の第1アーム111の旋回用モータ及び伸縮用モータのエンコーダ位置に基づいて算出することができる。なお、基準位置を算出する方法は、これに限定されず、各種の既存の方法を用いることができる。 The reference position can be calculated, for example, based on the encoder positions of the rotation motor and the telescopic motor of the first arm 111 of the processing unit side transport device TR1. Note that the method for calculating the reference position is not limited to this, and various existing methods can be used.

次に、トランスファモジュールTMからプロセスモジュールPM1へフォーカスリングFRを搬送する場合について、図9に基づき説明する。図9は、フォーカスリングの位置を補正する方法を説明するための図である。図9(a)は、フォーカスリングFRの位置と位置検出センサの位置との関係を示している。図9(b)は、フォーカスリングFRが図9(b)における位置P21を起点としてフォーカスリングFRを位置P24まで搬送したときの位置検出センサS11、S12のセンサ出力の変化を示している。なお、図9(b)において、位置P21での時刻をt21、位置P22での時刻をt22、位置P23での時刻をt23、位置P24での時刻をt24で示している。 Next, the case of transporting the focus ring FR from the transfer module TM to the process module PM1 will be described based on FIG. 9. FIG. 9 is a diagram for explaining a method of correcting the position of the focus ring. FIG. 9(a) shows the relationship between the position of the focus ring FR and the position of the position detection sensor. FIG. 9(b) shows changes in the sensor outputs of the position detection sensors S11 and S12 when the focus ring FR is transported from position P21 in FIG. 9(b) to position P24. In FIG. 9B, the time at position P21 is shown as t21, the time at position P22 is shown as t22, the time at position P23 is shown as t23, and the time at position P24 is shown as t24.

制御部CUは、位置検出センサS11、S12により検出されるフォーカスリングFRの位置と予め定められた基準位置とに基づいて、フォーカスリングFRの基準位置からのずれ量を算出する。続いて、制御部CUは、処理ユニット側搬送装置TR1により、算出されたずれ量を補正するようにプロセスモジュールPM1の載置台3にフォーカスリングFRを載置する。これにより、ピック112に保持されたフォーカスリングFRの位置が基準位置からずれていた場合であっても、プロセスモジュールPM1の載置台3の所定の位置にフォーカスリングFRを載置することができる。 The control unit CU calculates the amount of deviation of the focus ring FR from the reference position based on the position of the focus ring FR detected by the position detection sensors S11 and S12 and a predetermined reference position. Subsequently, the control unit CU uses the processing unit side transport device TR1 to place the focus ring FR on the mounting table 3 of the process module PM1 so as to correct the calculated amount of deviation. Thereby, even if the position of the focus ring FR held by the pick 112 deviates from the reference position, the focus ring FR can be placed at a predetermined position on the mounting table 3 of the process module PM1.

ピック112に保持されたフォーカスリングFRの位置は、フォーカスリングFRの内周縁部が位置検出センサS11、S12を通過することにより生じる位置検出センサS11、S12の出力の変化に基づいて算出することができる。例えば図9(a)に示されるように、位置P21から位置P24までフォーカスリングFRを搬送する場合、位置P22から位置P23までフォーカスリングFRが移動する時間T2に基づいて算出することができる。位置P22は、位置検出センサS11、S12のセンサ出力がロー(L)レベルからハイ(H)レベルに変化する位置であり、位置P23は、位置検出センサS11、S12のセンサ出力がハイ(H)レベルからロー(L)レベルに変化する位置である。具体的には、図9(b)に示されるように、位置P22での時刻t22及び位置P23での時刻t23を用いて、T2=t23-t22により算出することができる。なお、図9では、フォーカスリングFRにより位置検出センサS11が遮光される位置と位置検出センサS12が遮光される位置とが同じ場合を示しているが、これらの位置は異なっていてもよい。 The position of the focus ring FR held by the pick 112 can be calculated based on changes in the outputs of the position detection sensors S11 and S12 that occur when the inner peripheral edge of the focus ring FR passes through the position detection sensors S11 and S12. can. For example, as shown in FIG. 9A, when the focus ring FR is transported from the position P21 to the position P24, it can be calculated based on the time T2 for the focus ring FR to move from the position P22 to the position P23. Position P22 is the position where the sensor outputs of the position detection sensors S11 and S12 change from low (L) level to high (H) level, and position P23 is the position where the sensor outputs of the position detection sensors S11 and S12 change to high (H) level. This is the position where the level changes from the low (L) level. Specifically, as shown in FIG. 9(b), it can be calculated by T2=t23-t22 using time t22 at position P22 and time t23 at position P23. Although FIG. 9 shows a case where the position where the position detection sensor S11 is shielded from light by the focus ring FR and the position where the position detection sensor S12 is shielded from light are the same, these positions may be different.

また、搬送中にフォーカスリングFRが破損ないし落下した場合、図9にて示される波形を検出できない。この場合には、フォーカスリング搬送の異常と判断し、搬送処理を中断する。 Furthermore, if the focus ring FR is damaged or falls during transportation, the waveform shown in FIG. 9 cannot be detected. In this case, it is determined that there is an abnormality in the focus ring transport, and the transport process is interrupted.

基準位置は、例えば処理ユニット側搬送装置TR1の第1アーム111の旋回用モータ及び伸縮用モータのエンコーダ位置に基づいて算出することができる。なお、基準位置を算出する方法は、これに限定されず、各種の既存の方法を用いることができる。 The reference position can be calculated, for example, based on the encoder positions of the rotation motor and the telescopic motor of the first arm 111 of the processing unit side transport device TR1. Note that the method for calculating the reference position is not limited to this, and various existing methods can be used.

以上、本発明の好ましい実施形態について説明したが、本発明は係る特定の実施形態に限定されるものではなく、特許請求の範囲内に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。 Although the preferred embodiments of the present invention have been described above, the present invention is not limited to such specific embodiments, and various modifications can be made within the scope of the gist of the present invention as described in the claims. - Can be changed.

上記の実施形態では、第1のクリーニングステップS30及び第2のクリーニングステップS50において、プラズマを用いたクリーニング処理を行う場合を例に挙げて説明したが、これに限定されない。例えば、プラズマを用いずにガス衝撃力、ガス粘性力及び電磁応力を用いて処理室内部の構成部品からパーティクルを剥離させると共に処理室内部から排出するNPPC(Non Plasma Particle Cleaning)を用いた処理であってもよい(特開2005-101539号公報)。 In the above embodiment, an example has been described in which a cleaning process using plasma is performed in the first cleaning step S30 and the second cleaning step S50, but the present invention is not limited thereto. For example, a process using NPPC (Non Plasma Particle Cleaning), which uses gas impact force, gas viscous force, and electromagnetic stress without using plasma to exfoliate particles from components inside the processing chamber and discharge them from the processing chamber. (Japanese Patent Laid-Open No. 2005-101539).

3 載置台
10 処理室
112 ピック
113 突起部
FR フォーカスリング
PM プロセスモジュール
TM トランスファモジュール
TR1 処理ユニット側搬送装置
TR2 搬送ユニット側搬送装置
W ウエハ
3 Mounting table 10 Processing chamber 112 Pick 113 Projection FR Focus ring PM Process module TM Transfer module TR1 Processing unit side transfer device TR2 Transfer unit side transfer device W Wafer

Claims (23)

プロセスモジュールと、
前記プロセスモジュールが接続される第1の搬送モジュールと、
前記第1の搬送モジュールの内部に設けられ、前記第1の搬送モジュールから前記プロセスモジュールへのフォーカスリングの搬送に用いられる搬送装置と、
前記搬送装置により搬送される前記フォーカスリングの搬送経路上であって、前記フォーカスリングを検出できる位置に設けられる位置検出センサと、
を備え、
前記位置検出センサは、前記第1の搬送モジュールから前記プロセスモジュールへの前記フォーカスリングの搬送中に前記フォーカスリングが前記位置検出センサを通過することにより生じる出力に基づいて、前記フォーカスリングの位置を検出する、
プラズマ処理システム。
a process module;
a first transport module to which the process module is connected;
a transport device provided inside the first transport module and used to transport the focus ring from the first transport module to the process module;
a position detection sensor provided on a conveyance path of the focus ring conveyed by the conveyance device and at a position capable of detecting the focus ring;
Equipped with
The position detection sensor detects the position of the focus ring based on an output generated when the focus ring passes through the position detection sensor during transport of the focus ring from the first transport module to the process module. To detect,
Plasma treatment system.
前記位置検出センサは、前記フォーカスリングが移動しているときに、前記フォーカスリングの位置を検出する、
請求項1に記載のプラズマ処理システム。
The position detection sensor detects the position of the focus ring when the focus ring is moving.
The plasma processing system according to claim 1.
プロセスモジュールと、
前記プロセスモジュールが接続される第1の搬送モジュールと、
前記第1の搬送モジュールに接続されるロードロックモジュールと、
前記ロードロックモジュールと接続される第2の搬送モジュールと、
前記第2の搬送モジュールに接続され、フォーカスリングを収容可能な容器が載置されるロードポートと、
前記第1の搬送モジュールの内部に設けられ、前記プロセスモジュール及び前記ロードロックモジュールの間で前記フォーカスリングの搬送に用いられる搬送装置と、
前記搬送装置により前記第1の搬送モジュールから前記プロセスモジュールへ搬送される前記フォーカスリングの搬送経路上であって、前記フォーカスリングを検出できる位置に設けられる位置検出センサと、
を備え、
前記位置検出センサは、前記フォーカスリングが前記位置検出センサを通過することにより生じる出力に基づいて、前記フォーカスリングの位置を検出する、
プラズマ処理システム。
a process module;
a first transport module to which the process module is connected;
a load lock module connected to the first transport module;
a second transport module connected to the load lock module;
a load port connected to the second transport module, on which a container capable of accommodating a focus ring is placed;
a transport device provided inside the first transport module and used to transport the focus ring between the process module and the load lock module;
a position detection sensor provided on a transport path of the focus ring transported from the first transport module to the process module by the transport device and at a position capable of detecting the focus ring;
Equipped with
The position detection sensor detects the position of the focus ring based on an output generated when the focus ring passes the position detection sensor.
Plasma treatment system.
前記第1の搬送モジュールに接続されるロードロックモジュールと、
前記ロードロックモジュールに接続される第2の搬送モジュールと、
前記第2の搬送モジュールに接続されるロードポートと、
を備え、
前記ロードポートには、前記フォーカスリングを収容可能な容器が載置される、
請求項1又は2に記載のプラズマ処理システム。
a load lock module connected to the first transport module;
a second transport module connected to the load lock module;
a load port connected to the second transport module;
Equipped with
A container capable of accommodating the focus ring is placed on the load port.
The plasma processing system according to claim 1 or 2.
前記位置検出センサは、投光部と受光部とを有し、
前記投光部は、前記受光部に向けて光を照射し、
前記受光部は、前記投光部から照射された前記光の受光の有無を検出する、
請求項1乃至4のいずれか一項に記載のプラズマ処理システム。
The position detection sensor has a light emitter and a light receiver,
The light projecting section emits light toward the light receiving section,
The light receiving unit detects whether or not the light emitted from the light projecting unit is received.
The plasma processing system according to any one of claims 1 to 4.
前記投光部及び前記受光部は、それぞれ前記第1の搬送モジュールに設けられる、
請求項5に記載のプラズマ処理システム。
The light projecting section and the light receiving section are each provided in the first transport module,
The plasma processing system according to claim 5.
前記投光部は、前記第1の搬送モジュールの上壁及び下壁のうちの一方に設けられ、
前記受光部は、前記上壁及び前記下壁のうちの他方に設けられる、
請求項5又は6に記載のプラズマ処理システム。
The light projector is provided on one of an upper wall and a lower wall of the first transport module,
The light receiving section is provided on the other of the upper wall and the lower wall,
The plasma processing system according to claim 5 or 6.
前記位置検出センサは、前記フォーカスリングの内周縁部を検出する位置に設けられる、
請求項1乃至7のいずれか一項に記載のプラズマ処理システム。
The position detection sensor is provided at a position that detects an inner peripheral edge of the focus ring.
A plasma processing system according to any one of claims 1 to 7.
前記位置検出センサは、前記第1の搬送モジュールにおける前記プロセスモジュールの入口に対応した位置に設けられる、
請求項1乃至8のいずれか一項に記載のプラズマ処理システム。
The position detection sensor is provided at a position corresponding to the entrance of the process module in the first transport module.
A plasma processing system according to any one of claims 1 to 8.
前記位置検出センサは、水平方向に離隔して2つ以上設けられる、
請求項9に記載のプラズマ処理システム。
Two or more position detection sensors are provided spaced apart in the horizontal direction,
The plasma processing system according to claim 9.
前記位置検出センサは、ピックに保持された前記フォーカスリングの位置を検出する、
請求項1乃至10のいずれか一項に記載のプラズマ処理システム。
The position detection sensor detects the position of the focus ring held by a pick.
A plasma processing system according to any one of claims 1 to 10.
前記位置検出センサは、前記フォーカスリングが直動運動をしている最中に、ピックに保持された前記フォーカスリングの位置を検出する、
請求項1乃至11のいずれか一項に記載のプラズマ処理システム。
The position detection sensor detects the position of the focus ring held by a pick while the focus ring is performing linear motion.
A plasma processing system according to any one of claims 1 to 11.
前記位置検出センサは、前記フォーカスリングが前記第1の搬送モジュールから前記プロセスモジュールに向けて直進運動をしている最中に、ピックに保持された前記フォーカスリングの位置を検出する、
請求項12のいずれか一項に記載のプラズマ処理システム。
The position detection sensor detects the position of the focus ring held by the pick while the focus ring is moving straight from the first transport module toward the process module.
13. The plasma processing system according to claim 12.
前記搬送装置は、前記フォーカスリングの搬送中に検出された前記フォーカスリングの位置に基づき位置補正された前記フォーカスリングを、前記プロセスモジュール内に設けられた昇降ピンに受け渡す、
請求項1乃至13のいずれか一項に記載のプラズマ処理システム。
The conveyance device transfers the focus ring whose position has been corrected based on the position of the focus ring detected during conveyance of the focus ring to a lift pin provided in the process module.
A plasma processing system according to any one of claims 1 to 13.
制御部を更に備え、
前記制御部は、前記位置検出センサの出力に基づいて、予め定められた位置からの前記フォーカスリングのずれ量を算出するように構成される、
請求項1乃至14のいずれか一項に記載のプラズマ処理システム。
further comprising a control section,
The control unit is configured to calculate a shift amount of the focus ring from a predetermined position based on an output of the position detection sensor.
A plasma processing system according to any one of claims 1 to 14.
前記制御部は、前記位置検出センサにより検出される前記フォーカスリングの位置と予め定められた基準位置とに基づいて、前記フォーカスリングの基準位置からのずれ量を算出するように構成される、
請求項15に記載のプラズマ処理システム。
The control unit is configured to calculate the amount of deviation of the focus ring from a reference position based on the position of the focus ring detected by the position detection sensor and a predetermined reference position.
The plasma processing system according to claim 15.
前記制御部は、算出した前記ずれ量を補正するように前記プロセスモジュールへ前記フォーカスリングを搬送するよう前記搬送装置を制御するように構成される、
請求項15又は16に記載のプラズマ処理システム。
The control unit is configured to control the transport device to transport the focus ring to the process module so as to correct the calculated deviation amount.
The plasma processing system according to claim 15 or 16.
制御部を更に備え、
前記制御部は、前記フォーカスリングの位置を、前記フォーカスリングを検出する第1の位置から前記フォーカスリングを再度検出する第2の位置まで前記フォーカスリングが移動する時間に基づいて算出する、
請求項1乃至17のいずれか一項に記載のプラズマ処理システム。
further comprising a control section,
The control unit calculates the position of the focus ring based on the time it takes for the focus ring to move from a first position where the focus ring is detected to a second position where the focus ring is detected again.
A plasma processing system according to any one of claims 1 to 17.
制御部を更に備え、
前記制御部は、前記位置検出センサの出力の波形に基づいて、ピック上の前記フォーカスリングの有無を検出する、
請求項1乃至18のいずれか一項に記載のプラズマ処理システム。
further comprising a control section,
The control unit detects the presence or absence of the focus ring on the pick based on the waveform of the output of the position detection sensor.
A plasma processing system according to any one of claims 1 to 18.
前記プロセスモジュールは、ゲートバルブを介して前記第1の搬送モジュールに接続され、
前記位置検出センサは、前記ゲートバルブに隣接した位置に設けられる、
請求項1乃至5のいずれか一項に記載のプラズマ処理システム。
The process module is connected to the first transfer module via a gate valve,
The position detection sensor is provided at a position adjacent to the gate valve.
The plasma processing system according to any one of claims 1 to 5.
前記位置検出センサは、前記内周縁部を通過することによる第1の出力の変化と、他の内周縁部を通過することによる第2の出力の変化に基づき、前記フォーカスリングの位置を検出する、
請求項8に記載のプラズマ処理システム。
The position detection sensor detects the position of the focus ring based on a change in the first output due to passing through the inner circumferential edge and a change in the second output due to passing through another inner circumferential edge. ,
The plasma processing system according to claim 8.
前記第1の出力の変化は、ローレベルからハイレベルへの出力の変化であり、前記第2の出力の変化は、ハイレベルからローレベルへの出力の変化である、
請求項21に記載のプラズマ処理システム。
The change in the first output is a change in output from a low level to a high level, and the change in the second output is a change in output from a high level to a low level.
The plasma processing system according to claim 21.
前記位置検出センサは、前記第1の出力の変化から前記第2の出力の変化までの時間に基づき、前記フォーカスリングの位置を検出する、
請求項21又は22に記載のプラズマ処理システム。
The position detection sensor detects the position of the focus ring based on a time from a change in the first output to a change in the second output.
The plasma processing system according to claim 21 or 22.
JP2022161487A 2020-12-16 2022-10-06 plasma treatment system Active JP7425149B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2022161487A JP7425149B2 (en) 2020-12-16 2022-10-06 plasma treatment system
JP2024005811A JP2024026843A (en) 2020-12-16 2024-01-18 Plasma processing system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020208309A JP7157127B2 (en) 2020-12-16 2020-12-16 Picks, transfer equipment and plasma processing systems
JP2022161487A JP7425149B2 (en) 2020-12-16 2022-10-06 plasma treatment system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020208309A Division JP7157127B2 (en) 2020-12-16 2020-12-16 Picks, transfer equipment and plasma processing systems

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2024005811A Division JP2024026843A (en) 2020-12-16 2024-01-18 Plasma processing system

Publications (3)

Publication Number Publication Date
JP2022173574A JP2022173574A (en) 2022-11-18
JP2022173574A5 JP2022173574A5 (en) 2023-03-14
JP7425149B2 true JP7425149B2 (en) 2024-01-30

Family

ID=75381531

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2020208309A Active JP7157127B2 (en) 2020-12-16 2020-12-16 Picks, transfer equipment and plasma processing systems
JP2022161487A Active JP7425149B2 (en) 2020-12-16 2022-10-06 plasma treatment system
JP2024005811A Pending JP2024026843A (en) 2020-12-16 2024-01-18 Plasma processing system

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020208309A Active JP7157127B2 (en) 2020-12-16 2020-12-16 Picks, transfer equipment and plasma processing systems

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2024005811A Pending JP2024026843A (en) 2020-12-16 2024-01-18 Plasma processing system

Country Status (1)

Country Link
JP (3) JP7157127B2 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004200219A (en) 2002-12-16 2004-07-15 Tokyo Electron Ltd Treatment equipment and treatment method
JP2012216614A (en) 2011-03-31 2012-11-08 Tokyo Electron Ltd Substrate processing apparatus
JP2016046451A (en) 2014-08-26 2016-04-04 株式会社アルバック Substrate processing apparatus and substrate processing method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09157839A (en) * 1995-12-04 1997-06-17 Hitachi Ltd Thin film forming device
JP2006278396A (en) * 2005-03-28 2006-10-12 Tokyo Electron Ltd Processor and program
JP5650935B2 (en) * 2009-08-07 2015-01-07 東京エレクトロン株式会社 Substrate processing apparatus, positioning method, and focus ring arrangement method
JP2015032816A (en) * 2013-08-07 2015-02-16 東京エレクトロン株式会社 Pick, conveying device, processing device and conveyance method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004200219A (en) 2002-12-16 2004-07-15 Tokyo Electron Ltd Treatment equipment and treatment method
JP2012216614A (en) 2011-03-31 2012-11-08 Tokyo Electron Ltd Substrate processing apparatus
JP2016046451A (en) 2014-08-26 2016-04-04 株式会社アルバック Substrate processing apparatus and substrate processing method

Also Published As

Publication number Publication date
JP2024026843A (en) 2024-02-28
JP7157127B2 (en) 2022-10-19
JP2022173574A (en) 2022-11-18
JP2021061415A (en) 2021-04-15

Similar Documents

Publication Publication Date Title
KR102459565B1 (en) Pick, transfer device and plasma processing system
US20190122870A1 (en) Focus ring replacement method and plasma processing system
US20220230856A1 (en) Plasma processing system and plasma processing method
CN111613550B (en) Load lock module, substrate processing apparatus, and substrate conveying method
JP2022117671A (en) Storage container and processing system
US20230330715A1 (en) Maintenance device, vacuum processing system, and maintenance method
JP2007149960A (en) Plasma processor
US20240105478A1 (en) Substrate processing system and maintenance method
JP6824461B2 (en) Processing system
JP6719629B2 (en) Plasma processing system and transfer method
JP7425149B2 (en) plasma treatment system
JP2004200219A (en) Treatment equipment and treatment method
JP2019186579A (en) Plasma treatment system and focus ring exchanging method
JP2022070212A (en) Processing system and transferring method
US20220148857A1 (en) Detection device, processing system, and transfer method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230306

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20230306

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230320

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230621

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230912

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230922

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240118

R150 Certificate of patent or registration of utility model

Ref document number: 7425149

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150