JP7407583B2 - 自己整合マルチパターニングにおいてスペーサプロファイルを再整形する方法 - Google Patents

自己整合マルチパターニングにおいてスペーサプロファイルを再整形する方法 Download PDF

Info

Publication number
JP7407583B2
JP7407583B2 JP2019223372A JP2019223372A JP7407583B2 JP 7407583 B2 JP7407583 B2 JP 7407583B2 JP 2019223372 A JP2019223372 A JP 2019223372A JP 2019223372 A JP2019223372 A JP 2019223372A JP 7407583 B2 JP7407583 B2 JP 7407583B2
Authority
JP
Japan
Prior art keywords
spacer material
spacer
core
material layer
processes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019223372A
Other languages
English (en)
Other versions
JP2020096184A (ja
Inventor
明輝 高
和哉 大久保
宏至 戸島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2020096184A publication Critical patent/JP2020096184A/ja
Application granted granted Critical
Publication of JP7407583B2 publication Critical patent/JP7407583B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)

Description

関連出願の相互参照
本出願は、参照のためその内容を本明細書に援用する2018年12月12日出願の米国仮特許出願第62/778,794号明細書:題名“METHODS TO RESHAPE SPACER PROFILES IN SELF-ALIGNED MULTIPLE PATTERNING”と、2019年3月12日出願の米国特許出願第16/299,623号明細書:題名“METHODS TO RESHAPE SPACER PROFILES IN SELF-ALIGNED MULTIPLE PATTERNING”からの優先権を主張する。
本開示は、マイクロエレクトロニクス・ワークピース(microelectronic workpieces)上でのパターン構造の形成を含むマイクロエレクトロニクス・ワークピースの製造のための方法に関する。
マイクロエレクトロニクス・ワークピース内でのデバイス形成は、通常、基板上の多数の材料層の形成、パターニング、及び除去に関係する一連の製造技術に関わる。現在の及び次世代の半導体デバイスの物理的及び電気的仕様を満足するために、処理フローは、様々なパターニングプロセスの構造完全性を維持しながらフィーチャサイズを縮小することを要求される。
自己整合ダブルパターニング(SADP:self-aligned double patterning)プロセス及び自己整合クオドパターニング(SAQP:self-aligned quadruple patterning)プロセスなどの自己整合マルチパターニング(SAMP:self-aligned multiple patterning)プロセスが、リソグラフィプロセスにより直接実現可能なものを超えてフィーチャサイズを縮小するために開発されてきた。いくつかのSAMPプロセス、特にSADPプロセスでは、通常、処理されている基板上のコアに隣接する側壁構造としてスペーサが形成され、後にコア材料が除去される。このコア除去処理は一般的にマンドレルプル(mandrel pull)と呼ばれ、しばしば反応性イオンエッチ(RIE:reactive ion etch)処理などのプラズマエッチ処理により行われる。
以前のSAMP処理では、マンドレルプル処理後のスペーサの高さが、通常、コアに隣接するスペーサの部分とコア間のギャップに隣接するスペーサの部分とに関し異なる。スペーサのこの非対称形状は、エッチング均一性を劣化させ、後のエッチ処理中にガウジング(gouging)差を導入する。例えば、これらの非対称形状はしばしば、ガウジング差を、除去されたコアの下に位置する材料層の部分とコア間のギャップの下に位置する材料層の部分との間に形成させる。この劣化は、例えば、スペーサにより形成されたパターンが、エッチ処理を介して、下に位置する例えばハードマスク層などの層へ転写されるときに発生する。
図1(従来技術)は、SAMPプロセス内のマンドレルプル後に残るスペーサ104の上部の非対称形状に起因して、その後のパターン転写においてエッチング均一性の劣化が引き起こされる従来のソリューションの具現例100の断面図を提供している。スペーサ104の非対称形状及びその結果のエッチング均一性の劣化は、線縁粗さ(LER:line edge roughness)パラメータ及び線幅粗さ(LWR:line width roughness)パラメータの劣化を導入し得る。非対称スペーサ形状はまた、下に位置する層内のガウジング深さの劣化によるピッチウォーキング(pitch walking)を生じる傾向がある。
具現例100を見ると、マンドレルプル処理を使用することによりスペーサ104間からコアが除去されている。スペーサ104は、1つ又は複数の材料層を含み得る基板102上に先に形成されたものである。マンドレルプル処理はスペーサ104に関連するコア位置106及びスペース位置108を残す。コア位置106の例が破線110の左側に示され、スペース位置108の例が破線110の右側に示されている。図示のように、マンドレルプル処理後に残されたスペーサ104は非対称な上部を有する。特に、コアが抜き取られたコア位置106に隣接する側のスペーサ104の縁は、コア間のギャップが存在していたスペース位置108に隣接する側のスペーサ104の縁より高い。
その後のプラズマエッチング処理などのエッチ処理中、エッチケミストリに関連する粒子112が基板102へ供給される。しかし、基板102へのこれらの粒子112の供給はスペーサ104の非対称な上部により影響されることになる。例えば、スペース位置108へ供給される粒子112はスペーサ104間の領域中に向きを変えられ、コア位置106へ供給される粒子はスペーサ104間の領域から離れるように向きを変えられることになる。したがって、スペース位置108に関連する基板102内の下地層の表面が、コア位置106に関連する下地層の表面よりも、より急速にエッチングされることになる。この等しくないエッチングは、エッチプロファイルの望ましくないバラつきに至ることになる。
図2A~D(従来技術)は、従来のソリューションにおいて非対称スペーサがエッチング均一性の欠如に至る具現例の断面図を提供している。
図2A(従来技術)は、スペーサ材料層204がコア202を覆って堆積された後の具現例200の断面図を提供している。コア202は、1つ又は複数の材料層を含み得る基板102の上に先に形成されたものである。スペーサ材料層204は、コア202を覆って形成される酸化物層(SiO)、窒化物層(SiN)、及び/又は他の保護材料層であり得る。一具現例に関し、スペーサ材料層204は原子層成長(ALD:atomic layer deposition)技術及び/又は他の堆積技術を使用することにより堆積される。コア202は、有機平坦化層(OPL:organic planarization layer)、非晶質シリコン層、及び/又は別の材料層として形成され得る。
図2B(従来技術)は、エッチバック処理が行われた後の具現例210の断面図を提供している。例えば、エッチバック処理は、スペーサ材料層204をエッチングしてコア202の側壁に沿ってスペーサ104を形成するために行われる。エッチバック処理は例えばプラズマエッチ処理であり得る。
図2C(従来技術)は、マンドレルプル処理が行われた後の具現例220の断面図を提供している。示されるように、図2B(従来技術)に示すコア202を除去するために、例えばコア202がOPLから形成されている場合のアッシュ(ash)処理などのマンドレルプル処理が使用される。このマンドレルプル処理後、非対称な上部を有するスペーサ104が残される。特に、コア202が抜き取られたコア位置106に隣接する側のスペーサ104の縁は、図2B(従来技術)に示したようなコア202間のギャップが存在していたスペース位置108に隣接する側のスペーサ104の縁より高い。
図2D(従来技術)は、スペーサ104のパターンをスペーサ104間の基板102へ転写するためにエッチ処理が適用された後の具現例230の断面図を提供している。図1(従来技術)に関して説明したように、スペーサ104の非対称形状は、エッチング均一性の劣化と基板102内の下に位置する材料層における不均一ガウジングとを引き起こす。図2D(従来技術)に示すように、エッチング均一性の差は、スペース位置108に関連する表面と比較して異なる結果エッチレベルを有するコア位置106に関連する表面を残す。したがって、上で説明したように、エッチング均一性が劣化されて様々な潜在的問題を引き起こす。
スペーサ一様性を改善し、これにより自己整合マルチパターニング(SAMP)プロセスに関連するパターン転写中のエッチング均一性を改善するためにスペーサプロファイルを再整形する実施形態についてここで説明する。再整形は、例えばSAMPプロセスの一部として、コアに隣接するスペーサの角へ及び/又は形成したコアを覆うスペーサ材料層の角へ追加スペーサ材料を堆積させることにより提供される。一例示的実施形態に関し、斜め物理気相成長(PVD)処理などの1つ又は複数の指向性堆積処理がスペーサプロファイルを再整形するために使用される。スペーサプロファイルのこの再整形は、対称スペーサが形成され、これによりその後のパターン転写処理中のエッチング均一性を改善することを可能にする。異なる又は追加の特徴、変形形態及び実施形態も実施され得、関連システム及び方法が同様に利用され得る。
一実施形態に関し、スペーサプロファイルを再整形する方法が開示される。本方法は、マイクロエレクトロニクス・ワークピースの基板の材料層上にコアを形成する工程と、コアを覆ってスペーサ材料層を形成する工程と、1つ又は複数の指向性堆積処理を使用して追加スペーサ材料を堆積させ、そして、1つ又は複数のエッチ処理工程を使用することで、スペーサ材料層を再整形することにより、コアに隣接する対称スペーサを形成する工程と、を含む。
更なる実施形態では、対称スペーサは自己整合マルチパターニング(SAMP)プロセスの一部として形成される。別の実施形態では、対称スペーサは、1つ又は複数の指向性堆積処理により、スペーサ材料層がコアの角を覆うスペーサ材料層の角へ追加スペーサ材料を堆積させ、そして、1つ又は複数のエッチ処理により、コアに隣接する対称スペーサを残すようにスペーサ材料層及び追加スペーサ材料をエッチングすることにより、形成される。さらに別の実施形態では、スペーサ材料層の角は丸角である。
更なる実施形態では、対称スペーサは、スペーサ材料層をエッチングして、コアに隣接する非対称スペーサを形成し、1つ又は複数の指向性堆積処理により、スペーサの角へ追加スペーサ材料を堆積させ、そして、1つ又は複数のエッチ処理により、コアに隣接する対称スペーサを残すように追加スペーサ材料をエッチングすることにより、形成される。別の実施形態では、非対称スペーサの角は丸角を有する。
更なる実施形態では、本方法は、対称スペーサを形成するために平坦化処理を少なくとも部分的に使用する工程を含む。別の実施形態では、スペーサ材料層及び追加スペーサ材料は共通の材料である。さらに別の実施形態では、スペーサ材料層と追加スペーサ材料とは異なる材料である。
追加実施形態では、1つ又は複数の指向性堆積処理は1つ又は複数の斜め物理気相成長(PVD)処理を含む。別の実施形態では、1つ又は複数の斜めPVD処理は追加スペーサ材料を30~60度の角度で付与する。別の実施形態では、1つ又は複数の斜めPVD処理は追加スペーサ材料を45度の角度で付与する。
更なる実施形態では、1つ又は複数の斜めPVD処理が追加スペーサ材料を2つの異なる方向に同時に堆積させるために使用される。別の実施形態では、第1組の1つ又は複数の斜めPVD処理が追加スペーサ材料を第1の方向に堆積させるために使用され、第2組の1つ又は複数の斜めPVD処理が追加スペーサ材料を第2の方向に堆積させるために使用される。さらに別の実施形態では、第1組からの斜めPVD処理が第2組からの斜めPVD処理と交互に行われる。
更なる実施形態では、同じ処理ケミストリ、異なる処理ケミストリ、又はそれらの組合せのうちの少なくとも1つを有する複数の斜め物理気相成長(PVD)処理が使用される。別の実施形態では、同じターゲット材料、異なるターゲット材料、又はそれらの組合せのうちの少なくとも1つを有する複数の斜め物理気相成長(PVD)処理が使用される。
更なる実施形態では、本方法はまた、対称スペーサを残すようにコアを除去する工程を含む。別の実施形態では、本方法は対称スペーサのパターンを材料層へ転写する工程を含む。さらに別の実施形態では、エッチング均一性の目標レベルがパターンの転写において達成される。
更なる実施形態では、スペーサ材料層は酸化物又は窒化物のうちの少なくとも1つを含み、追加スペーサ材料は酸化物又は窒化物のうちの少なくとも1つを含む。別の実施形態では、コアは、有機平坦化層又は非晶質シリコン層のうちの少なくとも1つから形成される。さらに別の実施形態では、スペーサ材料層は原子層成長法を使用して形成される。
異なる又は追加の機能、変形形態及び実施形態も実施され得、関連システム及び方法が同様に利用され得る。
本発明及びその利点のより完全な理解は、同様な参照符号が同様な特徴を示す添付図面と併せて記載された以下の説明を参照することにより得られる。しかし、開示される概念は他の等しく効果的な実施形態を認め得るので添付図面は開示される概念の例示的実施形態だけを示し、したがって範囲の制限と考えられるべきではないということに注意すべきである。
SAMPプロセス内のマンドレルプル後に残るスペーサの上部の非対称形状に起因して、その後のパターン転写中にエッチング均一性の劣化が引き起こされる従来のソリューションの具現例の断面図を提供する(従来技術)。 図2A-2Dは、従来のソリューションにおいて非対称スペーサがエッチング均一性の欠如に至る具現例の断面図を提供する(従来技術)。 図2A-2Dは、従来のソリューションにおいて非対称スペーサがエッチング均一性の欠如に至る具現例の断面図を提供する(従来技術)。 図2A-2Dは、従来のソリューションにおいて非対称スペーサがエッチング均一性の欠如に至る具現例の断面図を提供する(従来技術)。 図2A-2Dは、従来のソリューションにおいて非対称スペーサがエッチング均一性の欠如に至る具現例の断面図を提供する(従来技術)。 図3A-3Fは、指向性堆積処理を使用することにより追加スペーサ材料をスペーサ材料層の角へ堆積させることによりスペーサ材料層が再整形され、これにより従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くす例示的実施形態の断面図を提供する。 図3A-3Fは、指向性堆積処理を使用することにより追加スペーサ材料をスペーサ材料層の角へ堆積させることによりスペーサ材料層が再整形され、これにより従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くす例示的実施形態の断面図を提供する。 図3A-3Fは、指向性堆積処理を使用することにより追加スペーサ材料をスペーサ材料層の角へ堆積させることによりスペーサ材料層が再整形され、これにより従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くす例示的実施形態の断面図を提供する。 図3A-3Fは、指向性堆積処理を使用することにより追加スペーサ材料をスペーサ材料層の角へ堆積させることによりスペーサ材料層が再整形され、これにより従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くす例示的実施形態の断面図を提供する。 図3A-3Fは、指向性堆積処理を使用することにより追加スペーサ材料をスペーサ材料層の角へ堆積させることによりスペーサ材料層が再整形され、これにより従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くす例示的実施形態の断面図を提供する。 図3A-3Fは、指向性堆積処理を使用することにより追加スペーサ材料をスペーサ材料層の角へ堆積させることによりスペーサ材料層が再整形され、これにより従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くす例示的実施形態の断面図を提供する。 図4A-4Dは、指向性堆積処理を使用して追加スペーサ材料をスペーサの角へ堆積させることによりスペーサ材料層が再整形され、これにより従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くす更なる例示的実施形態の断面図を提供する。 図4A-4Dは、指向性堆積処理を使用して追加スペーサ材料をスペーサの角へ堆積させることによりスペーサ材料層が再整形され、これにより従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くす更なる例示的実施形態の断面図を提供する。 図4A-4Dは、指向性堆積処理を使用して追加スペーサ材料をスペーサの角へ堆積させることによりスペーサ材料層が再整形され、これにより従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くす更なる例示的実施形態の断面図を提供する。 図4A-4Dは、指向性堆積処理を使用して追加スペーサ材料をスペーサの角へ堆積させることによりスペーサ材料層が再整形され、これにより従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くす更なる例示的実施形態の断面図を提供する。 指向性堆積処理を使用して追加スペーサ材料を堆積させることによりスペーサプロファイルの再整形を提供する例示的実施形態の処理フロー図である。 指向性堆積処理を使用して追加スペーサ材料をスペーサ材料層の角へ堆積させることによりスペーサプロファイルの再整形を提供する例示的実施形態の処理フロー図である。 指向性堆積処理を使用して追加スペーサ材料をスペーサの角へ堆積させることによりスペーサプロファイルの再整形を提供する例示的実施形態の処理フロー図である。
本明細書で述べるように、マイクロエレクトロニクス・ワークピースの製造に関するエッチング均一性は、1つ又は複数の指向性堆積処理を使用して追加スペーサ材料によりスペーサプロファイルを再整形することにより改善される。実施形態は、追加スペーサ材料をコアに隣接するスペーサの角へ、SAMPプロセスの一部として形成されたコアを覆うスペーサ材料層の角へ、堆積させる工程、及び/又は指向性堆積処理を使用してスペーサプロファイルを再整形する他の実装形態を含む。一実施形態に関し、1つ又は複数の指向性堆積処理は追加スペーサ材料を堆積させるために使用される斜め物理気相成長(PVD)処理を含む。スペーサプロファイルが再整形されると、エッチバック処理が、スペーサ材料層をエッチバックするために行われる、及び/又はコアに隣接する対称スペーサを残すために追加スペーサ材料をエッチバックするために行われる。平坦化処理もまた、対称スペーサの形成において使用され得る。コアが抜き取らされた後、これらの対称スペーサは、従来のソリューションにより経験されるエッチング均一性劣化に悩まされることなくパターンを下に位置する層へ転写するために使用される。本明細書で説明される再整形実施形態は、エッチング均一性の目標レベル及び/又は1つ又は複数の下に位置する材料層へのこのパターン転写におけるガウジングの目標レベルを達成することができる。他の利点及び実装形態もまた、本明細書で説明される処理技術をなおも活用しながら実現され得る。
図3A~3Eは、従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くすためにスペーサ材料層が再整形される例示的実施形態の断面図を提供している。この例示的実施形態では、斜めPVD処理などの1つ又は複数の指向性堆積処理を使用することによって追加スペーサ材料をスペーサ材料層の角へ堆積させることにより、スペーサ材料層が再整形される。このとき、この再整形は、対称スペーサの形成を可能にし、これにより従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くす。
図3Aは、基板102の上に既に形成されたコア202を覆ってスペーサ材料層204が形成された後の例示的実施形態300の断面図を提供している。基板102は1つ又は複数の材料層を含み得る。スペーサ材料層204は、コア202を覆って形成される酸化物層(SiO)、窒化物層(SiN)、及び/又は他の保護材料層であり得る。一例示的実施形態に関し、スペーサ材料層204は原子層成長(ALD)技術及び/又は他の堆積技術を使用することにより形成される。コア202は、OPL、非晶質シリコン層、及び/又は別の材料層から形成され得る。
図3Bは、1つ又は複数の指向性堆積処理が追加スペーサ材料314を堆積させるために行われた後の例示的実施形態310の断面図を提供している。この追加スペーサ材料314は、スペーサ材料層204の角上に堆積され、コア202の一方の側の角を覆う。実施形態310に関し、追加スペーサ材料314は、矢印312により示されるようにスペーサ材料層204の右上端に堆積されている。実施形態310に関して示すように、追加スペーサ材料314が堆積されるこれらの角は丸角であり得る。追加スペーサ材料314はスペーサ材料層204と同じ材料であってもよいし、スペーサ材料層204と異なる材料であってもよい。
図3Cは、1つ又は複数の指向性堆積処理が追加スペーサ材料316を堆積させるために行われた後の例示的実施形態315の断面図を提供している。この追加スペーサ材料316はスペーサ材料層204の角上に堆積され、コア202の他の側の角を覆う。実施形態315に関し、追加スペーサ材料316は矢印317により示されるようにスペーサ材料層204の左上角上に堆積される。実施形態315に関して示すように、追加スペーサ材料316が堆積されるこれらの角は丸角であり得る。追加スペーサ材料314/316は、例えば酸化物、窒化物、及び/又は他の保護材料であり得る。追加スペーサ材料316はスペーサ材料層204と同じ材料であってもよいし、スペーサ材料層204と異なる材料であってもよい。さらに、追加スペーサ材料314/316を形成するために使用される指向性堆積処理は、同じ処理ケミストリを使用して、異なる処理ケミストリを使用して、又はそれらの組合せを使用して実施され得る。さらに、追加スペーサ材料314/316を形成するために使用される堆積処理のターゲット材料もまた、同じであってもよいし、異なってもよいし、それらの組合せであってもよい。他の変形形態もまた、本明細書で説明される技術をなおも活用しながら実施され得る。
追加スペーサ材料314は、図3B~3Cにおいて、追加スペーサ材料316の前に形成されるものとして示されているということに注意すべきである。追加スペーサ材料316が追加スペーサ材料314の前に形成され得るということにさらに注意すべきである。加えて、追加スペーサ材料314/316は方向を交互に変える指向性堆積処理を使用して堆積され得る。例えば、第1組の指向性堆積処理が、1つの角度を使用して追加スペーサ材料314を堆積させるために使用され、第2組の指向性堆積処理が、追加スペーサ材料316を堆積させるために使用される。加えて、第1組及び第2組内の堆積処理が、複数の交互処理サイクルにわたって追加スペーサ材料314/316を構築するために交互に行われる。この交互技術は、例えばスペーサ材料314/316の一方が、他方が形成される前に完全に形成される場合に起こり得るシャドーイング(shadowing)を回避するのを助ける。
一例示的実施形態に関し、1つ又は複数の斜め物理気相成長(PVD)処理が、図3Bに示す追加スペーサ材料314及び図3Cに示す追加スペーサ材料316を堆積させるための指向性堆積処理として使用される。例えば、1つ又は複数の斜めPVD処理が、追加スペーサ材料314をスペーサ材料層204の右上角へ付与するために使用され得るとともに、1つ又は複数の同様な斜めPVD処理が、追加スペーサ材料316をスペーサ材料層204の左上角へ付与するために使用され得る。斜めPVD処理は追加スペーサ材料314/316を、例えば30~60度の角度で(好適には45度の角度で)堆積させ得る。別々の斜めPVD処理が図3Bと図3Cに関して示されるが、1つ又は複数の斜めPVD処理が追加スペーサ材料314/316をスペーサ材料層204の両方の角へ同時に付与するために使用されてもよいことに注意すべきである。さらに、上に示したように、複数の交互処理サイクルにわたる交互技術において/により追加スペーサ材料314/316を構築するために、方向を交互に変える斜めPVD処理が使用され得る。加えて、斜めPVD処理は、同じ処理ケミストリ、異なる処理ケミストリ、又はそれらの組合せを使用して実施され得る。さらに、追加スペーサ材料314/316を形成するために使用されるPVD処理のターゲット材料もまた、同じであってもよいし、異なっていてもよいし、又はそれらの組合せであってもよい。さらに、他の指向性堆積処理が、1つ又は複数の斜めPVD処理の代わりに又はそれに加えて使用されてもよい。他の変形形態もまた、本明細書で説明される技術をなおも活用しながら実施され得る。
図3Dは、コア202に隣接する対称スペーサ324を形成するためにスペーサ材料層204及び追加スペーサ材料314/316の一部が除去された後の例示的実施形態320の断面図を提供している。例えば、コア202の側壁に沿って対称スペーサ324を残すためにスペーサ材料層204及び追加スペーサ材料314/316をエッチバックするためにエッチバック処理が行われ得る。一例示的実施形態に関し、平坦化処理が、対称スペーサ324の形成の一部としてコア202の上面及び追加スペーサ材料314と共にスペーサ材料層204を平坦化するために行われる。エッチバック処理と平坦化とを組み合わせて使用さしてもよいことにも留意すべきである。異なる及び/又は追加の処理もまた、図3B~3Cにおいて提供される再整形後に対称スペーサ324を形成するために使用され得る。
図3Eは、マンドレルプル処理が行われた後の例示的実施形態330の断面図を提供している。示されるように、例えばコア202がOPLから形成される場合のアッシュ処理などのマンドレルプル処理が、図3Dに示すコア202を除去するために使用される。このマンドレルプル処理後、対称スペーサ324が残される。特に、コア202が抜き取られたコア位置346に隣接する側の対称スペーサ324の縁が、図3Dに示したようなコア202間のギャップが存在していたスペース位置348に隣接する側の対称スペーサ324の縁と比較して同様な又は一致したレベルを有する。従来のソリューションとは対照的に、これらの対称スペーサ324は一様であり、対称な上部を提供する。
図3Fは、対称スペーサ324のパターンを対称スペーサ324間の基板102へ転写するためにエッチ処理が適用された後の例示的実施形態340の断面図を提供している。基板102は、図3Aに示したようにその上にコア202が以前に形成されていた1つ又は複数の材料層を含み得る。スペーサ324の対称的形状は、従来のソリューションにより生成される非対称スペーサに伴う問題を軽減し、これによりエッチング均一性を改善する。特に、実施形態340に示すように、エッチング均一性は、コア位置346に関連する表面がスペース位置348に関連する表面と比較して同様な又は一致したエッチレベルを有するように改善される。したがって、エッチング均一性が改善され、これにより従来のソリューションにおいて経験される問題を低減する又は無くす。さらに、本明細書で説明される再整形実施形態は、本明細書で述べたように、スペーサプロファイルの再整形及び対称スペーサの形成による図3Fに示すような1つ又は複数の下地材料層へのパターン転写におけるエッチング均一性の目標レベル及び/又はガウジングの目標レベルを達成することができる。
図4A~4Dは、従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くすためにスペーサ材料層が再整形される更なる例示的実施形態の断面図を提供している。この例示的実施形態に関し、スペーサ材料層は、斜めPVD処理などの1つ又は複数の指向性堆積処理を使用することにより追加スペーサ材料をスペーサの角へ堆積させることにより再整形される。次に、この再整形は、対称スペーサの形成を可能にし、これにより従来のソリューションにより経験されるエッチング均一性の劣化を低減する又は無くす。
図4Aは、基板102の上に既に形成されたコア202を覆ってスペーサ材料層204が形成された後の例示的実施形態400の断面図を提供している。基板102は1つ又は複数の材料層を含み得る。スペーサ材料層204は、コア202を覆って形成される酸化物層(SiO)、窒化物層(SiN)、及び/又は他の保護材料層であり得る。一例示的実施形態に関し、スペーサ材料層204は原子層成長(ALD)技術及び/又は他の堆積技術を使用することにより形成される。コア202は、OPL、非晶質シリコン層、及び/又は別の材料層から形成され得る。実施形態400は図3Aの実施形態300に一致するということに注意すべきである。
図4Bは、エッチバック処理が行われた後の例示的実施形態410の断面図を提供している。例えば、エッチバック処理は、図4Aに示すスペーサ材料層204をエッチングするために、及び例えば図4Bの実施形態410に示すコア202の側壁に沿ってスペーサ104を形成するために行われる。エッチバック処理は、例えばプラズマエッチ処理であり得る。
図4Cは、1つ又は複数の指向性堆積処理が追加スペーサ材料422を堆積させるために行われた後の例示的実施形態420の断面図を提供している。この追加スペーサ材料422はコア202に隣接するスペーサ104の角上に堆積される。実施形態420に関し、これらの角は丸角である。上述のように、1つ又は複数の指向性堆積処理は1つ又は複数の斜めPVD処理であり得る。上述のようないくつかの実施形態に関し、1つ又は複数の斜めPVD処理が、追加スペーサ材料422をコアの両側のスペーサ上に同時に堆積させるために、2つの異なる方向に追加スペーサ材料を同時に堆積させるために使用され得る。更なる実施形態に関し、第1組の1つ又は複数の斜めPVD処理が、追加スペーサ材料422を第1組の角に対して第1の方向に堆積させるために使用され得るとともに、第2組の1つ又は複数の斜めPVD処理が、追加スペーサ材料を第2組の角に対して第2の方向に堆積させるために使用され得る。他の変形形態も実施され得る。
図4Dは、コア202に隣接する対称スペーサ324を形成するために追加スペーサ材料422がエッチングされた後の例示的実施形態430の断面図を提供している。例えば、エッチバック処理はコア202の側壁に沿って対称スペーサ324を残すために追加スペーサ材料422及び必要に応じスペーサ材料層204をエッチバックするために行われ得る。一例示的実施形態に関し、平坦化処理がまた、対称スペーサ324を形成するため又はその形成を促進するためにコア202の上面及び追加スペーサ材料422と共にスペーサ材料層204を平坦化するために行われ得る。エッチバック処理と平坦化とを組み合わせて使用してもよいことにも留意すべきである。異なる及び/又は追加の処理もまた、図4Cにおいて提供される再整形後に対称スペーサ324を形成するために使用され得る。実施形態430は図3Dの実施形態320に一致するということにも留意すべきであり、図3E~3Fの処理は同様に、図4Dの実施形態430をさらに処理するために使用され得る。
図5Aは、指向性堆積処理を使用して追加スペーサ材料を堆積させることによりスペーサプロファイルの再整形を提供する例示的実施形態500の処理フロー図である。ブロック502では、コアがマイクロエレクトロニクス・ワークピースの基板の材料層上に形成される。ブロック504では、スペーサ材料層がコアを覆って形成される。ブロック506では、追加スペーサ材料を堆積させるために1つ又は複数の指向性堆積処理を使用することにより、及び1つ又は複数のエッチ処理工程を使用することによってスペーサ材料層を再整形することにより、対称スペーサがコアに隣接して形成される。追加及び/又は異なる工程もまた本明細書で説明される技術をなおも活用しながら使用され得ることに注意すべきである。
図5Bは、指向性堆積処理を使用して追加スペーサ材料をスペーサ材料層の角へ堆積させることによりスペーサプロファイルの再整形を提供する例示的実施形態510の処理フロー図である。ブロック502、504は図5Aのものと同じである。ブロック502に関し、コアがマイクロエレクトロニクス・ワークピースの基板の材料層上に形成される。ブロック504に関し、スペーサ材料層がコアを覆って形成される。実施形態510では、対称スペーサがブロック512、514において形成される。ブロック512に関し、追加スペーサ材料が、スペーサ材料層がコアの角を覆うスペーサ材料層の角へ、1つ又は複数の指向性堆積処理を使用することにより堆積される。ブロック514では、スペーサ材料層及び追加スペーサ材料の一部が、コアに隣接する対称スペーサを残すために除去される。追加及び/又は異なる工程もまた本明細書で説明される技術をなおも活用しながら使用され得ることに注意すべきである。
図5Cは、指向性堆積処理を使用して追加スペーサ材料をスペーサの角へ堆積させることによりスペーサプロファイルの再整形を提供する例示的実施形態520の処理フロー図である。ブロック502、504は図5Aのものと同じである。ブロック502に関して、コアがマイクロエレクトロニクス・ワークピースの基板の材料層上に形成される。ブロック504に関して、スペーサ材料層がコアを覆って形成される。実施形態520では、対称スペーサがブロック522、524、及び526において形成される。ブロック522では、スペーサ材料層がエッチングされて、コアに隣接する非対称スペーサを形成する。ブロック524では、追加スペーサ材料が、1つ又は複数の指向性堆積処理を使用することによりスペーサの角に堆積される。ブロック526では、追加スペーサ材料が、コアに隣接する対称スペーサを残すためにエッチングされる。追加及び/又は異なる工程もまた本明細書で説明される技術をなおも活用しながら使用され得ることに注意すべきである。
本明細書で説明された材料層を形成するために1つ又は複数の堆積処理が使用され得るということに注意すべきである。例えば、1つ又は複数の堆積は、化学気相成長(CVD)処理、プラズマCVD(PECVD)処理、物理気相成長(PVD)処理、原子層成長(ALD)処理、及び/又は他の堆積処理を使用することにより実施され得る。プラズマ堆積処理に関し、限定しないが、炭化水素、フッ化炭素、又は窒素含有炭化水素を、1つ又は複数の希釈ガス(例えばアルゴン、窒素など)と組み合わせて、多様な圧力、出力、流れ、及び温度条件で含む前駆体ガス混合物が使用され得る。PR層に対するリソグラフィ処理は、光リソグラフィ、極紫外線(EUV:extreme ultra-violet)リソグラフィ、及び/又は他のリソグラフィ処理を使用して実施され得る。エッチ処理は、プラズマエッチ処理、放電エッチ処理、及び/又は他の所望エッチ処理を使用して実施され得る。例えば、プラズマエッチ処理は、フッ化炭素、酸素、窒素、水素、アルゴン、及び/又は他のガスを含むプラズマを使用して実施され得る。加えて、処理工程の操作パラメータ、ビアのCD(限界寸法)目標パラメータがビア形成中に達成されるということを保証するように制御され得る。操作パラメータは、例えばチャンバ温度、チャンバ圧力、ガスの流量、プラズマの生成において電極アセンブリへ適用される周波数及び/又は出力、及び/又は処理工程の他の操作パラメータを含み得る。変形形態もまた、本明細書で説明される技術をなおも活用しながら実施され得る。
本明細書を通じての「一実施形態(one embodiment)」又は「実施形態(an embodiment)」への参照は、同実施形態に関連して説明された特定な機能、構造、材料、又は特徴が本発明の少なくとも1つの実施形態に含まれるということを意味するが、これらがあらゆる実施形態に存在するということを示さないということに注意すべきである。したがって、本明細書を通して様々な場所における「一実施形態では(in one embodiment)」又は「実施形態では(in an embodiment)」という語句の出現は本発明の同じ実施形態を必ずしも指さない。さらに、特定な機能、構造、材料又は特徴は1つ又は複数の実施形態において任意の好適なやり方で組み合わせられ得る。様々な追加の層及び/又は構造が含まれ得る、及び/又は説明された機能が他の実施形態では省略され得る。
本明細書で概して使用される「マイクロエレクトロニクス・ワークピース」は本発明に従って処理される対象物を指す。マイクロエレクトロニクス・ワークピースは、デバイス(特に半導体若しくは他の電子デバイス)の任意の材料部分若しくは構造を含み得、例えば半導体基板などのベース基板構造、又は薄膜などのベース基板構造上の又はベース基板構造の上にある層であり得る。したがって、ワークピースは、いかなる特定なベース構造体、下位層、又はパターン化若しくは未パターン化上位層にも限定されるように意図されておらず、むしろ任意のこのような層又はベース構造体、並びに層及び/又はベース構造体の任意の組合せを含むように企図されている。以下の説明は特定タイプの基板を参照し得るが、これは制限ではなく例示目的のためだけである。
本明細書で使用される用語「基板」は、材料が形成される基材又は構造を意味しこれらを含む。基板は、単一材料、様々な材料の複数の層、様々な材料又は様々な構造の領域を有する層又は層群などを含み得るということが理解されることになる。これらの材料は半導体、絶縁体、導体又はそれらの組合せを含み得る。例えば、基板は、半導体基板、支持構造上のベース半導体層、金属電極、又はその上に形成された1つ若しくは複数の層、構造若しくは領域を有する半導体基板であり得る。基板は、半導電材料の層を含む従来のシリコン基板又は他のバルク基板であり得る。本明細書で使用されるように、用語「バルク基板」は、シリコンウェーハだけでなく、シリコン・オン・サファイア(「SOS:silicon-on-sapphire」)基板、及びシリコン・オン・ガラス(「SOG:silicon-on-glass」)基板、ベース半導体基礎上のシリコンのエピタキシャル層などのシリコン・オン・インシュレータ(「SOI:silicon-on-insulator」)基板、並びにシリコンゲルマニウム、ゲルマニウム、砒化ガリウム、窒化ガリウム、燐化インジウムなどの他の半導体又は光電子材料も意味し、これらを含む。基板はドープされてもよいしドープされなくてもよい。
マイクロエレクトロニクス・ワークピースを処理するためのシステム及び方法が様々な実施形態において説明された。当業者は、様々な実施形態が特定詳細のうちの1つ又は複数の詳細なしに、又は他の置換及び/若しくは追加方法、材料若しくは構成要素により実行され得るということを認識するようになる。他の例では、周知の構造、材料又は作業は、本発明の様々な実施形態の態様を曖昧にすることを避けるために詳細に示されず又は説明されない。同様に、説明の目的のために、本発明を完全に理解できるようにするために特定の数字、材料及び構成が記載される。それにもかかわらず、本発明は特定の詳細なしに実施され得る。さらに、添付図面に示される様々な実施形態は例示的表現であり、必ずしも原寸に比例して描かれていないということが理解される。
説明したシステム及び方法の別の修正形態及び代替実施形態は、本明細書に照らして当業者に明らかになる。したがって、説明したシステム及び方法はこれらの例示的配置により制限されないということが認識されることになる。示され説明された本明細書におけるシステム及び方法の形式は例示的実施形態としてとらえられるべきであるということを理解すべきである。様々な変更が実装形態において行われ得る。したがって、本発明はここでは特定実施形態を参照して説明されたが、様々な修正及び変更が本発明の範囲から逸脱することなく行われ得る。したがって、本明細書及び添付図面は、限定的意味よりむしろ例示的意味と見なされるべきであり、このような修正形態は本発明の範囲内に含まれるように意図されている。さらに、特定実施形態に関して本明細書で説明される問題に対するいかなる利点、有利性又は解決策も任意の又はすべての特許請求の範囲の決定的に重要な、必要とされる、又は必須な特徴又は要素として解釈されるように意図されていない。
100、200、210、220、230 具現例(従来技術)
102 基板
104 スペーサ
106、346 コア位置
108、348 スペース位置
110 破線
112 粒子
202 コア
204 スペーサ材料層
300、310、315、320、330、340、400、410、420、430、500、510、520 例示的実施形態
312、317 矢印
314、316、422 追加スペーサ材料
324 対称スペーサ
502、504、506、512、514、522、524、526 ブロック

Claims (22)

  1. スペーサプロファイルを再整形する方法であって、
    マイクロエレクトロニクス・ワークピースの基板の材料層上にコアを形成する工程と;
    前記コアを覆ってスペーサ材料層を形成する工程と;
    1つ又は複数の指向性堆積処理を使用して追加スペーサ材料を堆積させ、そして、1つ又は複数のエッチ処理工程を使用することで、前記スペーサ材料層を再整形することにより、前記コアに隣接して、各々が対称な上部を持つ対称スペーサを形成する工程と、を含み、
    前記1つ又は複数の指向性堆積処理は1つ又は複数の斜め物理気相成長(PVD)処理を含む、
    方法。
  2. 前記対称スペーサは自己整合マルチパターニング(SAMP)プロセスの一部として形成される、請求項1に記載の方法。
  3. 前記対称スペーサは、
    前記1つ又は複数の指向性堆積処理により、前記スペーサ材料層が前記コアの角を覆う前記スペーサ材料層の角へ追加スペーサ材料を堆積させ、そして、
    前記1つ又は複数のエッチ処理により、前記コアに隣接する対称スペーサを残すように前記スペーサ材料層及び前記追加スペーサ材料をエッチングする、
    ことにより形成される、請求項1に記載の方法。
  4. 前記スペーサ材料層の角は丸角である、請求項3に記載の方法。
  5. 前記対称スペーサは、
    前記スペーサ材料層をエッチングして、前記コアに隣接する非対称スペーサを形成し、
    前記1つ又は複数の指向性堆積処理により、前記非対称スペーサの角へ追加スペーサ材料を堆積させ、そして、
    前記1つ又は複数のエッチ処理により、前記コアに隣接する対称スペーサを残すように前記追加スペーサ材料をエッチングする、
    ことにより形成される、請求項1に記載の方法。
  6. 前記非対称スペーサの前記角は丸角を有する、請求項5に記載の方法。
  7. 前記対称スペーサを形成するために平坦化処理を少なくとも部分的に使用する工程をさらに含む、請求項1に記載の方法。
  8. 前記スペーサ材料層及び前記追加スペーサ材料は共通の材料である、請求項1に記載の方法。
  9. 前記スペーサ材料層と前記追加スペーサ材料は異なる材料である、請求項1に記載の方法。
  10. 前記1つ又は複数の斜めPVD処理は30~60度の角度で前記追加スペーサ材料を付与する、請求項に記載の方法。
  11. 前記1つ又は複数の斜めPVD処理は45度の角度で前記追加スペーサ材料を付与する、請求項に記載の方法。
  12. 前記1つ又は複数の斜めPVD処理は追加スペーサ材料を2つの異なる方向に同時に堆積させるために使用される、請求項に記載の方法。
  13. 第1組の1つ又は複数の斜めPVD処理が追加スペーサ材料を第1の方向に堆積させるために使用され、第2組の1つ又は複数の斜めPVD処理が追加スペーサ材料を第2の方向に堆積させるために使用される、請求項に記載の方法。
  14. 前記第1組からの斜めPVD処理が前記第2組からの斜めPVD処理と交互に行われる、請求項13に記載の方法。
  15. 同じ処理ケミストリ又は異なる処理ケミストリを有する複数の斜め物理気相成長(PVD)処理が使用される、請求項に記載の方法。
  16. 同じターゲット材料又は異なるターゲット材料を有する複数の斜め物理気相成長(PVD)処理が使用される、請求項に記載の方法。
  17. 前記対称スペーサを残すように前記コアを除去する工程をさらに含む請求項1に記載の方法。
  18. 前記対称スペーサのパターンを前記基板の前記材料層へ転写する工程をさらに含む請求項17に記載の方法。
  19. エッチング均一性の目標レベルが前記パターンの前記転写において達成される、請求項18に記載の方法。
  20. 前記スペーサ材料層は酸化物又は窒化物のうちの少なくとも1つを含み、前記追加スペーサ材料は酸化物又は窒化物のうちの少なくとも1つを含む、請求項1に記載の方法。
  21. 前記コアは有機平坦化層又は非晶質シリコン層のうちの少なくとも1つから形成される、請求項1に記載の方法。
  22. 前記スペーサ材料層は原子層成長法を使用して形成される、請求項1に記載の方法。
JP2019223372A 2018-12-12 2019-12-11 自己整合マルチパターニングにおいてスペーサプロファイルを再整形する方法 Active JP7407583B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862778794P 2018-12-12 2018-12-12
US62/778794 2018-12-12
US16/299,623 US11551930B2 (en) 2018-12-12 2019-03-12 Methods to reshape spacer profiles in self-aligned multiple patterning
US16/299623 2019-03-12

Publications (2)

Publication Number Publication Date
JP2020096184A JP2020096184A (ja) 2020-06-18
JP7407583B2 true JP7407583B2 (ja) 2024-01-04

Family

ID=71072865

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019223372A Active JP7407583B2 (ja) 2018-12-12 2019-12-11 自己整合マルチパターニングにおいてスペーサプロファイルを再整形する方法

Country Status (5)

Country Link
US (1) US11551930B2 (ja)
JP (1) JP7407583B2 (ja)
KR (1) KR20200072423A (ja)
CN (1) CN111312588A (ja)
TW (1) TW202101577A (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380579B2 (en) * 2020-05-01 2022-07-05 Tokyo Electron Limited Method and process using dual memorization layer for multi-color spacer patterning
JP7418306B2 (ja) 2020-08-11 2024-01-19 東京エレクトロン株式会社 パターン形成方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006237371A (ja) 2005-02-25 2006-09-07 Canon Anelva Corp high−K誘電膜上に金属ゲートを蒸着する方法及び、high−K誘電膜と金属ゲートとの界面を向上させる方法、並びに、基板処理システム
US20150287612A1 (en) 2014-04-07 2015-10-08 Applied Materials, Inc. Spacer formation
US20160027655A1 (en) 2014-07-24 2016-01-28 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
JP2017531921A (ja) 2014-10-08 2017-10-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 2層aldを用いた正確な限界寸法制御
US20180076035A1 (en) 2016-09-09 2018-03-15 International Business Machines Corporation Multi-angled deposition and masking for custom spacer trim and selected spacer removal
JP2018085504A (ja) 2016-11-11 2018-05-31 ラム リサーチ コーポレーションLam Research Corporation Aldギャップ充填スペーサマスクを用いる自己整合型マルチパターニングプロセスフロー
US20180247938A1 (en) 2017-02-27 2018-08-30 International Business Machines Corporation Fabrication of fin field effect transistors utilizing different fin channel materials while maintaining consistent fin widths

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6842048B2 (en) * 2002-11-22 2005-01-11 Advanced Micro Devices, Inc. Two transistor NOR device
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US10431661B2 (en) * 2015-12-23 2019-10-01 Intel Corporation Transistor with inner-gate spacer
US10453686B2 (en) * 2016-08-31 2019-10-22 Tokyo Electron Limited In-situ spacer reshaping for self-aligned multi-patterning methods and systems
WO2018057499A1 (en) * 2016-09-20 2018-03-29 Tokyo Electron Limited Spacer formation for self-aligned multi-patterning technique

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006237371A (ja) 2005-02-25 2006-09-07 Canon Anelva Corp high−K誘電膜上に金属ゲートを蒸着する方法及び、high−K誘電膜と金属ゲートとの界面を向上させる方法、並びに、基板処理システム
US20150287612A1 (en) 2014-04-07 2015-10-08 Applied Materials, Inc. Spacer formation
US20160027655A1 (en) 2014-07-24 2016-01-28 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
JP2017531921A (ja) 2014-10-08 2017-10-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 2層aldを用いた正確な限界寸法制御
US20180076035A1 (en) 2016-09-09 2018-03-15 International Business Machines Corporation Multi-angled deposition and masking for custom spacer trim and selected spacer removal
JP2018085504A (ja) 2016-11-11 2018-05-31 ラム リサーチ コーポレーションLam Research Corporation Aldギャップ充填スペーサマスクを用いる自己整合型マルチパターニングプロセスフロー
US20180247938A1 (en) 2017-02-27 2018-08-30 International Business Machines Corporation Fabrication of fin field effect transistors utilizing different fin channel materials while maintaining consistent fin widths

Also Published As

Publication number Publication date
US11551930B2 (en) 2023-01-10
CN111312588A (zh) 2020-06-19
KR20200072423A (ko) 2020-06-22
TW202101577A (zh) 2021-01-01
JP2020096184A (ja) 2020-06-18
US20200194261A1 (en) 2020-06-18

Similar Documents

Publication Publication Date Title
JP6734973B2 (ja) プラズマ処理方法
TWI621155B (zh) 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法
US9570317B2 (en) Microelectronic method for etching a layer
US9607883B2 (en) Trench formation using rounded hard mask
JP2018516463A (ja) 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
TWI416609B (zh) 電漿處理系統之用於將遮罩底切及凹口減至最少的方法
JP7122061B2 (ja) エアギャップ形成プロセス
TWI716125B (zh) 半導體裝置之製造方法及系統
US11417526B2 (en) Multiple patterning processes
JP7407583B2 (ja) 自己整合マルチパターニングにおいてスペーサプロファイルを再整形する方法
JP7507095B2 (ja) ドライエッチング方法
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
KR20190038945A (ko) 실리콘 질화물의 준원자 층 에칭 방법
TW202025233A (zh) 針對芯部移除製程使用熱分解材料縮減開槽的方法
TW202215494A (zh) 半導體結構的製造方法
US10937659B2 (en) Method of anisotropically etching adjacent lines with multi-color selectivity
US10950442B2 (en) Methods to reshape spacers for multi-patterning processes using thermal decomposition materials
TW202121504A (zh) 用於自對準多重圖案化的芯材覆蓋的方法和系統
KR20220156881A (ko) 마이크로 전자 소재의 공정 시에 euv 역 패터닝을 위한 방법
US20240096640A1 (en) High Aspect Ratio Contact (HARC) Etch
TWI697958B (zh) 用於蝕刻遮罩與鰭片結構形成之方法
TW202201760A (zh) 在微電子工件上於三維結構中用於接觸窗的凸墊形成
JP2002026020A (ja) 半導体装置の製造方法
TW202349493A (zh) 多晶半導體的蝕刻
CN113410130A (zh) 一种沟槽填充介质后的平坦化回刻方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221207

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231003

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231023

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231212

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231219

R150 Certificate of patent or registration of utility model

Ref document number: 7407583

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150