JP7337786B2 - 空間分離を伴う単一ウエハの処理環境 - Google Patents

空間分離を伴う単一ウエハの処理環境 Download PDF

Info

Publication number
JP7337786B2
JP7337786B2 JP2020522297A JP2020522297A JP7337786B2 JP 7337786 B2 JP7337786 B2 JP 7337786B2 JP 2020522297 A JP2020522297 A JP 2020522297A JP 2020522297 A JP2020522297 A JP 2020522297A JP 7337786 B2 JP7337786 B2 JP 7337786B2
Authority
JP
Japan
Prior art keywords
support
heater
support assembly
wafer
central base
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020522297A
Other languages
English (en)
Other versions
JP2021501465A (ja
Inventor
マイケル ライス,
ジョセフ オーブション,
サンジーヴ バルジャ,
マンディアム スリイラム,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021501465A publication Critical patent/JP2021501465A/ja
Priority to JP2022092065A priority Critical patent/JP7451601B2/ja
Application granted granted Critical
Publication of JP7337786B2 publication Critical patent/JP7337786B2/ja
Priority to JP2024033563A priority patent/JP2024081654A/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Description

[0001] 本開示は、広くは、薄膜を堆積させるための装置に関する。特に、本開示は、複数の可動加熱ウエハ支持体と、空間分離された処理ステーションと、を有する装置に関する。
[0002] 現在の原子層堆積(ALD)プロセスは、幾つかの潜在的な課題及び困難を有する。多くのALD化学物質(例えば、前駆体及び反応物質)は、「相容れない」。それは、化学物質を共に混合できないことを意味する。相容れない化学物質を混合した場合、ALDプロセスの代わりに化学気相堆積(CVD)プロセスが生じ得る。CVDプロセスは、概して、ALDプロセスよりも厚さの制御が良くなく、且つ/又は、結果として生じるデバイス内に欠陥をもたらし得る気相粒子の生成をもたらし得る。単一の反応ガスが一度に処理チャンバの中に流される、従来の時間ドメインALDプロセスでは、化学物質が気相内で混合しないように、長いパージ/ポンプアウト時間が生じる。空間ALDチャンバは、時間ドメインALDチャンバがポンプ/パージできるよりも速く、1以上のウエハを1つの環境から第2の環境に移動させることができ、より高いスループットをもたらす。
[0003] 半導体産業は、より低い温度(例えば、摂氏350度未満)で堆積し得る高品質の膜を必要としている。膜が熱のみのプロセスで堆積し得る場合よりも低い温度で高品質の膜を堆積させるために、代替的なエネルギー源が必要である。プラズマ溶液を使用して、イオン及びラジカルの形態で追加のエネルギーをALD膜に供給することができる。垂直側壁ALD膜に対して十分なエネルギーを得ることが課題である。通常、イオンは、ウエハ表面の上方のシース(sheath)を通して、ウエハ表面に垂直な方向に加速される。したがって、イオンは、水平なALD膜表面にエネルギーを供給するが、垂直表面と平行に移動しているので、不十分な量のエネルギーを垂直表面に供給する。
[0004] あるプロセスチャンバは、容量結合プラズマ(CCP)を組み込む。CCPは、上部電極とウエハの間で生成され、それは、CCP平行板プラズマとして一般的に知られている。CCP平行板プラズマは、2つのシースにわたり非常に高いイオンエネルギーを生成し、したがって、垂直側壁表面に対して非常に不十分な仕事をする。ウエハ表面に対してより低いエネルギー及びより広い角度分布を有する高いラジカルフラックス及びイオンフラックスを生成するために最適化された環境に、ウエハを空間的に移動させることによって、より優れた垂直ALD膜特性が実現され得る。そのようなプラズマ源には、マイクロ波、誘導結合されたプラズマ(ICP)、又は第3電極を有するより高い周波数CCP溶液が含まれる(すなわち、プラズマは、ウエハの上方の2つの電極の間で生成され、ウエハを第1電極として使用しない)。
[0005] 現在の空間ALD処理チャンバは、ウエハを1つの処理環境から隣接する環境に移動させる一定の速度で、加熱された円形プラテン上の複数のウエハを回転させる。種々の処理環境が、相容れないガスの分離を生成する。しかし、現在の空間ALD処理チャンバは、プラズマ環境をプラズマ曝露向けに最適化することができず、不均一性、プラズマ損傷、及び/又は処理柔軟性の課題をもたらす。
[0006] 例えば、プロセスガスが、ウエハ表面にわたり流れる。ウエハはオフセット軸の周りで回転しているので、ウエハの前縁と後縁は、異なる流れの流線を有する。更に、内側縁部におけるより遅い速度及び外側縁部におけるより速い速度によってもたらされる、ウエハの内径縁部と外径縁部の間の流れの違いも存在する。これらの流れの不均一性は、最適化することはできるが、消去することはできない。プラズマ損傷は、ウエハを不均一なプラズマに曝露するときに生成され得る。これらの空間的処理チャンバの一定の回転速度は、ウエハがプラズマの中に及びプラズマの外に移動することを必要とし、したがって、ウエハの一部は、他のエリアがプラズマの外側にある間にプラズマに曝露される。更に、一定の回転速度のために、空間的処理チャンバ内での曝露時間を変更することは困難であり得る。一実施例として、プロセスは、1.5秒のプラズマ処理が後に来る、0.5秒のガスAへの曝露を使用する。ツールは、一定の回転速度で進むので、これをするための唯一のやり方は、プラズマ環境をガスAの投与環境の3倍以上にすることである。ガスAとプラズマの時間が等しい別のプロセスが実行される場合、ハードウェアに対する変更が必要になるだろう。現在の空間ALDチャンバは、回転速度を遅くする又は速くするのみしかできず、より小さい又はより大きいエリア向けにチャンバハードウェアを変更することなしに、ステップ間の時間差を調整することができない。したがって、この技術分野では、改善された堆積装置及び方法が必要とされている。
[0007] 本開示の1以上の実施形態は、回転可能中央ベース、少なくとも2つの支持アーム、及びヒータを備えた、支持体アセンブリを対象とする。回転可能中央ベースは、回転軸を規定する。支持アームのそれぞれは、中央ベースから延在し、中央ベースに接触した内側端部、及び外側端部を有する。支持表面を有するヒータが、支持アームのそれぞれの外側端部上に配置されている。
[0008] 本開示の更なる実施形態は、ハウジング、複数のプロセスステーション、及び支持体アセンブリを備えた、処理チャンバを対象とする。ハウジングは、内部空間を画定する壁、底部、及び上部を有する。複数のプロセスステーションは、ハウジングの内部空間内にある。プロセスステーションは、回転軸の周りに円形構成で配置されている。プロセスステーションのそれぞれは、前面を有するガス注入器を備える。ガス注入器のそれぞれの前面は、実質的に同一平面上にある。支持体アセンブリは、ハウジングの内部空間内でプロセスステーションの下方に配置されている。支持体アセンブリは、複数の支持アームが延在する回転可能中央ベースを含む。各支持アームは、中央ベースに接触した内側端部、及び外側端部を有する。支持表面を有するヒータが、支持アームのそれぞれの外側端部上に配置されている。
[0009] 上述の本開示の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、付随する図面に例示されている。しかし、添付の図面は本開示の典型的な実施形態のみを示すものであり、したがって、本開示の範囲を限定するものと見なすべきではなく、本開示は他の等しく有効な実施形態も許容し得ることに留意されたい。
[0010] 本開示の1以上の実施形態による、処理チャンバの断面等角図を示す。 [0011] 本開示の1以上の実施形態による、処理チャンバの断面図を示す。 [0012] 本開示の1以上の実施形態による、支持体アセンブリの底面平行投影図を示す。 [0013] 本開示の1以上の実施形態による、支持体アセンブリの上面平行投影図を示す。 [0014] 本開示の1以上の実施形態による、支持体アセンブリの上面平行投影図を示す。 [0015] 本開示の1以上の実施形態による、支持体アセンブリの断面側面図を示す。 [0016] 本開示の1以上の実施形態による、支持体アセンブリの部分断面側面図を示す。 [0017] 本開示の1以上の実施形態による、支持体アセンブリの部分断面側面図を示す。 [0018] 本開示の1以上の実施形態による、支持体アセンブリの部分断面側面図を示す。 [0019] 図10Aは、本開示の1以上の実施形態による、支持プレートの上面等角図である。[0020] 図10Bは、10B‐10B’線に沿って切り取られた図10Aの支持プレートの断面側面図である。 [0021] 図11Aは、本開示の1以上の実施形態による、支持プレートの底面等角図である。[0022] 図11Bは、11B‐11B’線に沿って切り取られた図11Aの支持プレートの断面側面図である。 [0023] 図12Aは、本開示の1以上の実施形態による、支持プレートの底面等角図である。[0024] 図12Bは、12B‐12B’線に沿って切り取られた図12Aの支持プレートの断面側面図である。 [0025] 本開示の1以上の実施形態による、処理チャンバ向けの上部プレートの断面等角図である。 [0026] 本開示の1以上の実施形態による、プロセスステーションの分解断面図である。 [0027] 本開示の1以上の実施形態による、処理チャンバ向けの上部プレートの概略断面側面図である。 [0028] 本開示の1以上の実施形態による、処理チャンバ内のプロセスステーションの部分断面側面図である。 [0029] 本開示の1以上の実施形態による、処理プラットフォームの概略表現である。 [0030] 図18Aから図18Iは、本開示の1以上の実施形態による、処理チャンバ内のプロセスステーション構成の概略図を示す。 [0031] 図19A及び図19Bは、本開示の1以上の実施形態による、プロセスの概略表現を示す。 [0032] 本開示の1以上の実施形態による、支持体アセンブリの断面概略表現を示す。
[0033] 本開示の幾つかの例示的な実施形態が説明される前に理解するべきことは、本開示が以下の説明で提示される構成又は処理ステップの詳細に限定されないということである。本開示は、他の実施形態も可能であり、様々な方法で実施又は実行することができる。
[0034] 本明細書で使用される「基板」とは、その上で製造処理中に膜処理が実行されるところの、任意の基板又は基板上に形成された材料表面のことを指す。例えば、その上で処理が実行され得るところの基板表面には、用途に応じて、シリコン、酸化ケイ素、歪シリコン、シリコン・オン・インシュレータ(SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに金属、金属窒化物、金属合金、及びその他の導電材料などの任意の他の材料が含まれる。基板は、半導体ウエハを含むが、それに限定されるものではない。基板表面を、研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、及び/又はベークするために、基板に前処理プロセスを受けさせてよい。基板自体の表面上で直接膜処理することに加えて、本開示では、開示される任意の膜処理ステップが、以下でより詳細に開示されるように基板上に形成された下層上で実行されてもよい。「基板表面」という用語は、文脈が示すように、そのような下層を含むことが意図されている。したがって、例えば、膜/層又は部分的な膜/層が、基板表面上に堆積された場合、新しく堆積された膜/層の露出面が、基板表面となる。
[0035] 本明細書及び添付の特許請求の範囲で使用される際に、「前駆体」、「反応物質」、「反応ガス」などの用語は、基板表面又は基板表面上に形成された膜と反応することができる任意のガス種を指すために、相互交換可能に使用される。
[0036] 本開示の1以上の実施形態は、2つ以上の処理環境の間の空間分離を使用する。ある実施形態は、有利なことに、相容れないガスの分離を維持するための装置及び方法を提供する。ある実施形態は、有利なことに、最適化可能なプラズマ処理を含む装置及び方法を提供する。ある実施形態は、有利なことに、差別化された熱投与環境、差別化されたプラズマ処理環境、及び他の環境を可能にする、装置及び方法を提供する。
[0037] 本開示の1以上の実施形態は、処理ステーションとも称される4つの空間的に分離された処理環境を有する処理チャンバを対象とする。ある環境は、4つより多くの処理環境を有し、別の環境は、4つ未満の処理環境を有する。処理環境は、水平面内で移動する(1以上の)ウエハと同一平面上に取り付けられてよい。プロセス環境は、円形構成で配置されている。上に取り付けられた1つから4つ(又はそれより多く)の個別のウエハヒータを有する回転可能構造が、プロセス環境と同様の直径を有する円形経路でウエハを移動させる。各ヒータは、温度制御されてよく、一又は複数の同心帯区域を有してよい。ウエハの積み込みでは、真空ロボットが、終了したウエハを持ち上げ、未処理のウエハを(より下側のZ位置内の)各ウエハヒータの上方に配置されたリフトピン上に配置することができるように、回転可能構造が下げられてよい。動作では、プロセスが終了するまで各ウエハが独立した環境下にあってよく、次いで、回転可能構造が、回転して、ヒータ上のウエハを処理のために次の環境まで移動させることができる(4つのステーションでは90度、3つのステーションの場合は120度)。
[0038] 本開示のある実施形態は、有利なことに、相容れないガスを有するALD向けの空間分離を提供する。ある実施形態は、従来の時間ドメイン又は空間プロセスチャンバより高いスループット及びツール資源利用を可能にする。各プロセス環境は、異なる圧力で動作することができる。ヒータの回転はZ方向の動きを有するので、各ヒータは、チャンバ内に密封することができる。
[0039] ある実施形態は、有利なことに、マイクロ波、ICP、平行板CCP、又は3電極CCPのうちの1以上を含み得る、プラズマ環境を提供する。ウエハ全体がプラズマ内に浸されてよく、ウエハにわたる不均一なプラズマからのプラズマ損傷を消去する。
[0040] ある実施形態では、シャワーヘッドとウエハの間の小さい間隙が使用されて、投与ガスの利用及びサイクル時間速度を改善することができる。精密なシャワーヘッドの温度制御及び高い動作範囲(摂氏230度まで)。理論に束縛されることなく、シャワーヘッドの温度がウエハ温度に近付けば、ウエハ温度の均一性が改善されることが信じられている。
[0041] シャワーヘッドは、小さいガス孔(<200μm)、多い数のガス孔(数千から千万より多くまで)、及び、速度を上げるために小さい分配量を使用する、シャワーヘッドの内側の再帰的に供給されるガス分配を含んでよい。ガス孔の小さいサイズ及び多い数は、レーザー穿孔又は乾式エッチングによって生成されてよい。ウエハがシャワーヘッドの近くにあるときに、垂直孔を通ってウエハに向けて進むガスから受ける乱流が存在する。ある実施形態は、共に近くに置かれた多くの孔を使用して、シャワーヘッドを通るガスの速度を遅くすることを可能にし、ウエハ表面への均一な分布を実現する。
[0042] ある実施形態は、単一のツール上の複数の空間的に分離された処理ステーション(チャンバ)を使用する、集積化された処理プラットフォームを対象とする。処理プラットフォームは、種々のプロセスを実行することができる、様々なチャンバを有してよい。
[0043] 本開示のある実施形態は、(1以上の)ウエハヒータに取り付けられた(1以上の)ウエハを、1つの環境から別の環境に移動させるための装置及び方法を対象とする。急速な移動は、(1以上の)ウエハを(1以上の)ヒータに静電チャック(又はクランプ)することによって可能になり得る。ウエハの移動は、直線的な又は円形の動きであってよい。
[0044] 本開示のある実施形態は、1以上の基板を処理する方法を対象とする。実施例は、1つのヒータ上の1つのウエハを空間的に分離された複数の異なる連続的な環境に進める、2つのウエハヒータ上の2つのウエハを3つの環境に進める(2つの環境は同じで、2つの類似する環境の間に1つの異なる環境がある)、ウエハ1が環境A次いでBを受けてそれを繰り返し、一方で、ウエハ2がB次いでAを受けてそれを繰り返す、1つの環境は遊休したままである(ウエハなしに)、2つのウエハを2つの第1の環境及び2つの第2の環境内に進め、両方のウエハは、同時に同じ環境を受ける(すなわち、両方のウエハはA内にあり、次いで両方がBに行く)、2つのA及び2つのB環境にある4つのウエハ、及び2つのウエハがA内で処理され、一方、他の2つのウエハがB内で処理される、ことを含むが、それらに限定されるものではない。ある実施形態では、ウエハが、繰り返し環境A及び環境Bに曝露され、次いで、同じチャンバ内に位置付けられた第3の環境に曝露される。
[0045] ある実施形態では、ウエハが、処理向けの複数のチャンバを通り抜ける。その場合、チャンバのうちの少なくとも1つは、複数の空間的に分離された環境が同じチャンバ内にある状態で、連続的な処理を行う。
[0046] ある実施形態は、空間的に分離された処理環境が同じチャンバ内にある装置を対象とする。その場合、それらの環境は、大幅に異なる圧力(例えば、1つは<100mTで、もう1つは>3T)にある。ある実施形態では、ヒータ回転ロボットが、z軸内で移動し、各ウエハ/ヒータを空間的に分離された環境の中に密封する。
[0047] ある実施形態は、上側の大気の圧力と他の側の減圧によってもたらされる歪みを消去するために、チャンバ蓋の中心に対して上向きに力を加える垂直構造部材を有する、チャンバの上方に構築された構造物を含む。上方の構造物の力の大きさは、上部プレートの歪みに基づいて機械的に調整されてよい。力の調整は、フィードバック回路及び力変換器を使用して自動的に、又は例えばオペレータによって回転され得るねじを使用して手動で行われてよい。
[0048] 図1及び図2は、本開示の1以上の実施形態による、処理チャンバ100を示している。図1は、本開示の1以上の実施形態による、断面等角図として描かれた処理チャンバ100を示している。図2は、本開示の1以上の実施形態による、処理チャンバ100を断面で示している。したがって、本開示のある実施形態は、支持体アセンブリ200及び上部プレート300を組み込んだ、処理チャンバ100を対象とする。
[0049] 処理チャンバ100は、壁104及び底部106を有するハウジング102を有する。上部プレート300と共にハウジング102は、処理空間とも称される内部空間109を画定する。
[0050] 処理チャンバ100は、複数のプロセスステーション110を含む。プロセスステーション110は、ハウジング102の内部空間109内に位置付けられ、支持体アセンブリ200の回転軸211の周りに円形構成で配置されている。プロセスステーション110は、処理チャンバ100の内部空間109の周りで空間的に配置されている。各プロセスステーション110は、前面114を有するガス注入器112を備える。ある実施形態では、ガス注入器112のそれぞれの前面114が、実質的に同一平面上にある。プロセスステーション110は、内部で処理が行われ得る領域として画定されている。例えば、プロセスステーション110は、以下で説明されるように、ヒータ230の支持表面231とガス注入器112の前面114とによって画定されてよい。
[0051] プロセスステーション110は、任意の適切なプロセスを実行し、任意の適切な処理条件を提供するように構成されてよい。使用されるガス注入器112の種類は、例えば、実行されるプロセスの種類、及びシャワーヘッド又はガス注入器の種類に応じることとなる。例えば、原子層堆積装置として動作するように構成されたプロセスステーション110は、シャワーヘッド又は渦流式ガス注入器を有してよい。一方で、プラズマステーションとして動作するように構成されたプロセスステーション110は、プラズマガスがウエハに向かって流れることを可能にしながらプラズマを生成する、1以上の電極及び/又は接地されたプレート構成を有してよい。図2で示されている実施形態は、図面の右側(プロセスステーション110b)とは異なる種類のプロセスステーション110を、図面の左側(プロセスステーション110a)に有する。適切なプロセスステーション110は、熱処理ステーション、マイクロ波プラズマ、3電極CCP、ICP、平行板CCP、UV曝露、レーザー処理、ポンピングチャンバ、アニーリングステーション、及び計測ステーションを含むが、それらに限定されるものではない。
[0052] 図3から図6は、本開示の1以上の実施形態による、支持体アセンブリ200を示している。支持体アセンブリ200は、回転可能中央ベース210を含む。回転可能中央ベース210は、対称な又は非対称な形状を有し、回転軸211を規定する。図6で見られ得るように、回転軸211は、第1の方向に延在する。第1の方向は、垂直方向と称され又はz軸に沿ってよいが、この様態での用語「垂直」の使用は、引力に垂直な方向に限定されるものではないことが理解され得る。
[0053] 支持体アセンブリ200は、中央ベース210に連結され且つ中央ベース210から延在する少なくとも2つの支持アーム220を含む。支持アーム220は、内側端部221と外側端部222を有する。中央ベース210が回転軸211の周りで回転したときに、支持アーム220も同様に回転するように、内側端部221は、中央ベース210と接触している。支持アーム220は、ファスナ(例えば、ボルト)によって又は中央ベース210と一体的に形成されることによって、内側端部221で中央ベース210に連結されてよい。
[0054] ある実施形態では、支持アーム220が、回転軸211に対して直角に延在する。それによって、内側端部221と外側端部222のうちの一方は、同じ支持アーム220の内側端部221と外側端部222のうちの他方よりも、回転軸211から離れている。ある実施形態では、支持アーム220の内側端部221が、同じ支持アーム220の外側端部222よりも、回転軸211に近い。
[0055] 支持体アセンブリ200内の支持アーム220の数は、変動してよい。ある実施形態では、少なくとも2つの支持アーム220、少なくとも3つの支持アーム220、少なくとも4つの支持アーム220、又は少なくとも5つの支持アーム220が存在する。ある実施形態では、3つの支持アーム220が存在する。ある実施形態では、4つの支持アーム220が存在する。ある実施形態では、5つの支持アーム220が存在する。ある実施形態では、6つの支持アーム220が存在する。
[0056] 支持アーム220は、中央ベース210の周りで対称に配置されてよい。例えば、4つの支持アーム220を有する支持体アセンブリ200では、支持アーム220のそれぞれが、中央ベース210の周りで90度の間隔を空けて配置される。3つの支持アーム220を有する支持体アセンブリ200では、支持アーム220が、中央ベース210の周りで120度の間隔を空けて配置される。言い方を変えると、4つの支持アーム220を有する実施形態では、支持アームが、回転軸211の周りで四重対称を提供するように配置される。ある実施形態では、支持体アセンブリ200が、n個の支持アーム220を有し、n個の支持アーム220は、回転軸211の周りでn重対称を提供するように配置される。
[0057] ヒータ230は、支持アーム220の外側端部222に配置されている。ある実施形態では、各支持アーム220が、ヒータ230を有する。ヒータ230の中心は、中央ベース210が回転したときに、ヒータ230が1つの円形経路で移動するように、回転軸211から一定の距離に位置付けられている。
[0058] ヒータ230は、ウエハを支持することができる支持表面231を有する。ある実施形態では、ヒータ230の支持表面231が、実質的に同一平面上にある。この様態で使用される際に、「実質的に同一平面上」は、個々の支持表面231によって形成される平面が、他の支持表面231によって形成される平面の±5度、±4度、±3度、±2度、又は±1度の範囲内にあることを意味する。
[0059] ある実施形態では、ヒータ230が、支持アーム220の外側端部222上に直接的に配置されている。ある実施形態では、図面で示されているように、ヒータ230が、ヒータスタンドオフ234によって支持アーム220の外側端部222の上方に持ち上げられている。ヒータスタンドオフ234は、ヒータ230の高さを増加させるための任意のサイズ及び長さであってよい。
[0060] ある実施形態では、チャネル236が、中央ベース210、支持アーム220、及び/又はヒータスタンドオフ234のうちの1以上内に形成されている。チャネル236は、電気的な接続を経路指定するか、又はガス流を供給するように使用されてよい。
[0061] ヒータは、当業者に知られている任意の適切な種類のヒータであってよい。ある実施形態では、ヒータが、ヒータ本体内に1以上の加熱要素を有する抵抗ヒータである。
[0062] ある実施形態のヒータ230は、更なる構成要素を含む。例えば、ヒータは、静電チャックを備えてよい。静電チャックは、様々な導線及び電極を含んでよい。それによって、ヒータの支持表面231上に配置されたウエハは、ヒータが移動されている間に適所に保持され得る。これは、プロセスの初期においてウエハがヒータ上にチャッキングされることを可能にし、同じヒータが異なるプロセス領域に移動している間に、ウエハがその同じヒータ上のその同じ位置に維持されることを可能にする。ある実施形態では、導線及び電極が、支持アーム220内のチャネル236を通って経路指定される。図7は、その内部でチャネル236が示されているところの、支持体アセンブリ200の一部分の拡大図を示している。チャネル236は、支持アーム220及びヒータスタンドオフ234に沿って延在する。第1の電極251aと第2の電極251bは、ヒータ230と、又はヒータ230の内側の構成要素(例えば、抵抗導線)と電気接続されている。第1の導線253aは、第1のコネクタ252aで第1の電極251aに接続されている。第2の導線253bは、第2のコネクタ252bで第2の電極251bに接続されている。
[0063] ある実施形態では、温度測定デバイス(例えば、高温計、サーミスタ、熱電対)が、チャネル236内に配置され、ヒータ230の温度又はヒータ230上の基板の温度のうちの1以上を測定する。ある実施形態では、温度測定デバイス用の制御及び/又は測定導線が、チャネル236を通して経路指定されている。ある実施形態では、1以上の温度測定デバイスが、処理チャンバ100内に配置されて、ヒータ230及び/又はヒータ230上のウエハの温度を測定する。適切な温度測定デバイスが、当業者に知られており、光高温計と接触熱電対を含むが、それらに限定されるものではない。
[0064] 導線は、電源(図示せず)と接続するために、支持アーム220及び支持体アセンブリ200を通して経路指定されてよい。ある実施形態では、電源との接続が、導線253a、253bがもつれたり又は壊れたりすることなしに、支持体アセンブリ200の継続的な回転を可能にする。図7で示されているように、ある実施形態では、第1の導線253aと第2の導線253bが、支持アーム220のチャネル236に沿って中央ベース210まで延在する。中央ベース210内では、第1の導線253aが、中央第1のコネクタ254aに接続し、第2の導線253bが、中央第2のコネクタ254bに接続する。中央コネクタ254a、254bは、電力又は電気信号が、中央コネクタ254a、254bを通過することができるように、接続プレート258の部分であってよい。図示されている実施形態では、支持体アセンブリ200が、導線をもつれさせたり又は破壊したりすることなしに連続的に回転できる。というのも、導線は、中央ベース210内で終端するからである。第2の接続が、(処理チャンバの外側で)接続プレート258の反対側にある。
[0065] ある実施形態では、導線が、チャネル236を通して、処理チャンバの外側の電源又は電気構成要素と直接的に接続されている。この種の実施形態では、導線が、導線をもつれさせたり又は破壊したりすることなしに支持体アセンブリ200が限定された量だけ回転することを可能とするのに十分な緩みを有する。ある実施形態では、支持体アセンブリ200が、回転の方向が逆にされる前に、約1080度、990度、720度、630度、360度、又は270度以下だけ回転する。これは、ヒータが、導線を破壊することなしにステーションのそれぞれを通って回転することを可能にする。
[0066] 再び図3から図6を参照すると、ヒータ230及び支持表面231は、裏側ガスの流れを供給するための1以上のガス出口を含んでよい。これは、支持表面231からウエハを除去することにおいて助けとなり得る。図4及び図5で示されているように、支持表面231は、複数の開口部237とガスチャネル238を含む。開口部237及び/又はガスチャネル238は、真空源又はガス源(例えば、パージガス)のうちの1以上と流体連通してよい。この種の実施形態では、開口部237及び/又はガスチャネル238とのガス源の流体連通を可能とするために、中空管が含まれてよい。
[0067] ある実施形態では、ヒータ230及び/又は支持表面231が、静電チャックとして構成されている。この種の実施形態では、電極251a、251b(図7参照)が、静電チャック用の制御線を含んでよい。
[0068] 支持体アセンブリ200のある実施形態は、密封プラットフォーム240を含む。密封プラットフォームは、上面241、底面、及び厚さを有する。密封プラットフォーム240は、支持体アセンブリ200の下方の領域に流れるガスを最小化するための密封又は障壁を提供する助けとなるように、ヒータ230の周りに配置されてよい。
[0069] ある実施形態では、図4で示されているように、密封プラットフォーム240が、環形状であり、各ヒータ230の周りに配置されている。図示されている実施形態では、密封プラットフォーム240が、ヒータ230の下方に位置付けられている。それによって、密封プラットフォーム240の上面241は、ヒータの支持表面231の下方にある。
[0070] 密封プラットフォーム240は、幾つかの目的を有してよい。例えば、密封プラットフォーム240は、熱質量を増加させることによって、ヒータ230の温度均一性を高めるために使用されてよい。ある実施形態では、密封プラットフォーム240が、ヒータ230と一体的に形成されている(例えば、図6参照)。ある実施形態では、密封プラットフォーム240が、ヒータ230から分離している。例えば、図8で示されている実施形態は、ヒータスタンドオフ234に連結された個別の構成要素として密封プラットフォーム240を有する。それによって、密封プラットフォーム240の上面241は、ヒータ230の支持表面231の高さの下方にある。
[0071] ある実施形態では、密封プラットフォーム240が、支持プレート245用のホルダとして作用する。図5で示されているように、ある実施形態では、支持プレート245が、ヒータ230の支持表面231に対するアクセスを可能にするための複数の開口部242を有する、ヒータ230の全部を囲む単一の構成要素である。開口部242は、ヒータ230が支持プレート245を通過することを可能にし得る。ある実施形態では、支持プレート245が、ヒータ230と共に垂直に移動し、回転するように、支持プレート245が固定されている。
[0072] 1以上の実施形態では、支持体アセンブリ200が、例えば図20で示されているようにドラム形状の構成要素、すなわち、複数のウエハを支持するように構成された上面246を有する円筒形状の本体である。支持体アセンブリ200の上面246は、処理中に1以上のウエハを支持するようにサイズ決定された複数の凹部(ポケット257)を有する。ある実施形態では、ポケット257が、処理されているウエハの厚さと略同じ深さを有する。それによって、ウエハの上面は、円筒形状の本体の上面246と実質的に同一平面上にある。そのような支持体アセンブリ200の一実施例は、支持アーム220なしの図5の拡大図として想像されてよい。図20は、円筒形状の本体を使用する支持体アセンブリ200の一実施形態の断面図を示している。支持体アセンブリ200は、処理のためにウエハを支持するようにサイズ決定された複数のポケット257を含む。図示されている実施形態では、ポケット257の底部が、ヒータ230の支持表面231である。ヒータ230用の電力接続は、支持ポスト227及び支持プレート245を通して経路指定されてよい。ヒータ230は、個別に電力供給されて、個々のポケット257とウエハの温度を制御することができる。
[0073] 図9を参照すると、ある実施形態では、支持プレート245が、ヒータ230の支持表面231によって形成された主面247と実質的に平行な主面248を形成する上面246を有する。ある実施形態では、支持プレート245が、支持表面231の主面247の上方に距離Dである主面248を形成する上面246を有する。ある実施形態では、距離Dが、処理されるウエハ260の厚さと実質的に等しい。それによって、図6で示されているように、ウエハ260の表面261は、支持プレート245の上面246と同一平面上にある。この様態で使用される際に、用語「実質的に同一平面上」は、ウエハ260の表面261によって形成される主面が、±1mm、±0.5mm、±0.4mm、±0.3mm、±0.2mm、又は±0.1mmの範囲内の共平面性であることを意味する。
[0074] 図9を参照すると、本開示のある実施形態は、処理用の支持表面を作り上げる個別の構成要素を有する。ここで、密封プラットフォーム240は、ヒータ230から分離した構成要素であり、密封プラットフォーム240の上面241が、ヒータ230の支持表面231の下方にあるように配置されている。密封プラットフォーム240の上面241とヒータ230の支持表面231との間の距離は、支持プレート245が、密封プラットフォーム240上に配置されることを可能にするのに十分なものである。支持プレート245の厚さ及び/又は密封プラットフォーム240の位置は、支持プレート245の上面246の間の距離Dが、ウエハ260(図6参照)の上面261が支持プレート245の上面246と実質的に同一平面上にあるのに十分なように、制御されてよい。
[0075] ある実施形態では、図9で示されているように、支持プレート245が、支持ポスト227によって支持されている。支持ポスト227は、単一構成要素プラットフォームが使用されるときに、支持プレート245の中心の垂れ下がり(sagging)を防止することにおいて有用であり得る。ある実施形態では、密封プラットフォーム240は存在せず、支持ポスト227は、支持プレート245用の主たる支持体である。
[0076] 支持プレート245は、ヒータ230及び密封プラットフォーム240の様々な構成と相互作用するための様々な構成を有してよい。図10Aは、本開示の1以上の実施形態による、支持プレート245の上面等角図を示している。図10Bは、10B‐10B’線に沿って切り取られた図10Aの支持プレート245の断面図を示している。この実施形態では、支持プレート245が、上面246と底面249が、実質的に平坦及び/又は同一平面上にある、平面的な構成要素である。図示されている実施形態は、特に、図9で示されているように、密封プラットフォーム240が、支持プレート245を支持するために使用される場合に、有用であり得る。
[0077] 図11Aは、本開示の1以上の実施形態による、支持プレート245の別の一実施形態の底面等角図を示している。図11Bは、11B‐11B’線に沿って切り取られた図11Aの支持プレート245の断面図を示している。この実施形態では、開口部242のそれぞれが、支持プレート245の底面249上の開口部242の外側周縁の周りに突出した環270を有する。
[0078] 図12Aは、本開示の1以上の実施形態による、支持プレート245の別の一実施形態の底面等角図を示している。図12Bは、12B‐12B’線に沿って切り取られた図12Aの支持プレート245の断面図を示している。この実施形態では、開口部242のそれぞれが、支持プレート245の底面249内の開口部242の外側周縁の周りに凹環部272を有する。凹環部272は、凹んだ底面273を形成する。この種の実施形態は、密封プラットフォーム240が、存在しないか又はヒータ230の支持表面231と同一平面上にあるかの何れかの場合に、有用であり得る。凹んだ底面273は、ヒータ230の支持表面231上に配置されてよい。それによって、支持プレート245の底部分は、ヒータ230の側部の周りでヒータ230の支持表面231の下方で延在する。
[0079] 本開示のある実施形態は、マルチステーション処理チャンバ向けの上部プレート300を対象とする。図1及び図13を参照すると、上部プレート300は、蓋の厚さを画定する上面301と底面302、及び1以上の縁部303を有する。上部プレート300は、その厚さを貫通して延在する少なくとも1つの開口部310を含む。開口部310は、プロセスステーション110を形成し得るガス注入器112の追加を可能にするようにサイズ決定されている。
[0080] 図14は、本開示の1以上の実施形態による、処理ステーション110の分解図を示している。図示されている処理ステーション110は、3つの主要な構成要素を備える。すなわち、(蓋とも呼ばれる)上部プレート300、ポンプ/パージインサート330、及びガス注入器112である。図14で示されているガス注入器112は、シャワーヘッドタイプのガス注入器である。ある実施形態では、インサートが、真空(排気)と連結され、又はそれと流体連通している。ある実施形態では、インサートが、パージガス源と連結され、又はそれと流体連通している。
[0081] 上部プレート300内の開口部310は、均一にサイズ決定されてよく、又は種々のサイズを有してよい。種々のサイズ決定された/形状のガス注入器112は、開口部310からガス注入器112に移行するように適切に形作られたポンプ/パージインサート330と共に使用され得る。例えば、図示されているように、ポンプ/パージインサート330は、側壁335を有する上部331と底部333を含む。上部プレート300内の開口部310の中に挿入されたときに、底部333に隣接するレッジ334が、開口部310内に形成された棚315上に配置されてよい。ある実施形態では、開口部内に棚315は存在せず、ポンプ/パージインサート330のフランジ部分337が、上部プレート300の上に載置される。図示されている実施形態では、気密密封を形成する助けとなるためにOリング314が間に配置された状態で、レッジ334が棚315上に載置されている。
[0082] ある実施形態では、上部プレート300内に1以上のパージ環309(図13参照)が存在する。パージ環309は、処理チャンバからの処理ガスの漏れを防止するために、パージガスの正の流れを提供するように、パージガスプレナム(図示せず)又はパージガス源(図示せず)と流体連通してよい。
[0083] ある実施形態のポンプ/パージインサート330は、ポンプ/パージインサート330の底部333内に少なくとも1つの開口部338を有する、ガスプレナム336を含む。ガスプレナム336は、通常はポンプ/パージインサート330の上部331又は側壁335の近くに、入口(図示せず)を有する。
[0084] ある実施形態では、プレナム336が、ポンプ/パージインサート330の底部333内の開口部338を通過し得るパージガス又は不活性ガスを補充されてよい。開口部338を通るガス流は、処理チャンバの内部からのプロセスガスの漏れを防止するための、ガスカーテンタイプの障壁を生成する助けとなり得る。
[0085] ある実施形態では、プレナム336が、真空源と連結され、又はそれと流体連通している。そのような実施形態では、ポンプ/パージインサート330の底部333内の開口部338を通って、プレナム336の中にガスが流れる。ガスは、プレナムから排気に排出されてよい。そのような構成が使用されて、使用中にプロセスステーション110からガスを排出させることができる。
[0086] ポンプ/パージインサート330は、ガス注入器112が挿入され得る開口部339を含む。図示されているガス注入器112は、ポンプ/パージインサート330の上部331に隣接したレッジ332と接触し得るフランジ342を有する。ガス注入器112の直径又は幅は、ポンプ/パージインサート330の開口部339内にフィットし得る任意の適切なサイズであってよい。これは、様々な種類のガス注入器112が、上部プレート300内の同じ開口部310内で使用されることを可能にする。
[0087] 図2及び図15を参照すると、上部プレート300のある実施形態は、上部プレート300の中央部分を渡るバー360を含む。バー360は、コネクタ367を使用して、中央の近くで上部プレート300に連結されてよい。コネクタ367が使用されて、上部プレート300の上部331又は底部333に対して直角な力を加えて、圧力差の結果としての又は上部プレート300の重量による上部プレート300の曲がりを補償することができる。ある実施形態では、バー360及びコネクタ367は、約1.5mの幅及び約100mm以下の厚さを有する上部プレート300の中央における、約1.5mm以下の歪みを補償することができる。ある実施形態では、モータ365又はアクチュエータが、コネクタ367に連結され、上部プレート300に加えられる方向性のある力を変更することができる。モータ365又はアクチュエータは、バー360上に支持されてよい。図示されているバー360は、2つの位置において上部プレート300の縁部と接触している。しかし、1つの連結位置又は3つ以上の連結位置が存在し得ることを、当業者は認識するだろう。
[0088] ある実施形態では、図2で示されているように、支持体アセンブリ200が、少なくとも1つのモータ250を含む。少なくとも1つのモータ250が、中央ベース210に連結され、回転軸211の周りで支持体アセンブリ200を回転させるように構成されている。ある実施形態では、少なくとも1つのモータが、回転軸211に沿った方向に中央ベース210を移動させるように構成されている。例えば、図2では、モータ255が、モータ250に連結され、回転軸211に沿って支持体アセンブリ200を移動させることができる。言い方を変えると、図示されているモータ255は、z軸に沿って、垂直に、又はモータ250によってもたらされる移動と直角に、支持体アセンブリ200を移動させることができる。ある実施形態では、図示されているように、回転軸211の周りで支持体アセンブリ200を回転させるための第1のモータ250、及び、回転軸211に沿って(すなわち、z軸に沿って又は垂直方向に)支持体アセンブリ200を移動させるための第2のモータ255が存在する。
[0089] 図2及び図16を参照すると、1以上の真空流及び/又はパージガス流が使用されて、1つのプロセスステーション110aを隣接するプロセスステーション110bから孤立させる助けとなり得る。パージガスプレナム370は、プロセスステーション110の外側境界において、パージガスポート371と流体連通してよい。図16で示されている実施形態では、パージガスプレナム370とパージガスポート371が、上部プレート300内に位置付けられている。ポンプ/パージインサート330の部分として示されているプレナム336は、ポンプ/パージガスポートとして作用する開口部338と流体連通している。図13で示されているように、パージガスポート371とパージガスプレナム370、及び真空ポート(開口部338)は、ガスカーテンを生成するために、プロセスステーション110の外周の周りで延在してよい。ガスカーテンは、処理チャンバの内部空間109の中へのプロセスガスの漏れを最小化し又は消去する助けとなり得る。
[0090] 図16で示されている実施形態では、プロセスステーション110を孤立させる助けとなるために、差圧排気が使用されてよい。ポンプ/パージインサート330は、Oリング329を伴って、ヒータ230及び支持プレート245と接触するように示されている。Oリング329は、プレナム336と流体連通した開口部338の何れの側にも配置されている。1つのOリング329は、開口部338の外周の内側に配置され、もう1つのOリング329は、開口部338の外周の外側に配置されている。Oリング329と開口部338を有するポンプ/パージプレナム336との組み合わせは、処理チャンバ100の内部空間109からのプロセスステーション110の気密密封を維持するために十分な差圧を提供することができる。ある実施形態では、1つのOリング329が、開口部338の外周の内側又は外側の何れかに配置される。ある実施形態では、プレナム370に流体連通したパージガスポート371の外周の、1つは内側、もう1つは外側に配置された2つのOリング329が存在する。ある実施形態では、プレナム370に流体連通したパージガスポート371の外周の内側又は外側の何れかに配置された1つのOリング329が存在する。
[0091] プロセスステーション110の境界は、その範囲内でプロセスガスがポンプ/パージインサート330によって孤立されるところの領域と考えられてよい。ある実施形態では、図14及び図16で示されているように、プロセスステーション110の外側境界が、ポンプ/パージインサート330のプレナム336に流体連通する開口部338の最も外側の縁部381である。
[0092] プロセスステーション110の数は、ヒータ230及び支持アーム220の数によって変動し得る。ある実施形態では、等しい数の、ヒータ230、支持アーム220、及びプロセスステーション110が存在する。ある実施形態では、ヒータ230、支持アーム220、及びプロセスステーション110が、次のように構成されている。すなわち、ヒータ230の支持表面231のそれぞれが、同時に異なるプロセスステーション110の前面114に隣接して位置付けられてよい。言い方を変えると、ヒータのそれぞれは、同時に、それぞれ1つのプロセスステーション内に配置される。
[0093] 処理チャンバ100の周りの処理ステーション110の間隔は、変動してよい。ある実施形態では、処理ステーション110が、ステーション間の間隔を最小化するように十分共に近い。それによって、基板は、最小量の時間及びステーションのうちの1つの外側への移動距離を費やしながら、プロセスステーション110の間で素早く移動することができる。ある実施形態では、プロセスステーション110は、ヒータ230の支持表面231上に搬送されたウエハが、常にプロセスステーション110のうちの1つの範囲内にあるように十分近く配置されている。
[0094] 図17は、本開示の1以上の実施形態による、処理プラットフォーム400を示している。図17で示されている実施形態は、単に1つの可能な構成を表すものであり、本開示の範囲を限定すると見なされるべきではない。例えば、ある実施形態では、処理プラットフォーム400が、図示されている実施形態とは異なる数の、処理チャンバ100、バッファステーション420、及び/又はロボット430構成のうちの1以上を有する。
[0095] 例示的な処理プラットフォーム400は、複数の側部411、412、413、414を有する、中央移送ステーション410を含む。図示されている移送ステーション410は、第1の側部411、第2の側部412、第3の側部413、及び第4の側部414を有する。4つの側部が示されているが、例えば処理プラットフォーム400の全体的な構成に応じて、移送ステーション410に任意の適切な数の側部が存在し得ることは、当業者が理解可能であろう。ある実施形態では、移送ステーション410が、3つの側部、4つの側部、5つの側部、6つの側部、7つの側部、又は8つの側部を有する。
[0096] 移送ステーション410は、その内部に配置されたロボット430を有する。ロボット430は、処理中にウエハを移動させることができる任意の適切なロボットであってよい。ある実施形態では、ロボット430が、第1のアーム431と第2のアーム432を有する。第1のアーム431と第2のアーム432は、他のアームから独立して移動され得る。第1のアーム431と第2のアーム432は、x‐y平面内で且つ/又はz軸に沿って移動してよい。ある実施形態では、ロボット430が、第3のアーム(図示せず)又は第4のアーム(図示せず)を含む。アームのそれぞれは、他のアームと独立して移動することができる。
[0097] 図示されている実施形態は、2つずつが中央移送ステーション410の第2の側部412、第3の側部413、及び第4の側部414に連結された、6つの処理チャンバ100を含む。処理チャンバ100のそれぞれは、異なるプロセスを実行するように構成されてよい。
[0098] 処理プラットフォーム400は、中央移送ステーション410の第1の側部411に連結された、1以上のバッファステーション420も含んでもよい。バッファステーション420は、同じ又は異なる機能を実行してよい。例えば、バッファステーションは、処理され、元々のカセットに戻される、ウエハのカセットを保持してよく、又は、バッファステーションのうちの一方が、処理の後で他方のバッファステーションに移動される、未処理ウエハを保持してよい。ある実施形態では、バッファステーションのうちの1以上が、処理の前及び/又は後でウエハを予処理、予加熱、又は洗浄するように構成されている。
[0099] 処理プラットフォーム400は、中央移送ステーション410と処理チャンバ100の何れかとの間に、1以上のスリットバルブ418を含んでもよい。スリットバルブ418は、中央移送ステーション410内の環境から、処理チャンバ100内の内部空間を孤立させるために開閉してよい。例えば、処理チャンバが、処理中にプラズマを生成することとなる場合、その処理チャンバのスリットバルブを閉じて、浮遊プラズマが移送ステーション内のロボットに損傷を与えるのを防ぐことが役に立つだろう。
[00100] 処理プラットフォーム400は、ウエハ又はウエハのカセットが、処理プラットフォーム400の中に積み込まれることを可能にするための、ファクトリインターフェース450に連結されてよい。ファクトリインターフェース450内のロボット455は、ウエハ又はカセットをバッファステーションの中に及びその外に移動させるために使用されてよい。ウエハ又はカセットは、中央移送ステーション410内のロボット430によって、処理プラットフォーム400内に移動されてよい。ある実施形態では、ファクトリインターフェース450が、別のクラスタツール(すなわち、別の複数チャンバの処理プラットフォーム)の移送ステーションである。
[00101] コントローラ495が、処理プラットフォーム400の様々な構成要素の動作を制御するために、様々な構成要素に設けられ、接続されてよい。コントローラ495は、処理プラットフォーム400全体を制御する単一のコントローラであってよく、又は処理プラットフォーム400の個々の部分を制御する複数のコントローラであってよい。例えば、処理プラットフォーム400は、個々の処理チャンバ100、中央移送ステーション410、ファクトリインターフェース450、及びロボット430のそれぞれ用の個別のコントローラを含んでよい。
[00102] コントローラ495は、中央処理装置(CPU)496、メモリ497、及び補助回路498を含む。コントローラ495は、直接的に、又は特定のプロセスチャンバ及び/若しくは支持システム構成要素に関連付けられたコンピュータ(若しくはコントローラ)を介して、処理プラットフォーム400を制御してよい。
[00103] コントローラ495は、様々なチャンバ及びサブプロセッサを制御するための工業環境で使用され得る、任意の形態の汎用コンピュータプロセッサのうちの1つであってよい。コントローラ495のメモリ497又はコンピュータ可読媒体は、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、光記憶媒体(例えば、コンパクトディスク若しくはデジタルビデオディスク)、フラッシュドライブ、又はローカル若しくは遠隔の任意の他の形態のデジタルストレージなど、容易に入手可能なメモリのうちの1以上であってよい。メモリ497は、処理プラットフォーム400のパラメータ及び構成要素を制御するために、プロセッサ(CPU496)によって実行可能な指示命令の一組を保持することができる。
[00104] 補助回路498は、従来のやり方でプロセッサをサポートするためにCPU496に接続されている。これらの回路は、キャッシュ、電力供給装置、クロック回路、入/出力回路、及びサブシステムなどを含む。1以上のプロセスは、プロセッサによって実行され又は呼び出されたときに、プロセッサに、本明細書で説明されるやり方で、処理プラットフォーム400又は個々の処理チャンバの動作を制御させるソフトウェアルーチンとして、メモリ498内に記憶され得る。ソフトウェアルーチンは、CPU496によって制御されているハードウェアから遠隔に位置付けられた、第2のCPU(図示せず)によって記憶され且つ/又は実行されてもよい。
[00105] 本開示のプロセス及び方法の一部又は全部をハードウェア内で実行することもできる。したがって、プロセスは、ソフトウェア内に実装され、ハードウェア内のコンピュータシステムを、例えば、特定用途向け集積回路或いは他の種類のハードウェア実装又はソフトウェアとハードウェアとの組み合わせとして使用して実行されてもよい。ソフトウェアルーチンは、プロセッサよって実行されたときに、汎用コンピュータを、プロセスが実行されるようにチャンバ動作を制御する専用コンピュータ(コントローラ)に変換する。
[00106] ある実施形態では、コントローラ495が、該方法を実行するように、個々のプロセス又はサブプロセスを実行するための1以上の構成を有する。コントローラ495は、該方法の機能を実行するために中間構成要素を動作させるように接続され又は構成されてよい。例えば、コントローラ495は、ガスバルブ、アクチュエータ、モータ、スリットバルブ、減圧制御などの、構成要素のうちの1以上を制御するように接続され且つ構成されてよい。
[00107] 図18Aから図18Iは、種々のプロセスステーション110を有する処理チャンバ100の様々な構成を示している。文字付きの円は、種々のプロセスステーション110及びプロセス条件を表している。例えば、図18Aでは、それぞれが異なる文字を有する4つの処理ステーション110が存在する。これは、それぞれのステーションが他のステーションとは異なる条件を有する、4つの処理ステーション110を表している。矢印によって示されているように、プロセスは、ウエハを有するヒータをステーションAからDまで移動させることによって生じ得る。Dへの曝露の後で、サイクルは継続又は逆転し得る。
[00108] 図18Bでは、ヒータ上のウエハがAとBの位置の間で前後に移動される様態で、2つ又は4つのウエハが同時に処理され得る。2つのウエハは、A位置で開始し、2つのウエハは、B位置で開始する。独立したプロセスステーション110は、ステーションのうちの2つが、第1のサイクル中にスイッチオフされることを可能にする。それによって、各ウエハはA曝露で開始する。ヒータとウエハは、連続的に時計回り又は反時計回りに回転されてよい。ある実施形態では、ヒータとウエハが第1の方向(例えば、AからB)に90度回転され、次いで、第2の方向(例えば、BからAに戻る)に90度回転される。この回転は、繰り返されて、4つのウエハ/ヒータが、約90度より多く支持体アセンブリを回転させることなしに処理されることをもたらし得る。
[00109] 図18Bで示されている実施形態は、2つのウエハを4つの処理ステーション110内で処理することにおいても有用であり得る。これは、プロセスのうちの1つが、非常に異なる圧力にあるか、又はA及びBのプロセス時間が非常に異なる場合に、特に有用であり得る。
[00110] 図18Cでは、3つのウエハが、単一の処理チャンバ100内及びABCプロセスで処理されてよい。1つのステーションは、スイッチがオフにされているか、又は異なる機能(例えば、予加熱)を実行するかの何れかであってよい。
[00111] 図18Dでは、2つのウエハが、AB‐Treatプロセスで処理されてよい。例えば、ウエハは、Bヒータ上のみに配置されてよい。時計回りに四分の一だけ回転すると、1つのウエハは、Aステーション内に配置され、第2のウエハは、Tステーション内に配置されてよい。回し戻すと、両方のウエハはBステーションに移動し、もう1回反時計回りに四分の一だけ回転すると、第2のウエハは、Aステーション内に配置され、第1のウエハは、Bステーション内に配置される。
[00112] 図18Eでは、4つ以下のウエハが、同時に処理されてよい。例えば、Aステーションが、CVD又はALDプロセスを実行するように構成され、4つのウエハが、同時に処理されてよい。
[00113] 図18Fから図18Iは、3つのプロセスステーション110を有するプロセスチャンバ100用の同様な種類の構成を示している。簡潔に、図18Fでは、単一のウエハ(又は2以上のウエハ)が、ABCプロセスに晒されてよい。図18Gでは、1つのウエハをA位置内に配置し、もう1つのウエハをB位置のうちの1つ内に配置することによって、2つのウエハがABプロセスに晒され得る。次いで、ウエハが、前後に移動されてよく、それによって、B位置内で開始したウエハは、第1の移動でA位置に移動され、次いで、同じB位置に戻される。図18Hでは、ウエハが、AB‐Treatプロセスに晒されてよい。図18Iでは、3つのウエハが、同時に処理されてよい。
[00114] 図19A及び図19Bは、本開示の別の一実施形態を示している。図19Aは、ウエハ101がガス注入器112と隣接するように、プロセスステーション110の下の位置に回転されたヒータ230及び支持プレート245の部分図を示している。支持プレート245上又はヒータ230の外側部分上のOリング329が、弛緩した状態にある。
[00115] 図19Bは、ヒータ230の支持表面231が、プロセスステーション110内のガス注入器112の前面114と接触するか又は接触しそうになるように、処理ステーション110に向けて移動された後の、支持プレート245及びヒータ230を示している。この位置では、Oリング329が、圧縮され、支持プレート245の外側縁部又はヒータ230の外側部分の周りの密封を形成する。これは、反応領域219が素早くパージされ得るように、反応領域219の空間をできるだけ最小化するべく、ウエハ101がガス注入器112の近くに移動されることを可能にする。
[00116] 反応領域219から流れ出る可能性のあるガスが、開口部338を通してプレナム336の中に排出され、排気又はフォアライン(図示せず)に至る。開口部338の外側のパージガスカーテンは、パージガスプレナム370及びパージガスポート371によって生成されてよい。更に、ヒータ230と支持プレート245の間の間隙137が、反応領域219を更にカーテンオフし、反応ガスが処理チャンバ100の内部空間109の中に流れることを防止する助けとなり得る。
[00117] 図17に戻って参照すると、ある実施形態のコントローラ495は、複数の処理チャンバの間でロボット上の基板を移動させるための構成、基板をシステムに積み込む及び/又はシステムから積み降ろすための構成、スリットバルブを開/閉するための構成、ヒータのうちの1以上に電力を供給するための構成、ヒータの温度を測定するための構成、ヒータ上のウエハの温度を測定するための構成、ウエハをヒータに積み込む又はヒータから積み降ろすための構成、温度測定とヒータ電力制御の間のフィードバックを提供するための構成、回転軸の周りで支持体アセンブリを回転させるための構成、回転軸に沿って(すなわち、z軸に沿って)支持体アセンブリを移動させるための構成、支持体アセンブリの回転速度を設定又は変更するための構成、ガスの流れをガス注入器に供給するための構成、ガス注入器内でプラズマを生成するための1以上の電極に電力を供給するための構成、プラズマ源用の電源を制御するための構成、プラズマ源の電源の周波数及び/又は電力を制御するための構成、並びに/又は熱アニール処理ステーション向けの制御を提供するための構成、から選択される1以上の構成を有する。
[00118] この明細書全体を通じての、「一実施形態(one embodiment)」、「ある種の実施形態(certain embodiments)」、「1以上の実施形態(one or more embodiments)」、又は、「実施形態(an embodiment)」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。故に、この明細書全体の様々な箇所での「1以上の実施形態で」、「特定の実施形態で」、「一実施形態で」、又は「実施形態で」などの表現は、必ずしも、本開示の同一の実施形態に言及するものではない。更に、特定の特徴、構造、材料、又は特質は、1以上の実施形態において、任意の適切なやり方で組み合わされ得る。
[00119] 本明細書の開示は特定の実施形態を参照して説明されているが、これらの実施形態は、本開示の原理及び用途の例示にすぎないことを理解されたい。本開示の精神及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な改変及び変形を行い得ることが、当業者には明らかになろう。したがって、本発明は、添付の特許請求の範囲及びその均等物の範囲内にある修正及び変形を含むことが意図されている。

Claims (12)

  1. 支持体アセンブリであって、
    回転軸を規定する回転可能中央ベース、
    前記中央ベースから延在する少なくとも2つの支持アームであって、前記支持アームのそれぞれが、前記中央ベースに接触した内側端部、及び外側端部を有する、少なくとも2つの支持アーム、
    前記支持アームのそれぞれの前記外側端部上に配置されているヒータであって、支持表面を有するヒータ、並びに
    前記支持体アセンブリの下方の領域に流れるガスを最小化するための密封又は障壁を提供する助けとなる、前記ヒータの周りに配置された少なくとも1つの密封プラットフォームを備え、
    前記密封プラットフォームが、前記ヒータの前記支持表面によって形成された主面と実質的に平行な主面を形成する上面を有する、支持体アセンブリ。
  2. 前記支持アームが、前記回転軸に対して直角に延在する、請求項1に記載の支持体アセンブリ。
  3. 3つの支持アームと3つのヒータが存在する、請求項1に記載の支持体アセンブリ。
  4. 4つの支持アームと4つのヒータが存在する、請求項1に記載の支持体アセンブリ。
  5. 前記ヒータの支持表面が、実質的に同一平面上にある、請求項1に記載の支持体アセンブリ。
  6. 前記中央ベースが回転したときに、前記ヒータが1つの円形経路で移動するように、前記ヒータの中心が前記回転軸から一定の距離に位置付けられている、請求項1に記載の支持体アセンブリ。
  7. 前記中央ベースに連結された少なくとも1つのモータを更に備え、前記少なくとも1つのモータが、前記回転軸の周りで前記支持体アセンブリを回転させるように構成されている、請求項1に記載の支持体アセンブリ。
  8. 各ヒータが、前記ヒータの周りに配置された密封プラットフォームを有し、前記密封プラットフォームが、環形状の上面を形成する、請求項1に記載の支持体アセンブリ。
  9. 処理チャンバであって、
    内部空間を画定する壁、底部、及び上部を有する、ハウジングと、
    前記ハウジングの前記内部空間内にある複数のプロセスステーションであって、回転軸の周りに円形構成で配置され、各プロセスステーションが、前面を有するガス注入器を備え、前記ガス注入器のそれぞれの前記前面が、実質的に同一平面上にある、複数のプロセスステーションと、
    前記ハウジングの前記内部空間内の支持体アセンブリであって、該支持体アセンブリは、前記複数のプロセスステーションの下方に配置され、かつ回転可能中央ベースを含み、該中央ベースから複数の支持アームが延在し、各支持アームが、前記中央ベースに接触した内側端部、及び外側端部を有し、支持表面を有するヒータが、前記支持アームのそれぞれの前記外側端部上に配置されている、支持体アセンブリとを備え、
    前記支持体アセンブリの下方の領域に流れるガスを最小化するための密封又は障壁を提供する助けとなる、前記ヒータの周りに配置された少なくとも1つの密封プラットフォームを前記支持体アセンブリがさらに含み、
    前記密封プラットフォームが、前記ヒータの前記支持表面によって形成された主面と実質的に平行な主面を形成する上面を有する、処理チャンバ。
  10. 前記ヒータの前記支持表面のそれぞれが、同時に異なるプロセスステーションの前記前面に隣接して位置付けられ得るように構成された、等しい数のヒータ、支持アーム、及びプロセスステーションが存在する、請求項に記載の処理チャンバ。
  11. 前記ヒータの支持表面が、実質的に同一平面上にある、請求項に記載の処理チャンバ。
  12. 前記中央ベースに連結された少なくとも1つのモータを更に備え、前記少なくとも1つのモータが、前記回転軸の周りで前記支持体アセンブリを回転させるように構成されている、請求項に記載の処理チャンバ。
JP2020522297A 2017-10-27 2018-10-26 空間分離を伴う単一ウエハの処理環境 Active JP7337786B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2022092065A JP7451601B2 (ja) 2017-10-27 2022-06-07 空間分離を伴う単一ウエハの処理環境
JP2024033563A JP2024081654A (ja) 2017-10-27 2024-03-06 空間分離を伴う単一ウエハの処理環境

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762578365P 2017-10-27 2017-10-27
US62/578,365 2017-10-27
PCT/US2018/057685 WO2019084386A1 (en) 2017-10-27 2018-10-26 SINGLE WAFER PROCESSING ENVIRONMENTS WITH SPACE SEPARATION

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022092065A Division JP7451601B2 (ja) 2017-10-27 2022-06-07 空間分離を伴う単一ウエハの処理環境

Publications (2)

Publication Number Publication Date
JP2021501465A JP2021501465A (ja) 2021-01-14
JP7337786B2 true JP7337786B2 (ja) 2023-09-04

Family

ID=66244211

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2020522297A Active JP7337786B2 (ja) 2017-10-27 2018-10-26 空間分離を伴う単一ウエハの処理環境
JP2022092065A Active JP7451601B2 (ja) 2017-10-27 2022-06-07 空間分離を伴う単一ウエハの処理環境
JP2024033563A Pending JP2024081654A (ja) 2017-10-27 2024-03-06 空間分離を伴う単一ウエハの処理環境

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2022092065A Active JP7451601B2 (ja) 2017-10-27 2022-06-07 空間分離を伴う単一ウエハの処理環境
JP2024033563A Pending JP2024081654A (ja) 2017-10-27 2024-03-06 空間分離を伴う単一ウエハの処理環境

Country Status (6)

Country Link
US (2) US11894257B2 (ja)
JP (3) JP7337786B2 (ja)
KR (3) KR102383687B1 (ja)
CN (1) CN111212931A (ja)
TW (3) TWI768849B (ja)
WO (1) WO2019084386A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6674800B2 (ja) * 2016-03-07 2020-04-01 日本特殊陶業株式会社 基板支持装置
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
TW202117067A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 用於改善均勻性的抖動或動態偏移
TW202117217A (zh) 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
TW202125689A (zh) * 2019-10-11 2021-07-01 美商應用材料股份有限公司 用於空間多晶圓處理工具的基座加熱器
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US20220106683A1 (en) * 2020-10-01 2022-04-07 Applied Materials, Inc. Apparatus and methods to transfer substrates into and out of a spatial multi-substrate processing tool
CN112331598B (zh) * 2020-10-27 2023-06-20 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 晶圆承载装置和晶圆分离设备
US20220186367A1 (en) * 2020-12-13 2022-06-16 Applied Materials, Inc. Deposition Apparatus and Methods Using Staggered Pumping Locations
CN113314447B (zh) * 2021-02-01 2024-04-02 中科晶源微电子技术(北京)有限公司 晶片转移装置、腔体装置、晶片处理设备
USD980884S1 (en) 2021-03-02 2023-03-14 Applied Materials, Inc. Lift pin
US11915918B2 (en) * 2021-06-29 2024-02-27 Applied Materials, Inc. Cleaning of sin with CCP plasma or RPS clean

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20090301653A1 (en) 2006-01-25 2009-12-10 On Track Innovations Ltd. Apparatus and process for producing document core inlays
JP2011187695A (ja) 2010-03-09 2011-09-22 Taiyo Nippon Sanso Corp 気相成長方法
US20160217999A1 (en) 2015-01-22 2016-07-28 Applied Materials, Inc. Atomic Layer Deposition Of Films Using Spatially Separated Injector Chamber
JP2016139795A (ja) 2015-01-22 2016-08-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的に分離された原子層堆積チャンバのための改良型注入器
JP2017503079A (ja) 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積
JP2017139449A (ja) 2016-02-02 2017-08-10 東京エレクトロン株式会社 基板処理装置
JP2017528916A (ja) 2014-09-10 2017-09-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積におけるガス分離制御

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5546056B2 (ja) * 1972-08-30 1980-11-21
JPH0825151B2 (ja) 1988-09-16 1996-03-13 東京応化工業株式会社 ハンドリングユニット
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5849076A (en) * 1996-07-26 1998-12-15 Memc Electronic Materials, Inc. Cooling system and method for epitaxial barrel reactor
WO1998045501A1 (en) * 1997-04-10 1998-10-15 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device and a device for applying such a method
US6105592A (en) * 1997-07-21 2000-08-22 Semitool, Inc. Gas intake assembly for a wafer processing system
US6450755B1 (en) 1998-07-10 2002-09-17 Equipe Technologies Dual arm substrate handling robot with a batch loader
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
DE10043600B4 (de) * 2000-09-01 2013-12-05 Aixtron Se Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf einem oder mehreren, insbesondere ebenfalls kristallinen Substraten
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6764658B2 (en) 2002-01-08 2004-07-20 Wisconsin Alumni Research Foundation Plasma generator
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20050084610A1 (en) 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
KR100553685B1 (ko) 2003-05-14 2006-02-24 삼성전자주식회사 반도체 기판을 컨테이너로부터 언로딩하는 이송장치 및이송방법
WO2005015613A2 (en) 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
WO2006088463A1 (en) 2005-02-17 2006-08-24 Selitser Simon I Atmospheric pressure molecular layer cvd
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US8992725B2 (en) 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
US20090016853A1 (en) 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
CN101755073B (zh) 2007-07-30 2011-10-12 Ips股份有限公司 在晶圆上沉积薄膜的反应器
CA2703499A1 (en) * 2007-08-17 2009-02-26 Epispeed Sa Apparatus and method for producing epitaxial layers
US9287096B2 (en) 2007-09-27 2016-03-15 Lam Research Corporation Methods and apparatus for a hybrid capacitively-coupled and an inductively-coupled plasma processing system
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP4974873B2 (ja) * 2007-12-26 2012-07-11 新光電気工業株式会社 静電チャック及び基板温調固定装置
KR20090114132A (ko) * 2008-04-29 2009-11-03 엘지이노텍 주식회사 반도체 제조장치
KR100978569B1 (ko) 2008-06-02 2010-08-27 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
JP2010034505A (ja) 2008-06-30 2010-02-12 Canon Anelva Corp 積層ロードロックチャンバおよびそれを備えた基板処理装置
CN101665919A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 成膜装置、基板处理装置、成膜方法
JP5173684B2 (ja) * 2008-09-04 2013-04-03 東京エレクトロン株式会社 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラム及びこれを記憶するコンピュータ可読記憶媒体
JP5315898B2 (ja) * 2008-09-30 2013-10-16 東京エレクトロン株式会社 成膜装置
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
EP2380192A4 (en) * 2008-12-19 2014-07-30 Lam Res Ag DEVICE FOR TREATING DISC-SHAPED ARTICLES AND METHOD FOR IMPLEMENTING THE SAME
JP4707749B2 (ja) 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置
US8344559B2 (en) 2009-05-05 2013-01-01 Advanced Energy Industries, Inc. Multi-feed RF distribution systems and methods
US20110290175A1 (en) 2009-06-07 2011-12-01 Veeco Instruments, Inc. Multi-Chamber CVD Processing System
TW201130401A (en) 2009-11-23 2011-09-01 Jusung Eng Co Ltd Apparatus for processing substrate
KR101205433B1 (ko) * 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
JP5572515B2 (ja) 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
WO2012064949A1 (en) 2010-11-10 2012-05-18 Brooks Automation, Inc. Dual arm robot
JP5727888B2 (ja) 2011-02-28 2015-06-03 株式会社吉野工業所 吐出容器
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
KR101502856B1 (ko) * 2011-05-25 2015-03-17 세메스 주식회사 기판 처리 장치 및 기판지지부재의 위치 검출 방법
US20120321788A1 (en) * 2011-06-16 2012-12-20 Pinecone Material Inc. Rotation system for thin film formation
KR20130106906A (ko) 2012-03-21 2013-10-01 주식회사 윈텔 기판 처리 장치 및 기판 처리 방법
US9091397B2 (en) 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
KR20130119211A (ko) * 2012-04-23 2013-10-31 (주)제이하라 기판처리장치용 트레이
KR102094390B1 (ko) 2012-11-30 2020-03-27 어플라이드 머티어리얼스, 인코포레이티드 모터 모듈들, 다중-축 모터 구동 조립체들, 다중-축 로봇 장치, 및 전자 디바이스 제조 시스템들 및 방법들
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
JP6190645B2 (ja) 2013-07-09 2017-08-30 東京エレクトロン株式会社 基板搬送方法
CN104752300B (zh) * 2013-12-31 2018-09-18 北京北方华创微电子装备有限公司 静电卡盘及反应腔室
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9779971B2 (en) * 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
WO2016196105A1 (en) * 2015-06-05 2016-12-08 Applied Materials, Inc. Susceptor position and rotation apparatus and methods of use
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
WO2017019250A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B. V. Methods and apparatuses for thin film deposition
US10204790B2 (en) * 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
JP5938506B1 (ja) 2015-09-17 2016-06-22 株式会社日立国際電気 基板処理システム、半導体装置の製造方法、プログラム及び記録媒体
US9960072B2 (en) * 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
CN107022754B (zh) 2016-02-02 2020-06-02 东京毅力科创株式会社 基板处理装置
CN109072421A (zh) 2016-04-29 2018-12-21 雷特罗萨米科技有限责任公司 具有分离式电极的等离子体反应器
US20170314132A1 (en) 2016-04-29 2017-11-02 Retro-Semi Technologies, Llc. Plasma reactor having divided electrodes

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090301653A1 (en) 2006-01-25 2009-12-10 On Track Innovations Ltd. Apparatus and process for producing document core inlays
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
JP2011187695A (ja) 2010-03-09 2011-09-22 Taiyo Nippon Sanso Corp 気相成長方法
JP2017503079A (ja) 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積
JP2017528916A (ja) 2014-09-10 2017-09-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積におけるガス分離制御
US20160217999A1 (en) 2015-01-22 2016-07-28 Applied Materials, Inc. Atomic Layer Deposition Of Films Using Spatially Separated Injector Chamber
JP2016139795A (ja) 2015-01-22 2016-08-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的に分離された原子層堆積チャンバのための改良型注入器
JP2017139449A (ja) 2016-02-02 2017-08-10 東京エレクトロン株式会社 基板処理装置

Also Published As

Publication number Publication date
JP7451601B2 (ja) 2024-03-18
TWI729319B (zh) 2021-06-01
KR20200062360A (ko) 2020-06-03
KR20230100751A (ko) 2023-07-05
TW201923953A (zh) 2019-06-16
WO2019084386A1 (en) 2019-05-02
KR102614522B1 (ko) 2023-12-15
TW202243101A (zh) 2022-11-01
CN111212931A (zh) 2020-05-29
KR20220046004A (ko) 2022-04-13
US20190131167A1 (en) 2019-05-02
TW202347596A (zh) 2023-12-01
TW202135223A (zh) 2021-09-16
US20240096688A1 (en) 2024-03-21
JP2021501465A (ja) 2021-01-14
JP2024081654A (ja) 2024-06-18
US11894257B2 (en) 2024-02-06
KR102383687B1 (ko) 2022-04-08
JP2022133280A (ja) 2022-09-13
TWI802439B (zh) 2023-05-11
TWI768849B (zh) 2022-06-21

Similar Documents

Publication Publication Date Title
JP7337786B2 (ja) 空間分離を伴う単一ウエハの処理環境
US20200090978A1 (en) Methods Of Operating A Spatial Deposition Tool
JP7443250B2 (ja) 原子層自己整合基板の処理及び統合型ツールセット
JP2022548072A (ja) ペデスタルヒータを洗浄するためのインシトゥdcプラズマ
JP2023113690A (ja) 空間堆積ツールを操作する方法
US20200066572A1 (en) Methods Of Operating A Spatial Deposition Tool
US20210087681A1 (en) Dithering Or Dynamic Offsets For Improved Uniformity
JP7249407B2 (ja) 補完的なパターンのステーション設計
JP7200367B2 (ja) 改善された温度均一性での空間ウエハ処理
TWI838222B (zh) 具有空間分離的單個晶圓處理環境

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200608

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210629

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210629

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210922

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220208

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20220607

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20221220

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20230117

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20230228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230525

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230823

R150 Certificate of patent or registration of utility model

Ref document number: 7337786

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150