JP7239707B2 - 化学気相堆積中におけるチタンおよびケイ化チタンの選択性を強化するための方法および装置 - Google Patents

化学気相堆積中におけるチタンおよびケイ化チタンの選択性を強化するための方法および装置 Download PDF

Info

Publication number
JP7239707B2
JP7239707B2 JP2021537977A JP2021537977A JP7239707B2 JP 7239707 B2 JP7239707 B2 JP 7239707B2 JP 2021537977 A JP2021537977 A JP 2021537977A JP 2021537977 A JP2021537977 A JP 2021537977A JP 7239707 B2 JP7239707 B2 JP 7239707B2
Authority
JP
Japan
Prior art keywords
titanium
substrate
showerhead
argon
titanium material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021537977A
Other languages
English (en)
Other versions
JP2022516870A (ja
Inventor
タカシ クラトミ
イ-チェン チェン
アヴゲリノス ヴイ ジェラトス
ピンヤン レイ
メイ チャン
シャンミン タン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022516870A publication Critical patent/JP2022516870A/ja
Priority to JP2023031713A priority Critical patent/JP2023060085A/ja
Application granted granted Critical
Publication of JP7239707B2 publication Critical patent/JP7239707B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24585Other variables, e.g. energy, mass, velocity, time, temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本開示の実施形態は一般に、基板処理装置および技法に関し、より詳細には、化学気相堆積によって材料を選択的に堆積させるための方法および装置に関する。
選択的堆積プロセスは、デバイス寸法縮小のペースに遅れることなく、従来のリソグラフィに関係するステップ数およびコストを有利に低減させることができる。チタンおよびケイ化チタン(TiSix)は、オーム接触を形成するためおよびトランジスタ接続の接触抵抗を低減させるために広く使用されている重要な材料であるため、チタンおよび/またはケイ化チタン誘電体パターンの選択的堆積は、高い潜在的価値を有する。本発明者らは、シリコンと窒化シリコンおよび酸化シリコンなどの誘電体との間のチタン材料の劣等な選択性が、金属特徴充填(metallic feature fill)を最大化する際の重大な課題となることを認めた。例えば、劣等な選択性は、高アスペクト比特徴の側壁と底部にチタン材料を堆積させることに帰着することがあり、所望の金属材料を特徴に充填する能力を制限することがある。劣等な選択性は基板の不均一性を促進することがあるため、接触抵抗を低減させ、特徴充填材料の体積を最大化するためには、チタン材料をシリコンに高い選択性で堆積させることが必要となる。
これに応じて、本発明者らは、酸化シリコンおよび窒化シリコンなどの誘電体よりもシリコンまたは露出したシリコン(exposed silicon)(以後、露出シリコン)にチタン材料を選択的に堆積させるための改良された方法を開発した。
本明細書では、選択的堆積のための方法および装置が提供される。いくつかの実施形態では、シリコン表面および誘電体表面を有する基板の上にチタン材料層を選択的に堆積させる方法が、処理チャンバのリッドヒータとシャワーヘッドとの間の領域において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を、摂氏200~800度の第1の温度で形成すること、ならびに反応生成物を処理チャンバに流入させて、基板のシリコン表面にチタン材料層を選択的に形成することを含む。
いくつかの実施形態では、シリコン表面および誘電体表面を有する基板の上にチタン材料層を選択的に堆積させる方法が、基板のシリコン表面の上にチタン材料層を選択的に堆積させるために、処理チャンバ内のリッドヒータとシャワーヘッドとの間において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を形成して、処理チャンバ内において基板上にチタン材料層を形成することを含み、誘電体表面は、誘電体表面の上にチタン材料層が堆積することを抑制し、遠隔プラズマ反応は、摂氏200~800度の第1の温度で、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)を反応させる。
いくつかの実施形態では、シリコン表面および誘電体表面を有する基板の上にチタン材料層を堆積させる方法が、任意に、処理チャンバ内のシャワーヘッドと基板との間において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の第1の直接プラズマ反応を、0.1~200秒の間、形成すること、基板のシリコン表面の上にチタン材料層を選択的に堆積させるために、処理チャンバ内のリッドヒータとシャワーヘッドとの間において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を形成して、処理チャンバ内において基板上にチタン材料層を形成することであって、誘電体表面は、誘電体表面の上にチタン材料層が堆積することを抑制し、遠隔プラズマ反応は、摂氏200~800度の第1の温度で、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)を反応させて、チタン材料がシャワーヘッドを通り抜けるようにする、形成すること、ならびに処理チャンバ内のシャワーヘッドと基板との間において、窒素(N2)、水素(H2)およびアルゴン(Ar)間の直接プラズマ反応を形成して、基板のシリコン表面の上のチタン材料層に対するキャッピング窒化チタン層を形成することを含む。
いくつかの実施形態では、本開示が処理チャンバに関し、この処理チャンバは、リッドヒータと、シャワーヘッドと、処理チャンバ内に配置された基板支持体とを備え、処理チャンバは、リッドヒータとシャワーヘッドとの間の領域においてプラズマに遠隔的に点火し、シャワーヘッドと基板支持体との間においてプラズマに直接点火するように構成されている。
いくつかの実施形態では、本開示が処理チャンバに関し、この処理チャンバは、リッドヒータと、シャワーヘッドと、処理チャンバ内に配置された基板支持体とを含み、処理チャンバは、処理チャンバ内のリッドヒータとシャワーヘッドとの間においてプラズマに遠隔的に点火し、シャワーヘッドと基板支持体との間においてプラズマに直接点火するように構成されている。
いくつかの実施形態では、本開示が、命令が記憶された非一過性コンピュータ可読媒体に関し、この命令は、実行されたときに、シリコン表面および誘電体表面を有する基板の上にチタン材料層を選択的に堆積させる方法を実行させ、この方法は、処理チャンバのリッドヒータとシャワーヘッドとの間の領域において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を、摂氏200~800度の第1の温度で形成すること、ならびに反応生成物を処理チャンバに流入させて、基板のシリコン表面にチタン材料層を選択的に形成することを含む。
以下には、本開示の他の追加の実施形態が記載されている。
上に概要を簡潔に示した、以下により詳細に論じる本開示の実施形態は、付随の図面に示された本開示の例示的な実施形態を参照することによって理解することができる。しかしながら、付随の図面は、本開示の典型的な実施形態だけを示しており、したがって、付随の図面を、範囲を限定するものとみなすべきではない。これは、本開示が、等しく有効な他の実施形態を受け入れる可能性があるためである。
本開示の方法を実施するのに適した装置の概略図である。 本開示のいくつかの実施形態による、選択的堆積の方法の流れ図である。 本開示のいくつかの実施形態による、図2の処理シーケンスの異なる段階中の基板の例示的な断面図である。 本開示のいくつかの実施形態による、図2の処理シーケンスの異なる段階中の基板の例示的な断面図である。 本開示のいくつかの実施形態による、選択的堆積の方法の流れ図である。 本開示のいくつかの実施形態による、図4の処理シーケンスの異なる段階中の基板の例示的な断面図である。 本開示のいくつかの実施形態による、図4の処理シーケンスの異なる段階中の基板の例示的な断面図である。 本開示のいくつかの実施形態による、図4の処理シーケンスの異なる段階中の基板の例示的な断面図である。
理解を容易にするため、可能な場合には、図に共通する同一の要素を示すために、同一の参照符号を使用した。図は、一定の倍率では描かれておらず、明瞭にするために簡略化されていることがある。特段の言及なしに、1つの実施形態の要素および特徴が、他の実施形態に有益に組み込まれていることがある。
本明細書では、選択的堆積のための方法および装置が提供される。いくつかの実施形態では、シリコン表面および誘電体表面を有する基板の上にチタン材料層を選択的に堆積させる方法が、処理チャンバのリッドヒータとシャワーヘッドとの間の領域において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を、摂氏200~800度の第1の温度で形成すること、ならびに反応生成物を処理チャンバに流入させて、基板のシリコン表面にチタン材料層を選択的に形成することを含む。いくつかの実施形態では、本明細書に記載された方法が、シリコンに対するチタン材料の選択性を有利に強化および促進し、窒化シリコンおよび酸化シリコンなどの誘電体に対する選択性を低下させる。方法は、金属特徴充填を最大化することを容易にし、促進する。例えば、シリコンを含む特徴の底部に対する選択性を増大させ、誘電体を含む高アスペクト比特徴の側壁へのチタン材料堆積を低減させて、所望の金属材料が充填される特徴内の容積を増大させる。シリコンに対する高い選択性は基板の均一性を促進するため、接触抵抗が有利に低減し、特徴充填材料の体積が有利に最大化される。いくつかの実施形態では、シャワーヘッドが、チタン材料イオンなどの反応生成物をシャワーヘッド内に捕捉して、選択的堆積を容易にする。いくつかの実施形態では、シランの存在下で基板を加熱することを含む前処理が、窒化シリコンよりもシリコンに対する選択性をさらに強化する。チタン材料がチタンである実施形態などのいくつかの実施形態では、前処理が、シリコンに対する選択性を40:1超まで強化する。チタン材料がケイ化チタンである実施形態などのいくつかの実施形態では、前処理が、シリコンに対する選択性を60:1超まで強化する。いくつかの実施形態では、シャワーヘッドおよび基板をシランおよび/または水素ラジカルなどの遠隔プラズマ水素と接触させることを含む堆積後処理が、基板の上のシリコン上と窒化シリコン(SiN)上の両方に窒化チタンが膜を堆積させるメモリ効果(memory effect)を、3オングストローム未満に低減させることができ、窒化シリコンよりもシリコンに対する選択性をさらに強化する。
図1は、本開示の方法を実施するのに適した、ウエハ処理システム10などの装置の概略図である。実施形態では、ウエハ処理システム10が、処理チャンバ100、ガスパネル130、制御ユニット110、ならびに電源および真空ポンプなどの他のハードウェア構成要素を含む。例示的な処理チャンバは、本明細書に記載された遠隔化学気相堆積(CVD)反応および直接CVD反応用に構成された、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能ないくつかの処理チャンバのうちの任意の処理チャンバを含むことができる。他の製造業者からの他の適当な処理チャンバを本開示に従って同様に使用および変更することができる。
実施形態では、処理チャンバ100が一般にリッドヒータ131を備え、リッドヒータ131は処理量101を加熱するために使用され、処理量101は、処理チャンバ100内のリッドヒータ131とシャワーヘッド165との間のプレナム空間などの領域を含む。本開示に従って処理する前および本開示に従って処理している間に、特定のプロセスに応じて、処理量101のリッドヒータ131とシャワーヘッド165との間の領域を、ある所望の温度に加熱することができる。実施形態では、リッドヒータ131が、加熱要素171などの埋め込まれた加熱要素によって加熱される。例えば、AC電源(図示せず)から加熱要素171に電流を流すことによって、リッドヒータ131を抵抗加熱することができる。続いて、リッドヒータ131によって、処理量101のリッドヒータ131とシャワーヘッド165との間の領域が加熱され、この領域を、処理温度範囲内、例えば摂氏200~800度の処理温度範囲内に維持することができ、または摂氏約550度の第1の温度に維持することができる。いくつかの実施形態では、処理チャンバのリッドヒータとシャワーヘッドとの間の領域が、摂氏200~800度の第1の温度に維持される。いくつかの実施形態では、摂氏200~800度の温度、またはいくつかの実施形態では摂氏約550度のリッドヒータ、(リッドヒータからの放射熱によって加熱された)摂氏約500度のシャワーヘッド、摂氏約425度のウエハ温度、および摂氏約450度に加熱されたペデスタルを提供することによって、リッドヒータとシャワーヘッドとの間の領域を、摂氏200~800度の温度、またはいくつかの実施形態では摂氏約550度の温度に加熱することができる。実施形態では、リッドヒータとシャワーヘッドとの間の領域がプレナム空間と記述される。
実施形態では、熱電対などの温度センサ(図示せず)をリッドヒータ131に埋め込んで、リッドヒータ131の温度を従来の方式でモニタすることができる。例えば、測定された温度をフィードバックループで使用して、処理量101のリッドヒータ131とシャワーヘッド165との間の領域の温度を、特定の処理用途に対して適当な所望の温度に維持または制御することができるような態様で、リッドヒータ131の電源を制御することができる。実施形態では、処理量101内のリッドヒータ131とシャワーヘッド165との間またはシャワーヘッド165内での遠隔プラズマ形成を促進し、シャワーヘッド165内またはシャワーヘッド165上での凝縮を防ぐのに十分な熱を供給するように、リッドヒータ131が構成される。例えば、制御ユニット110は、ユーザが、リッドヒータ131の熱を調整すること、および遠隔プラズマを形成するのに十分な熱を維持することができるように、リッドヒータ131と通信することができる。実施形態では、処理ニーズに応じて、リッドヒータ131が、熱くならないように、または処理量101内のリッドヒータ131とシャワーヘッド165との間の領域での遠隔プラズマ形成を促進しないように構成される。例えば、ユーザニーズに応じて、リッドヒータ131を、制御ユニット110を介してオフに切り替えることができる。
実施形態では、リッドヒータ131に高周波電極181を埋め込んで、リッドヒータ131の付近にプラズマを形成するのに十分な量の高周波を提供するように、リッドヒータ131を構成することができる。実施形態では、処理量101内のリッドヒータ131とシャワーヘッド165との間の領域内および/またはシャワーヘッド165内での遠隔プラズマ形成を促進するのに十分なRFを提供するように、リッドヒータ131が構成される。例えば、制御ユニット110は、ユーザが、リッドヒータ131から放出されるRFを調整すること、およびプラズマを形成するのに十分なRF信号を維持することができるように、リッドヒータ131と通信することができる。実施形態では、処理ニーズに応じて、リッドヒータ131が、RF信号を放出しないように、または処理量101内のリッドヒータ131とシャワーヘッド165との間でのプラズマ形成を促進しないように構成される。例えば、リッドヒータ131からRFが発生することを排除するユーザニーズに応じて、リッドヒータ131を、制御ユニット110を介してオフに切り替えることができる。
実施形態では、処理チャンバ100が一般に支持ペデスタル150を含み、支持ペデスタル150は、処理チャンバ100内で半導体基板190などの基板を支持するために使用される。変位機構(図示せず)を使用して、支持ペデスタル150を、処理チャンバ100内で垂直方向に移動させることができる。処理前に、特定のプロセスに応じて、半導体基板190を、ある所望の温度に加熱することができる。実施形態では、支持ペデスタル150が、加熱要素170などの埋め込まれた加熱要素によって加熱される。例えば、AC電源106から加熱要素170に電流を流すことによって、支持ペデスタル150を抵抗加熱することができる。続いて、支持ペデスタル150によって半導体基板190が加熱され、半導体基板190を、処理温度範内、例えば摂氏200~800度または摂氏300~700度の処理温度範囲内に維持することができる。実施形態では、熱電対などの温度センサ172を支持ペデスタル150に埋め込んで、支持ペデスタル150の温度を従来の方式でモニタすることができる。例えば、測定された温度をフィードバックループで使用して、半導体基板190の温度を、特定の処理用途に対して適当な所望の温度に維持または制御することができるような態様で、加熱要素170用のAC電源106などの電源を制御することができる。実施形態では、支持ペデスタルが、182のところに接地(ground)を含む。
実施形態では、質量流量コントローラ(図示せず)、およびコンピュータなどのコントローラユニット110によって、処理チャンバ100およびガスパネル130を通るガス流の適正な制御および調節が実行される。シャワーヘッド165は、ガスパネル130からの処理ガスが均一に分配され、処理チャンバ100に導入されることを可能にする。実施形態では、(チタンまたはケイ化チタンなどのチタン材料層を本明細書に記載されているように形成するのに適した反応生成物などの)反応生成物を処理チャンバに流入させて、基板のシリコン表面にチタン材料層を選択的に形成するように、シャワーヘッド165が構成される。
例として、制御ユニット110は、中央処理ユニット(CPU)112、支持回路114およびメモリを含み、メモリは、関連制御ソフトウェア116を含む。制御ユニット110は、ウエハ輸送、ガス流制御、温度制御、チャンバ排気など、半導体基板190の処理に必要な多数のステップの自動化された制御を担う。制御ユニット110とウエハ処理システム10のさまざまな構成要素との間の双方向通信は、一括して信号バス118と呼ばれる多数の信号ケーブルを通して処理され、図1にはその一部が示されている。
いくつかの実施形態では、本開示が、命令が記憶された、メモリなどの非一過性コンピュータ可読媒体に関し、この命令は、実行されたときに、シリコン表面および誘電体表面を有する基板の上にチタン材料層を選択的に堆積させる方法を実行させ、この方法は、処理チャンバのリッドヒータとシャワーヘッドとの間の領域において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を、摂氏200~800度の第1の温度で形成すること、ならびに反応生成物を処理チャンバに流入させて、基板のシリコン表面にチタン材料層を選択的に形成することを含む。
いくつかの実施形態では、シャワーヘッド165に高周波電極181を埋め込んで、シャワーヘッド165の付近にプラズマを形成するのに十分な量のRFエネルギーを提供するように、シャワーヘッド165を構成することができる。実施形態では、処理量101内でのプラズマ形成を促進するのに十分なRFを提供するように、シャワーヘッド165が構成される。例えば、制御ユニット110は、ユーザが、シャワーヘッド165から放出されるRFを調整すること、およびプラズマを形成するのに十分なRF信号を維持することができるように、シャワーヘッド165と通信することができる。実施形態では、処理量101内にプラズマを配するユーザニーズに応じて、接地183によってシャワーヘッド165を接地してもよい。シャワーヘッド165が接地された実施形態では、処理量101内のリッドヒータ131とシャワーヘッド165との間の領域に遠隔プラズマを形成することができる。シャワーヘッドが接地されていない実施形態では、処理量101内のシャワーヘッド165と半導体基板190との間の領域に直接プラズマが形成される。スイッチ184は、接地183、RF電極180およびシャワーヘッドと通信することができ、スイッチ184を、本開示に従いユーザニーズに応じて遠隔および直接プラズマ形成を制御するように構成することができる。実施形態では、スイッチ184とシャワーヘッド165とが通信し、スイッチ184およびシャワーヘッド165が、本開示に従いユーザニーズに応じて遠隔および直接プラズマ形成を制御するように構成される。いくつかの実施形態では、スイッチが第1の位置に置かれたときに、スイッチ184を介して、RF電源またはVHF電源などの電源がチャンバリッドに電気的に結合される。スイッチが第2の位置(図示せず)に置かれたとき、電源は、シャワーヘッド165に電気的に結合される。スイッチ184が第1の位置にあるとき、電源は、リッドヒータとシャワーヘッドとの間のプレナム空間または領域に直接配された遠隔プラズマなど、基板から遠隔の第1のプラズマに点火し、第1のプラズマを維持するために使用される。いくつかの実施形態では、遠隔プラズマが、プレナムに流入した処理ガスからなり、電源からの電力の容量結合によってプラズマとして維持される。いくつかの実施形態では、スイッチ184が第2の位置にあるときに、電源が、処理量101内のシャワーヘッド165と基板支持体上に配された基板190との間の第2のプラズマ(図示せず)に点火し、第2のプラズマを維持するために使用される。
実施形態では、処理チャンバ100から排気するため、および処理チャンバ100内の適正なガス流およびガス圧を維持するために、処理チャンバ100が真空ポンプ102を含む。支持ペデスタル150の上方にシャワーヘッド165が位置し、シャワーヘッド165を通して処理チャンバ100に処理ガスが導入される。実施形態では、シャワーヘッド165を、2つ以上の別個の経路を有する多ガスシャワーヘッドとして構成することができ、これらの2つ以上の別個の経路は、2種類以上のガスを事前混合なしで処理チャンバ100に別々に導入することを可能にする。いくつかの実施形態では、シャワーヘッド165がガスパネル130に接続されており、ガスパネル130は、処理シーケンスの異なるステップで使用されるさまざまなガスを質量流量コントローラ(図示せず)によって制御および供給する。さらに、支持ペデスタル150上に形成される望ましくない堆積物を最小限に抑えるため、ウエハ処理の間、パージガス供給源104が、パージガス、例えば不活性ガスを、支持ペデスタル150の底部の周囲に供給する。
実施形態では、制御ユニット110が、第1のガス流管路162によるガスパネル130からリッドヒータ131とシャワーヘッド165との間の領域などの処理量101へのガス流の制御、または第2のガス流管路163によるガスパネル130からシャワーヘッド165内へのガス流の制御を担う。いくつかの実施形態では、ガスパネル130が、処理チャンバ100および処理量101内に、四塩化チタン(TiCl4)、水素(H2)および/またはアルゴン(Ar)もしくは貴ガスを供給するように、処理チャンバ100が構成される。例えば、実施形態では、約1~100sccmまたは約25sccmの四塩化チタン(TiCl4)を受け取るように、処理量101が構成される。実施形態では、約50~10000sccmまたは約500sccmの水素(H2)を受け取るように、処理量101が構成される。実施形態では、約3.5リットルのアルゴンを受け取るように、処理量101が構成される。いくつかの実施形態では、第2のガス流管路163を介して、ガスパネル130から処理量101に1種または数種の所望のガスを導くことができる。例えば、実施形態では、第2のガス流管路163によって、処理量101に、SiH4などのシラン、Si26などのジシラン、シラン化合物、または水素(H2)、またはアルゴン(Ar)ガスなどの貴ガスを追加することができる。遠隔プラズマ用途、例えばリッドヒータ131とシャワーヘッド165との間の領域内またはシャワーヘッド165内でプラズマに点火する遠隔プラズマ用途向けに処理チャンバ100が構成されている実施形態などのいくつかの実施形態では、四塩化チタン(TiCl4)、水素(H2)および/またはアルゴン(Ar)などの1種または数種の所望のガスを、第1のガス流管路162を介してガスパネル130から処理量101に導くことができ、SiH4などのシラン、または水素(H2)、またはアルゴン(Ar)ガスなどの1種または数種の所望のガスを、第2のガス流管路163によって処理量101に導くことができる。いくつかの実施形態では、チタン材料の堆積中にシランを含めると、結果としてケイ化チタンまたはTiSixが形成される。いくつかの実施形態では、TiSixがケイ化チタンを指し、xが、0.4と2.2との間の数である。いくつかの実施形態では、TiSixが、Ti5Si3、TiSi2、TiSiのうちの1つもしくは複数、またはこれらの組合せを指す。
実施形態では、流量、温度および処理量の圧力を、本開示に基づく所望の反応に対して十分な値に調整することができる。直接プラズマ用途、例えばシャワーヘッド165と半導体基板190との間の領域内でプラズマに点火する直接プラズマ用途向けに処理チャンバ100が構成されている実施形態などのいくつかの実施形態では、窒素(N2)、水素(H2)およびアルゴン(Ar)などの1種または数種の所望のガスを、第1のガス流管路162を介してガスパネル130から処理量101に導くことができ、アルゴン(Ar)などの1種または数種の所望のガスを、第2のガス流管路163によって処理量101に導くことができる。実施形態では、流量、温度および処理量の圧力を、本開示に基づく所望の反応に対して十分な値に調整することができる。
実施形態では、処理チャンバ100が、本開示に従って処理量101内でプラズマに点火するのに十分なRF電極180を含む。実施形態では、RF電極180を、1つまたは複数の電源(示されていない1つの電源)に、1つまたは複数の対応するそれぞれのマッチングネットワーク(示されたマッチングネットワーク)を通して結合することができる。この1つまたは複数の電源は、約350kHzまたは約13.56MHzまたは約60Mhzなど、周波数約350kHz~約60MHzの、最大3000ワットのRFエネルギーを生み出すことができるものとすることができる。実施形態では、処理量101内の遠隔プラズマ反応に、約65ワット~150ワットのRFエネルギーが与えられる。いくつかの実施形態では、RFエネルギーが約120ワット~140ワット、または約130ワットである。いくつかの実施形態では、パルスRFエネルギーまたは連続波モードのRFが与えられる。いくつかの実施形態では、RF電力が約130ワット、パルス周波数が約1kHz、デューティーサイクルが約50%である。いくつかの実施形態では、処理チャンバ100が、プラズマ処理に対して、容量結合されたRFエネルギーを利用することができる。例えば、処理チャンバ100は、誘電体材料から作られた天井、およびRF電極を提供するために少なくとも部分的に導電性であるシャワーヘッド165を有することができる(または別個のRF電極を提供されてもよい)。シャワーヘッド165(または他のRF電極)を、1つまたは複数のRF電源(示されていない1つのRF電源)に、1つまたは複数の対応するそれぞれのマッチングネットワーク(示されていないマッチングネットワーク)を通して結合することができる。この1つまたは複数のプラズマ源は、最大約3,000ワット、またはいくつかの実施形態では最大約5,000ワットのRFエネルギーを生み出すことができるものとすることができる。
図2は、本開示のいくつかの実施形態による、選択的堆積の方法200の流れ図である。図3A~3Bは、本開示のいくつかの実施形態による、図2の処理シーケンスの異なる段階中の半導体基板190などの基板の例示的な断面図である。本開示の方法は、化学気相堆積(CVD)などの熱堆積技法用に構成された処理チャンバ内、または図1に関して上で論じた処理チャンバ内で実行することができる。
実施形態では、方法200が、特徴351の底部を横切って広がるシリコン表面302および誘電体表面304などの1つまたは複数の誘電体表面を有する図3Aに示されている半導体基板190上で実行される。実施形態では、半導体基板190が、結晶シリコン(例えばSi<100>またはSi<111>)、シリコンゲルマニウム、ドープされたまたは無ドープの多結晶シリコン、ドープされたまたは無ドープのシリコンウエハ、パターン形成されたまたはパターン形成されていないウエハ、シリコンオンインシュレータ(SOI)およびこれらの組合せなどのシリコン材料301を含むことができる。実施形態では、シリコン材料301が、結晶シリコン(例えばSi<100>またはSi<111>)、純粋なシリコン、(1%未満または0.5%未満の不純物を有する)実質的に純粋なシリコン、または露出シリコンなどの材料を含むことができ、またはそのような材料からなることができる。露出シリコンは例えば、自然酸化物層(native oxide layer)が除去された前処理されたシリコンである。実施形態では、半導体基板190がさまざまな寸法を有することができ、例えば、円形の基板については200mm、300mm、450mmまたは他の直径など、さまざまな寸法を有することができる。フラットパネルディスプレイの製造において使用される多角形グラス基板など、半導体基板190を、任意の多角形、正方形、長方形のワークピース、曲線を有するワークピースまたはその他の非円形ワークピースとすることもできる。特に断らない限り、本明細書に記載された実施態様および例は、半導体基板190などの基板、例えば直径200mm、直径300mmまたは直径450mmの基板上で実施される。
いくつかの実施形態では、シリコン材料301が、適当な任意の原子層堆積プロセスまたは化学的層堆積プロセスによって堆積されたものである。いくつかの実施形態では、シリコン材料301が、半導体デバイス製造用の適当な任意のシリコン材料を含むことができる。図3Aを参照すると、シリコン表面302の上に酸化シリコン層(図示せず)があることがある。この酸化シリコン層は、自然酸化物層であることがあり、またはシリコン表面302が酸素、例えば空気中もしくは水中の酸素と接触したときに形成されるものであることがある。酸化シリコン層は、チタン材料に対する選択性が、露出シリコン表面よりも低いことがあるため、いくつかの実施形態では、酸化シリコン層が問題となることがある。いくつかの実施形態では、方法200が、シリコン表面302を前処理して、露出シリコン表面を形成することを含むことができる。いくつかの実施形態では、方法が、シリコン表面302を1種または数種のエッチング剤と接触させて、露出シリコン表面302を形成することを含む。いくつかの実施形態では、シリコン表面302の上にまたはシリコン表面302の上に直接チタン材料を堆積させる前に、酸化シリコン層を除去して、露出シリコン表面を形成する。露出シリコン表面材料の非限定的な例には、実質的に純粋なシリコン、例えば酸化物を実質的に含まないシリコンなどが含まれる。
実施形態では、誘電体表面304を含む誘電体層305が、シリコン表面302を含むシリコン材料301と同じではない。いくつかの実施形態では、誘電体層305が、適当な任意の原子層堆積プロセスまたは化学的層堆積プロセスによって堆積されたものである。いくつかの実施形態では、誘電体層305が、シリコン材料301の上に堆積させた低k誘電体層を含むことができる。いくつかの実施形態では、誘電体層305が、半導体デバイス製造に適した任意の低k誘電体材料、およびそれらの材料の組合せを含むことができる。低k誘電体材料として適当な非限定的な材料は、例えば酸化シリコン(SiO2)、窒化シリコンもしくは酸窒化シリコン(SiON)、またはこれらの材料の組合せ、あるいはこれらの材料の層の組合せなどのシリコン含有材料を含むことができる。実施形態では、この低k誘電体材料が、約3.9未満(例えば約2.5~約3.5)の低k値を有することができる。いくつかの実施形態では、誘電体層305が、HfOxなどの酸化ハフニウムを含むことができる。実施形態では、誘電体層305および誘電体表面304が、酸化シリコン(SiO2)、窒化シリコン、酸窒化シリコン(SiON)もしくはこれらの組合せを含み、または酸化シリコン(SiO2)、窒化シリコン、酸窒化シリコン(SiON)もしくはこれらの組合せからなる。
いくつかの実施形態では、方法200の処理シーケンス201に示されているとおり、任意に、基板が前処理される。いくつかの実施形態では、シラン単独でまたはアルゴンなどの貴ガスと組み合わせて、誘電体層305をシランと接触させることにより、誘電体層305が前処理される。いくつかの実施形態では、誘電体層305が、窒化シリコンなどの窒素材料を含み、チタン材料層を堆積させる前に、基板を予熱することができる。いくつかの実施形態では、シリコン表面および窒化シリコンなどの誘電体表面を有する基板の上にチタン材料層を選択的に堆積させる方法の最中に、処理チャンバのリッドヒータとシャワーヘッドとの間の領域において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を、摂氏200~800度の第1の温度で形成する前に、基板および誘電体表面を、加熱されたガス、例えば加熱されたアルゴンまたは水素と接触させることによって、基板が、摂氏200~800度の温度に予熱される。図1を参照すると、いくつかの実施形態では、基板を予熱するために、第1のガス流管路162を介してアルゴンおよび水素を流し、それらのガスを加熱することができる。いくつかの実施形態では、基板を予熱している間に、第2のガス流管路163を通してシランおよびアルゴンを流すことができる。実施形態では、誘電体表面304と反応しかつ/または誘電体表面304を覆うように、第2のガス流管路163を通して約500sccm~3000sccmのシランが流される。いくつかの実施形態では、誘電体表面が窒化シリコン(SiN)であり、誘電体表面304の露出した表面を飽和させるのに十分な量のシランを誘電体表面と接触させる。実施形態では、シランが、誘電体表面304の窒化シリコン層中のシリコンに結合し、このことが、シリコンに対するチタン材料の選択性をさらに強化する。いくつかの実施形態では、シランの存在下で基板を加熱することを含む前処理が、窒化シリコンよりもシリコンに対する選択性をさらに強化する。チタン材料がチタンである実施形態などのいくつかの実施形態では、前処理が、シリコンに対する選択性を40:1超まで、例えば45:1まで強化する。チタン材料がケイ化チタンである実施形態などのいくつかの実施形態では、前処理が、シリコンに対する選択性を60:1超まで、例えば68:1まで強化する。
いくつかの実施形態では、方法200が201から始まってもよく、201で、基板を前処理する。例えば、上述のとおり、摂氏200度よりも高い温度にまたは摂氏200度~摂氏800度の間の温度に基板を予熱することによって、基板を前処理する。誘電体表面が窒化シリコンである実施形態などのいくつかの実施形態では、上述のとおり、前処理中に、基板をさらにシランと接触させることができる。
いくつかの実施形態では、本開示が、シリコン表面および窒化シリコンを含む誘電体表面を有する基板の上にチタン材料層を選択的に堆積させる方法に関し、この方法は、基板を室温よりも高い(摂氏200~800度の温度などの)温度の水素およびアルゴンと接触させることによって基板を予熱し、その間に、基板をアルゴンおよびシランと接触させること、続いて、処理チャンバのリッドヒータとシャワーヘッドとの間の領域において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を、摂氏200~800度の第1の温度で形成すること、ならびに反応生成物を処理チャンバに流入させて、基板のシリコン表面にチタン材料層を選択的に形成することを含む。いくつかの実施形態では、ケイ化チタン(TiSix)を含むチタン材料層またはケイ化チタン(TiSix)からなるチタン材料層を形成するために、第2のガス流管路163を通してシランおよびアルゴンが供給される。実施形態では、シラン(SiH4)の事前ソーク(pre-soak)が、遠隔プラズマ化学気相堆積(CVD)チタン堆積プロセスおよび遠隔プラズマCVDケイ化チタン(TiSix)堆積プロセスに関する選択性を強化する。実施形態では、処理シーケンス201に示されている前処理が、基板を室温よりも高い(摂氏200~800度の温度などの)温度の水素およびアルゴンと接触させることによって基板を予熱し、その間に、基板をアルゴンおよびシランと接触させることを含む。
実施形態では、誘電体層305が、誘電体層305に形成された、ビアまたはトレンチなどの1つまたは複数の特徴351を含むことができる。この1つまたは複数の特徴351は、適当な任意のエッチングプロセスを使用して誘電体層305をエッチングすることによって形成されたものとすることができる。いくつかの実施形態では、この1つまたは複数の特徴351が、1つまたは複数の側壁314、開口322および上部コーナ321によって画定される。いくつかの実施形態では、この1つまたは複数の特徴351が、高いアスペクト比、例えば約5:1~約20:1の間のアスペクト比を有するものであってもよい。本明細書で使用されるとき、アスペクト比は、特徴の深さと特徴の幅との比である。実施形態では、この1つまたは複数の特徴351が、20ナノメートル以下、10ナノメートル以下の幅309、または5~10ナノメートルの間の幅309を有する。
図2をさらに参照すると、いくつかの実施形態では、方法200が202から始まってもよく、202で、処理チャンバのリッドヒータとシャワーヘッドとの間の領域において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を、摂氏200~800度の第1の温度で形成する。いくつかの実施形態では、方法200が204に進み、204で、遠隔プラズマ反応による反応生成物などの反応生成物を処理チャンバに流入させて、基板のシリコン表面にチタン材料層を選択的に形成する。いくつかの実施形態では、この方法が、基板のシリコン表面302の上にチタン材料層350を選択的に堆積させるために、(図1の処理チャンバ100などの)処理チャンバ内の(図1のリッドヒータ131などの)リッドヒータと(図1に示されたシャワーヘッド165などの)シャワーヘッドとの間の領域において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を形成して、処理チャンバ内において半導体基板190などの基板上にチタン材料層350を形成するのに適した反応生成物を形成することを含む。実施形態では、誘電体表面304が、誘電体表面304の上にチタン材料層350が堆積することを抑制する。実施形態では、遠隔プラズマ反応が、摂氏200~800度の第1の温度で、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)を反応させる。いくつかの実施形態では、半導体基板190などの基板が、高アスペクト比特徴などの特徴を含み、シリコン表面302が、高アスペクト比特徴の底部307に配されており、誘電体表面304が、1つまたは複数の特徴351などの高アスペクト比特徴の1つまたは複数の側壁314に配されている。いくつかの実施形態では、第1の温度が摂氏約550度であり、または摂氏500度よりも低い温度である。いくつかの実施形態では、遠隔プラズマ反応に、約65ワットのRFエネルギーが与えられる。実施形態では、チタン材料層を、約10オングストローム~約100オングストローム、または約100~約500オングストロームなどの所定の厚さに堆積させる。いくつかの実施形態では、チタン材料層350が、チタン、ケイ化チタンまたは実質的に純粋なチタンを含む。
いくつかの実施形態では、方法200がさらに、(図1のシャワーヘッド165などの)シャワーヘッドにシランなどのシラン化合物、水素およびアルゴンを追加して、遠隔プラズマ反応と接触させることを含む。実施形態では、チタン材料層350がケイ化チタンを含み、またはケイ化チタンからなる。いくつかの実施形態では、ケイ化チタンがTiSixと表記され、xが、0.4~2.2の範囲の数である。
図2を参照すると、処理シーケンス205で、方法200のいくつかの実施形態は、選択性をさらに強化し、半導体デバイスのロバスト(robust)な形成を容易にするために、チタン材料層の堆積後処理を含んでもよい。これに応じて、本開示には、チタン材料層の後処理が含まれる。いくつかの実施形態では、堆積後のチタン材料層の後処理が、堆積させたチタン材料層の構成に応じた予め選択された処理シーケンス、例えば、チタン材料層が、チタンの遠隔プラズマ化学気相(CVD)堆積の結果であるのか、またはケイ化チタンの遠隔プラズマCVD堆積の結果であるのかに応じた予め選択された処理シーケンスを含む。
本発明者らは、チタン材料層の堆積に続いてチタン材料層を後処理することが有利であることを認めた。例えば、本発明者らは、シャワーヘッド上の塩化チタンなどの残留物または反応副生物(TiClxまたはTiClx。xは1~3の範囲の数であり、またはx=3である)が、窒化チタン(TiN)の形成に寄与し、シリコン表面または露出シリコン表面と窒化シリコン誘電体表面などの誘電体表面の両方の上に、問題となるTiN膜を堆積させ、このことが選択性を低下させることを認めた。本発明者らは、遠隔プラズマCVDチタンまたは遠隔プラズマCVDケイ化チタンを堆積させた後の後処理であって、任意の下流の窒化物形成(nitridation)前の後処理が、シャワーヘッドに堆積または付着した塩化チタンなどの副生物を不動態化し、問題となるメモリ効果を3オングストローム未満に低減させることを見出した。
いくつかの実施形態では、チタンの遠隔プラズマCVD堆積に続いて、堆積後処理を実行してもよい。本発明者らは、遠隔プラズマ反応が、第1のガス流管路162を通して供給された四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)、ならびに第2のガス流管路163を通して供給されたアルゴン(Ar)を、摂氏200~800度の第1の温度で反応させた場合、シャワーヘッドと基板との間に塩化チタン(TiClx)および水素ラジカルが集まることがあり、その結果、塩化チタン(TiClx)が、シャワーヘッド表面および/または基板上面に付着し、このことが問題となることを認めた。塩化チタン(TiClx)の形成に続いて、塩化チタン(TiClx)を、第2のガス流管路163を通して供給されたシランと接触させることができ、このシランは、塩化チタン(TiClx)を覆い、摂氏450~500度などの摂氏400度よりも高い温度で、または摂氏200~800度の温度間のシャワーヘッド上にケイ化チタン(TiSix)を形成する。いくつかの実施形態では、摂氏200~800度または摂氏約550度の温度のリッドヒータからの放射エネルギーによって加熱されたシャワーヘッドの温度が、摂氏200~800度または摂氏約500度である。実施形態では、シランなどの0.5リットル~3リットルのシラン化合物が追加される。実施形態では、第2のガス流管路163を通って、500~3000sccmのシラン化合物またはシランが流れる。後処理のいくつかの実施形態では、ケイ化チタン(TiSix)の形成に続いて、水素ソークおよび/または遠隔プラズマソークを実行することにより、処理チャンバからシラン化合物またはシランがパージされる。これらのソークでは、水素ラジカルがケイ化チタン(TiSix)と反応してロバストなケイ化チタン(TiSix)組成物を形成し、このロバストなケイ化チタン(TiSix)組成物は、シャワーヘッドから剥がれたり、かつ/または基板上に剥がれ落ちたりしない。実施形態では、ケイ化チタン(TiSix)が、塩化チタン(TiClx)に比べて優れた接着および強い結合を有する。いくつかの実施形態では、第1のガス流管路162を通して水素およびアルゴンを流し、その一方で、第2のガス流管路163を通してアルゴンを流すことによって、遠隔プラズマが実行される。実施形態では、リッドヒータとシャワーヘッドとの間に遠隔プラズマが形成されるような態様で、処理チャンバが接地される。いくつかの実施形態では、反応生成物を処理チャンバに流入させて、基板のシリコン表面にチタン材料層を選択的に形成した後に、摂氏200度よりも高い温度で、または摂氏200~800度の温度に、チタン材料層が後処理される。チタン材料層がチタンを含みまたはチタンからなるいくつかの実施形態では、後処理すること、または後処理が、チタンを、シランおよび水素または水素ラジカルのうちの1つまたは複数と接触させることを含む。
いくつかの実施形態では、ケイ化チタンの遠隔プラズマCVD堆積に続いて、堆積後処理を実行してもよい。本発明者らは、遠隔プラズマ反応が、第1のガス流管路162を通して供給された四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)、ならびに第2のガス流管路163を通して供給されたアルゴン(Ar)およびシランを、摂氏200~800度の第1の温度で反応させた場合、塩化チタン(TiClx)、水素ラジカルおよびシランなどのシラン化合物が、シャワーヘッドと基板との間で反応して、シャワーヘッド表面および/または基板上面にケイ化チタン(TiSix)を形成することを認めた。堆積反応物にシランなどのシラン化合物を含めると、問題となるケイ化チタン(TiSix)が形成される。ケイ化チタン(TiSix)の形成に続いて、水素ソークおよび/または遠隔プラズマソークを実行することにより、処理チャンバからシランがパージされる。これらのソークでは、水素ラジカルがケイ化チタン(TiSix)と反応してロバストなケイ化チタン(TiSix)組成物を形成し、このロバストなケイ化チタン(TiSix)組成物は、基板上に剥がれ落ちたり、またはシャワーヘッドから剥がれたりしない。実施形態では、ケイ化チタン(TiSix)が、優れた接着および強い結合を有する。ケイ化チタン実施形態のいくつかの遠隔プラズマCVD堆積では、実施形態において堆積ケミストリがシランを含むため、シランソーク処理シーケンスが実行されない。いくつかの実施形態では、第1のガス流管路162を通して水素およびアルゴンを流し、その一方で、第2のガス流管路163を通してアルゴンを流すことによって、遠隔プラズマが実行される。実施形態では、ヒータリッドとシャワーヘッドとの間に遠隔プラズマが形成されるような態様で、処理チャンバが接地される。いくつかの実施形態では、後処理されるチタン材料層が、ケイ化チタンを含みまたはケイ化チタンからなり、その後処理が、ケイ化チタンを、水素または水素ラジカルのうちの1つまたは複数と接触させること、例えば、ケイ化チタンを、ケイ化チタンをロバストにするのに十分な量の水素または水素ラジカルのうちの1つまたは複数と接触させることを含む。
いくつかの実施形態では、方法200がさらに、(図1の処理チャンバ100などの)処理チャンバ内の(図1のシャワーヘッド165などの)シャワーヘッドと基板との間において、窒素(N2)、水素(H2)およびアルゴン(Ar)間の直接プラズマ反応を形成して、半導体基板190のシリコン表面302の上のチタン材料層350上またはチタン材料層350内に、窒化チタンキャッピング層370を形成することを含むことができる。いくつかの実施形態では、窒化チタンキャッピング層370を形成するために、直接プラズマ窒素および水素反応が提供される。いくつかの実施形態では、この直接プラズマ反応が、上述のとおりにチタン材料層350を後処理した後に実行される。いくつかの実施形態では、この直接プラズマ反応に、4~8標準リットル毎分(standard liters per minute)(slpm)または約6slpmの量の窒素が含まれる。いくつかの実施形態では、この直接プラズマ反応に、0.5~2slpmまたは約1slpmの量の水素が供給される。実施形態では、この直接プラズマ反応に、3.75slpmなど2~5slpmの量のアルゴンが供給される。実施形態では、この直接プラズマ反応中の処理チャンバが、4トルなど、約1~8トルの圧力を有する。実施形態では、この直接プラズマ反応の間、約500ワットのRF電力が与えられる。いくつかの実施形態では、この直接プラズマ反応の間、ウエハ温度が、摂氏約425度など、摂氏200~800度の温度に維持される。いくつかの実施形態では、この直接プラズマ反応の間、リッドヒータが、摂氏約550度など、摂氏200~800度の温度に加熱される。いくつかの実施形態では、この直接プラズマ反応の間、ペデスタル温度が、摂氏200~800度の温度、または摂氏約450度に維持される。
いくつかの実施形態では、窒化物形成処理シーケンスまたは直接プラズマ反応が、約0.1slpm~6slpmの流量の窒素および0.1slpm~6slpmの量の水素を供給する。実施形態では、この直接プラズマ反応の間、処理チャンバの圧力が1トル~15トルに維持される。実施形態では、この直接プラズマ反応の間、約100ワット~1000ワットのRF電力が与えられる。
図4は、本開示のいくつかの実施形態による、選択的堆積の方法400の流れ図である。図5A~5Cは、本開示のいくつかの実施形態による、図4の処理シーケンスの異なる段階中の基板の例示的な断面図である。本開示の方法は、化学気相堆積(CVD)などの熱堆積技法用に構成された処理チャンバ内、または図1に関して上で論じた処理チャンバ内で実行することができる。
実施形態では、方法400が、シリコン表面502および誘電体表面504などの1つまたは複数の誘電体表面を有する図5Aに示されている半導体基板590上で実行される。実施形態では、半導体基板590が、上述のシリコン材料301などのシリコン材料501を含むことができる。
いくつかの実施形態では、シリコン材料501が、適当な任意の原子層堆積プロセスまたは化学的層堆積プロセスによって堆積されたものである。いくつかの実施形態では、シリコン材料501が、半導体デバイス製造用の適当な任意のシリコン材料を含むことができる。図5Aを参照すると、シリコン表面502の上に酸化シリコン層(図示せず)があることがある。この酸化シリコン層は、自然酸化物層510(図5Aでは破線で示されている)であることがあり、またはシリコン表面502が酸素、例えば空気中もしくは水中の酸素と接触するときに形成されるものであることがある。酸化シリコン層は、チタン材料に対する選択性が、露出シリコン表面よりも低いことがあるため、いくつかの実施形態では、酸化シリコン層が問題となることがある。いくつかの実施形態では、方法400が、シリコン表面502を前処理して、露出シリコン表面を形成することを含むことができる。いくつかの実施形態では、方法が、シリコン表面502を1種または数種のエッチング剤と接触させて、露出シリコン表面502を形成することを含む。いくつかの実施形態では、シリコン表面502の上にまたはシリコン表面502の上に直接チタン材料を堆積させる前に、酸化シリコン層を除去して、露出シリコン表面を形成する。露出シリコン表面材料の非限定的な例には、実質的に純粋なシリコン、例えば酸化物を実質的に含まないシリコンなどが含まれる。いくつかの実施形態では、半導体基板590を、上述のとおりに前処理および後処理することができる。いくつかの実施形態では、ケイ化チタンの遠隔プラズマCVD堆積に続いて、上述のとおりに堆積後処理を実行してもよい。いくつかの実施形態では、チタンの遠隔プラズマCVD堆積に続いて、上述のとおりに堆積後処理を実行してもよい。
実施形態では、誘電体表面504を含む誘電体層505が、シリコン表面502を含むシリコン材料501と同じではない。いくつかの実施形態では、誘電体層505が、適当な任意の原子層堆積プロセスまたは化学的層堆積プロセスによって堆積されたものである。実施形態では、誘電体層505および誘電体表面504が、酸化シリコン(SiO2)、窒化シリコン、酸窒化シリコン(SiON)もしくはこれらの組合せを含み、または酸化シリコン(SiO2)、窒化シリコン、酸窒化シリコン(SiON)もしくはこれらの組合せからなる。
実施形態では、誘電体層505が、誘電体層505に形成された、ビアまたはトレンチなどの1つまたは複数の特徴551を含むことができる。この1つまたは複数の特徴551は、適当な任意のエッチングプロセスを使用して誘電体層505をエッチングすることによって形成されたものとすることができる。いくつかの実施形態では、この1つまたは複数の特徴551が、1つまたは複数の側壁514、開口522および上部コーナ521によって画定されている。いくつかの実施形態では、この1つまたは複数の特徴551が、高いアスペクト比、例えば約5:1~約20:1の間のアスペクト比を有するものであってもよい。本明細書で使用されるとき、アスペクト比は、特徴の深さと特徴の幅との比である。実施形態では、この1つまたは複数の特徴551が、20ナノメートル以下、10ナノメートル以下の幅509、または5~10ナノメートルの間の幅509を有する。
実施形態では、方法400が402(破線で示されている)から始まり、402で、任意に、処理チャンバ内のシャワーヘッドと基板との間の領域において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の第1の直接プラズマ反応を、0.1~200秒の間、形成する。実施形態では、シリコン表面502に、チタン材料511(図5Bに示されている)の単層などの層を堆積させて、さらなる堆積のための下処理をシリコン表面502に施すことができる。実施形態では、第1の直接プラズマ反応が、0.1~200秒などの非常に短い時間、実行される。実施形態では、第1の直接プラズマ反応が、摂氏200~800度または摂氏300~700度の温度で実行される。実施形態では、処理チャンバに、さらなる堆積のための下処理をシリコン表面502に施すのに十分な量の四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)が供給される。実施形態では、処理チャンバに、シリコン表面502にチタンなどのチタン材料511の単層を堆積させるのに十分な量の四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)が供給される。
実施形態では、方法400が、処理シーケンス404から始まってもよく、処理シーケンス404で、半導体基板590のシリコン表面502の上にチタン材料層550を選択的に堆積させるために、リッドヒータとシャワーヘッドとの間の領域内などの処理チャンバ内において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を形成して、処理チャンバ内において半導体基板590上にチタン材料層550(図5Bに示されている)を形成する。誘電体表面504は、誘電体表面504の上にチタン材料層550が堆積することを抑制する。実施形態では、遠隔プラズマ反応が、摂氏200~800度の第1の温度で、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)を反応させる。
いくつかの実施形態では、この遠隔プラズマ反応が、チタン材料層550としてケイ化チタンを形成することができる。例えば、実施形態では、処理チャンバ内のリッドヒータとシャワーヘッドとの間において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を形成することが、シャワーヘッドにシラン、水素およびアルゴンを追加して、遠隔プラズマ反応と接触させることをさらに含む。いくつかの実施形態では、このシラン、水素およびアルゴンを、図1に示されている第2の流管路163を介して供給することができる。
方法400の処理シーケンス405に示されているように、いくつかの実施形態では、方法400が、基板の上のチタン材料層を後処理することを含んでもよい。実施形態では、この後処理が、方法200の処理シーケンス205に関して上で説明した実施形態を含む。
実施形態では、方法400が、406で、処理チャンバ内のシャワーヘッドと基板との間の領域において、窒素(N2)、水素(H2)およびアルゴン(Ar)間の直接プラズマ反応を形成して、基板のシリコン表面502の上またはシリコン表面502内のチタン材料層550上に窒化チタン層559を形成することを含む(図5Cに示されている)。いくつかの実施形態では、窒化チタン層559が、チタン材料層550内に形成される。
実施形態では、図5Cに示されているように、シリコン表面502が特徴551の低部にある。実施形態では、本開示の方法がさらに、コバルトまたはタングステンなどの金属充填物523を、特徴の底部から頂部525まで充填することを含む。
実施形態では、本開示が処理チャンバに関し、この処理チャンバは、リッドヒータと、シャワーヘッドと、処理チャンバ内に配置された基板支持体とを備え、処理チャンバは、リッドヒータとシャワーヘッドとの間の領域においてプラズマに遠隔的に点火し、シャワーヘッドと基板支持体との間の領域においてプラズマに直接点火するように構成されている。いくつかの実施形態では、リッドヒータが、処理チャンバ内にRFエネルギーを放出するためのRF電極を備える。いくつかの実施形態では、シャワーヘッドが、処理チャンバ内にRFエネルギーを放出するためのRF電極を備える。いくつかの実施形態では、シャワーヘッドを接地に接続してもよい。例えば、ユーザニーズおよび処理チャンバ内におけるプラズマの所望の配置に応じて、シャワーヘッドを接地すること、または接地しないことができる。実施形態では、処理チャンバ内のリッドヒータとシャワーヘッドとの間においてプラズマに遠隔的に点火するために、シャワーヘッドが接地に接続される。いくつかの実施形態では、処理チャンバ内のシャワーヘッドと基板との間においてプラズマに直接点火するために、シャワーヘッドが接地に接続されない。実施形態では、基板支持体が接地に接続されている。いくつかの実施形態では、処理チャンバが、接地183およびRF電極180と通信するスイッチ184であって、本開示に従いユーザニーズに応じて遠隔および直接プラズマ形成を制御するように構成されたスイッチ184を含む。実施形態では、スイッチ184およびシャワーヘッド165が通信し、本開示に従いユーザニーズに応じて遠隔および直接プラズマ形成を制御するように構成される。いくつかの実施形態では、処理量101内の遠隔プラズマ反応に、約65ワット~150ワットのRFエネルギーが与えられる。いくつかの実施形態では、処理量101内の遠隔プラズマ反応に与えられるRFエネルギーが、約120ワット~140ワット、または約130ワットである。いくつかの実施形態では、処理量101内の遠隔プラズマ反応に、パルスRFエネルギーまたは連続波モードのRFが与えられる。いくつかの実施形態では、処理量101内の遠隔プラズマ反応におけるRF電力が約130ワット、パルス周波数が約1kHz、デューティーサイクルが約50%である。
いくつかの実施形態では、本開示が、シリコン表面および誘電体表面を有する基板の上にチタン材料層を選択的に堆積させる方法に関し、この方法は、処理チャンバのリッドヒータとシャワーヘッドとの間の領域において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)などの貴ガス間の遠隔プラズマ反応を、摂氏200~800度の第1の温度で形成して、1種または数種の反応生成物を形成すること、ならびにその1種または数種の反応生成物を処理チャンバに流入させて、基板のシリコン表面にチタン材料層を選択的に形成することを含む。いくつかの実施形態では、この方法が、シャワーヘッドに、1種または数種のシラン化合物、水素および貴ガス、例えばアルゴンを追加して、遠隔プラズマ反応と接触させることを含む。いくつかの実施形態では、この1種または数種のシラン化合物が、シラン(SiH4)、ジシラン(Si26)、トリシラン(Si38)およびテトラシラン(Si410)のうちの1つもしく複数、またはこれらの組合せを含む。実施形態では、本開示に従ってTiSixを形成するのに十分な量の1種または数種のシラン化合物が追加される。
他の半導体基板処理システムを使用して本開示を実施することもでき、その半導体基板処理システムにおいて、当業者は、本明細書に開示された教示を本開示の趣旨を逸脱しない範囲で利用することによって、処理パラメータを、許容される特性を達成するように調整することができる。
以上の内容は、本開示の実施形態を対象としているが、その基本的範囲を逸脱しない範囲で、本開示の他の追加の実施形態が考案される可能性がある。

Claims (15)

  1. シリコン表面および誘電体表面を有する基板の上にチタン材料層を選択的に堆積させる方法であって、
    処理チャンバのリッドヒータとシャワーヘッドとの間の領域において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を、摂氏200~800度の第1の温度で形成すること、ならびに
    反応生成物を前記処理チャンバに流入させて、前記基板の前記シリコン表面にチタン材料層を選択的に形成すること
    を含む方法。
  2. 前記基板が高アスペクト比特徴を含み、前記シリコン表面が、前記高アスペクト比特徴の底部に配されており、前記誘電体表面が、前記高アスペクト比特徴の1つまたは複数の側壁に配されている、請求項1に記載の方法。
  3. 前記第1の温度が摂氏50度である、請求項1または2に記載の方法。
  4. 前記遠隔プラズマ反応に、5ワットのRFエネルギーが与えられる、請求項1~3のいずれか1項に記載の方法。
  5. 前記チタン材料層を所定の厚さに堆積させる、請求項1~4のいずれか1項に記載の方法。
  6. 前記チタン材料層が、チタン、ケイ化チタンまたは実質的に純粋なチタンを含む、請求項1~5のいずれか1項に記載の方法。
  7. 前記シャワーヘッドにシラン、ジシラン、水素およびアルゴンを追加して、前記遠隔プラズマ反応と接触させることをさらに含む、請求項1~6のいずれか1項に記載の方法。
  8. 前記チタン材料層がケイ化チタンを含む、請求項7に記載の方法。
  9. 処理チャンバ内の前記シャワーヘッドと前記基板との間において、窒素(N2)、水素(H2)およびアルゴン(Ar)間の直接プラズマ反応を、摂氏200~800度の温度で形成して、前記基板の前記シリコン表面の上の前記チタン材料層上に窒化チタンキャッピング層を形成することをさらに含む、請求項1~8のいずれか1項に記載の方法。
  10. 前記誘電体表面が、酸化シリコンまたは窒化シリコンを含む、請求項1~9のいずれか1項に記載の方法。
  11. 前記基板の前記シリコン表面にチタン材料層を選択的に形成する前に、前記基板を摂氏200~800度の温度に予熱すること、ならびに前記基板および誘電体表面を、アルゴン、水素、シランおよびこれらの組合せと接触させることをさらに含む、請求項1~10のいずれか1項に記載の方法。
  12. 反応生成物を前記処理チャンバに流入させて、前記基板の前記シリコン表面にチタン材料層を選択的に形成した後に、前記チタン材料層を、摂氏200度よりも高い温度で後処理することをさらに含む、請求項1~11のいずれか1項に記載の方法。
  13. シリコン表面および誘電体表面を有する基板の上にチタン材料層を堆積させる方法であって、
    前記基板の前記シリコン表面の下処理としてチタン材料の単層を堆積させるために、任意に、処理チャンバ内のシャワーヘッドと基板との間において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の第1の直接プラズマ反応を、0.1~200秒の間、形成すること、
    前記基板の前記シリコン表面の上に前記チタン材料層を堆積するために、または前記基板の前記シリコン表面の上前記チタン材料の単上にチタン材料層さらに堆積させるために、処理チャンバ内のリッドヒータとシャワーヘッドとの間において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を形成して、処理チャンバ内において基板上に前記チタン材料層を形成することであって、前記誘電体表面が、前記誘電体表面の上に前記チタン材料層が堆積することを抑制し、前記遠隔プラズマ反応が、摂氏200~800度の第1の温度で、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)を反応させる、形成すること、ならびに
    処理チャンバ内の前記シャワーヘッドと前記基板との間において、窒素(N2)、水素(H2)およびアルゴン(Ar)間の直接プラズマ反応を形成して、記チタン材料層上に窒化チタン層を形成すること
    を含む方法。
  14. 処理チャンバ内のリッドヒータとシャワーヘッドとの間において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を形成することが、前記シャワーヘッドにシラン、水素およびアルゴンを追加して、前記遠隔プラズマ反応と接触させることをさらに含む、請求項13に記載の方法。
  15. 命令が記憶された非一過性コンピュータ可読媒体であって、前記命令が、実行されたときに、シリコン表面および誘電体表面を有する基板の上にチタン材料層を選択的に堆積させる方法を堆積装置に実行させ、前記方法が、前記堆積装置の処理チャンバのリッドヒータとシャワーヘッドとの間の領域において、四塩化チタン(TiCl4)、水素(H2)およびアルゴン(Ar)間の遠隔プラズマ反応を、摂氏200~800度の第1の温度で形成すること、ならびに反応生成物を前記処理チャンバに流入させて、前記基板の前記シリコン表面にチタン材料層を選択的に形成することを含む、非一過性コンピュータ可読媒体。
JP2021537977A 2018-12-28 2019-12-19 化学気相堆積中におけるチタンおよびケイ化チタンの選択性を強化するための方法および装置 Active JP7239707B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023031713A JP2023060085A (ja) 2018-12-28 2023-03-02 化学気相堆積中におけるチタンおよびケイ化チタンの選択性を強化するための方法および装置

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862785999P 2018-12-28 2018-12-28
US62/785,999 2018-12-28
US16/705,119 2019-12-05
US16/705,119 US11430661B2 (en) 2018-12-28 2019-12-05 Methods and apparatus for enhancing selectivity of titanium and titanium silicides during chemical vapor deposition
PCT/US2019/067394 WO2020139683A1 (en) 2018-12-28 2019-12-19 Methods and apparatus for enhancing selectivity of titanium and titanium silicides during chemical vapor deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023031713A Division JP2023060085A (ja) 2018-12-28 2023-03-02 化学気相堆積中におけるチタンおよびケイ化チタンの選択性を強化するための方法および装置

Publications (2)

Publication Number Publication Date
JP2022516870A JP2022516870A (ja) 2022-03-03
JP7239707B2 true JP7239707B2 (ja) 2023-03-14

Family

ID=71123112

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021537977A Active JP7239707B2 (ja) 2018-12-28 2019-12-19 化学気相堆積中におけるチタンおよびケイ化チタンの選択性を強化するための方法および装置
JP2023031713A Pending JP2023060085A (ja) 2018-12-28 2023-03-02 化学気相堆積中におけるチタンおよびケイ化チタンの選択性を強化するための方法および装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023031713A Pending JP2023060085A (ja) 2018-12-28 2023-03-02 化学気相堆積中におけるチタンおよびケイ化チタンの選択性を強化するための方法および装置

Country Status (6)

Country Link
US (2) US11430661B2 (ja)
JP (2) JP7239707B2 (ja)
KR (2) KR20230151561A (ja)
CN (2) CN113348265B (ja)
TW (2) TWI822933B (ja)
WO (1) WO2020139683A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102553296B1 (ko) 2019-12-12 2023-07-10 주식회사 원익아이피에스 박막 형성 방법
US11664229B2 (en) 2020-09-24 2023-05-30 Applied Materials, Inc. Nitride capping of titanium material to improve barrier properties
US20230377892A1 (en) * 2022-05-19 2023-11-23 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
JP2003517731A (ja) 1999-12-17 2003-05-27 ジエヌス・インコーポレイテツド 原子層堆積中の寄生化学気相成長を最小限に抑える装置と方法
JP2006041539A (ja) 2004-07-29 2006-02-09 Asm Japan Kk デュアル反応チャンバプラズマ処理装置
US20180158686A1 (en) 2016-11-23 2018-06-07 Applied Materials, Inc. Deposition Of Metal Films

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972790A (en) * 1995-06-09 1999-10-26 Tokyo Electron Limited Method for forming salicides
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6930041B2 (en) * 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US7169704B2 (en) * 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
KR100822493B1 (ko) 2003-08-11 2008-04-16 동경 엘렉트론 주식회사 성막 방법
KR101172147B1 (ko) * 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9478438B2 (en) * 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US10199230B2 (en) * 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US10242908B2 (en) * 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
TWI719269B (zh) * 2016-11-23 2021-02-21 美商應用材料股份有限公司 金屬膜之沉積

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003517731A (ja) 1999-12-17 2003-05-27 ジエヌス・インコーポレイテツド 原子層堆積中の寄生化学気相成長を最小限に抑える装置と方法
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
JP2006041539A (ja) 2004-07-29 2006-02-09 Asm Japan Kk デュアル反応チャンバプラズマ処理装置
US20180158686A1 (en) 2016-11-23 2018-06-07 Applied Materials, Inc. Deposition Of Metal Films

Also Published As

Publication number Publication date
KR102595190B1 (ko) 2023-10-26
KR20210095965A (ko) 2021-08-03
TW202405219A (zh) 2024-02-01
US20220359209A1 (en) 2022-11-10
TWI822933B (zh) 2023-11-21
TW202037744A (zh) 2020-10-16
CN117604484A (zh) 2024-02-27
CN113348265B (zh) 2023-11-10
WO2020139683A1 (en) 2020-07-02
JP2023060085A (ja) 2023-04-27
JP2022516870A (ja) 2022-03-03
CN113348265A (zh) 2021-09-03
US11430661B2 (en) 2022-08-30
KR20230151561A (ko) 2023-11-01
US20200211852A1 (en) 2020-07-02

Similar Documents

Publication Publication Date Title
CN111247269B (zh) 介电膜的几何选择性沉积
CN107104036B (zh) 用于在沟槽侧壁或平整表面上选择性形成氮化硅膜的方法
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
JP2023060085A (ja) 化学気相堆積中におけるチタンおよびケイ化チタンの選択性を強化するための方法および装置
TWI706049B (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
JP6635640B2 (ja) 無塩素の共形SiN膜を蒸着させるための方法
TW202142723A (zh) 使用預處理沉積氮化矽層之方法、使用該方法所形成之結構及用於進行該方法之系統
CN107845572B (zh) 用于蚀刻金属的连续rf等离子体和脉冲rf等离子体
JP2014146786A (ja) 感受性基材上にフィルムを蒸着するための方法
US11946135B2 (en) Low temperature deposition of iridium containing films
US11664229B2 (en) Nitride capping of titanium material to improve barrier properties
US20230377892A1 (en) Methods and apparatus for processing a substrate
JP2000058484A (ja) プラズマcvdによる薄膜形成方法とプラズマcvd装置
TW202338134A (zh) 金屬填充中的傾斜襯墊
JP2003168680A (ja) 気相堆積方法及び装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210825

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220809

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230302

R150 Certificate of patent or registration of utility model

Ref document number: 7239707

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150