JP7210647B2 - 薄膜蒸着方法及びこれを用いた半導体素子の製造方法 - Google Patents

薄膜蒸着方法及びこれを用いた半導体素子の製造方法 Download PDF

Info

Publication number
JP7210647B2
JP7210647B2 JP2021106518A JP2021106518A JP7210647B2 JP 7210647 B2 JP7210647 B2 JP 7210647B2 JP 2021106518 A JP2021106518 A JP 2021106518A JP 2021106518 A JP2021106518 A JP 2021106518A JP 7210647 B2 JP7210647 B2 JP 7210647B2
Authority
JP
Japan
Prior art keywords
thin film
deposition method
film deposition
substrate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021106518A
Other languages
English (en)
Other versions
JP2022022111A (ja
Inventor
秀仁 金
暎▲吉▼ 崔
昌學 辛
愍隅 朴
智賢 金
京美 金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wonik IPS Co Ltd
Original Assignee
Wonik IPS Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Wonik IPS Co Ltd filed Critical Wonik IPS Co Ltd
Publication of JP2022022111A publication Critical patent/JP2022022111A/ja
Application granted granted Critical
Publication of JP7210647B2 publication Critical patent/JP7210647B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/01Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes on temporary substrates, e.g. substrates subsequently removed by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Recrystallisation Techniques (AREA)

Description

本発明は、薄膜蒸着方法及びこれを用いた半導体素子の製造方法に関する。より具体的には、ハードマスク(hard mask)を形成するための薄膜蒸着方法及びこれを用いた半導体素子の製造方法に関する。
高集積化した半導体素子を製造する際に、パターンの微細化は必須である。狭い面積により多くの素子を集積するために、素子を構成する各々のパターン及びパターン間のピッチを縮小させる必要がある。最近、半導体素子のデザインルール(design rule)が急激に減少されることで、現在のフォトリソグラフィ工程の露光限界により、微細線幅及びピッチを持つパターンを形成するのに限界がある。
現在、露光限界の以下の微細パターンを限定するために、多様なエッチング方式が提案されており、その一つがハードマスクを用いる方法である。
ハードマスクは、被エッチング層に対する耐エッチング性を持つことが要求される。特に、複数のシリコン酸化膜及び複数のシリコン窒化膜が積層構造物を含む3Dナンド素子の場合、積層構造物に対して高いエッチング選択比が確保できるように、ハードマスクとして非晶質カーボン膜及びシリコン酸窒化膜の積層構造が用いられている。
一般に、非晶質カーボン膜及びシリコン酸窒化膜からなるハードマスクは、450℃乃至650℃の高温で蒸着される。しかしながら、前記のような高温でハードマスク膜を製作する場合、下部の被エッチング層の特性を劣化させ、更に素子の特性を変形させるという問題点があった。
よって、従来は、相対的に被エッチング層と隣接している非晶質カーボン膜のみを100℃乃至250℃の低温で蒸着する技術が提案された。しかしながら、前記の方式は、相変らずハードマスクを構成するシリコン酸窒化膜が高温で蒸着されるので、下部の非晶質カーボン膜に高温によるダメージを与えることができる。これにより、ハードマスクパターンのパターン不良を発生させる恐れがある。
本発明の実施例等は、下部薄膜とエッチング選択比を維持しながら、下部薄膜のダメージを低減させることができる薄膜蒸着方法及びこれを用いた半導体素子の製造方法を提供するものである。
本実施例に係る薄膜蒸着方法は、内部に処理空間を限定するチャンバー、前記チャンバーの下部領域に位置し、基板が載置される基板支持部、前記チャンバーの上部領域に位置し、前記基板にソースガス及び反応ガスを提供するガス供給部、並びに、前記チャンバーに高周波及び低周波の電源を供給する電源供給部を含む基板処理装置を用いた薄膜蒸着方法であって、低温帯域の工程温度条件により蒸着された下部薄膜を含む前記基板を、前記基板支持部上に載置するステップ;前記下部薄膜の上部に、前記低温帯域の工程温度条件によりプラズマ雰囲気で上部薄膜を蒸着するステップ;及び、前記上部薄膜を蒸着するステップの以後、前記上部薄膜の表面を前記低温帯域の工程温度条件によりプラズマ雰囲気でプラズマトリートメントするステップを含み、前記低温帯域の工程温度は100℃乃至250℃である。
また、本発明の一実施例に係る半導体素子の製造方法は、基板の上部に下地層を形成するステップ;前記下地層の上部に前記下地層とエッチング選択比を持つ下部薄膜を低温帯域の工程温度で蒸着するステップ;前記下部薄膜の上部に前記下部薄膜とエッチング選択比を持つ上部薄膜を前記低温帯域の工程温度で蒸着するステップ;前記上部薄膜をプラズマトリートメントして、ハードマスクを形成するステップ;及び、前記ハードマスクを用いて前記下地層をパタニングするステップを含み、前記低温帯域の工程温度は100℃乃至250℃の範囲であり、前記上部薄膜は、反応ガス及び前記反応ガスよりも過量で含まれたソースガスを用いて蒸着し、前記プラズマトリートメントは、前記反応ガスを供給して進行される。
本発明の実施例によれば、ハードマスクを構成する下部薄膜及び上部薄膜を全部低温帯域の工程温度で蒸着する代りに、上部薄膜のエッチング選択比を補償するために、上部薄膜の蒸着方式を変更する。これにより、充分なエッチング選択比の補償と共にハードマスクを低温で蒸着できるので、つまり、パターン欠陥が防止できる。
本発明の一実施例に係る薄膜を含む半導体素子の製造方法を説明するためのフローチャートである。 本発明の一実施例に係る薄膜を含む半導体素子の製造方法を説明するための工程別断面図である。 本発明の一実施例に係る薄膜を含む半導体素子の製造方法を説明するための工程別断面図である。 本発明の一実施例に係る薄膜を含む半導体素子の製造方法を説明するための工程別断面図である。 本発明の一実施例に係る基板処理装置を示す概略断面図である。 本発明の一実施例に係る上部薄膜蒸着方法を説明するためのフローチャートである。 本発明の一実施例に係る上部薄膜蒸着方法を説明するためのタイミング図である。
本発明の利点や特徴、並びにそれらを達成する方法は、添付図面と共に詳細に後述する実施例を参照すれば明確になる。しかしながら、本発明は、以下で開示している実施例に限定されず、互い異なる多様な形態で具現可能である。但し、本実施例等は、本発明の開示が完全になるようにし、本発明の属する技術の分野における通常の知識を有した者に、本発明のカテゴリを完全に理解させるために提供されるものであり、本発明は請求の範囲のカテゴリにより定義されるだけである。図において、層及び領域等の大きさ及び相対的な大きさは、説明の明確性を期するために誇張されたものであり得る。明細書の全体にわたって同一の参照符号は同一の構成要素を示す。
図1は、本発明の一実施例に係る薄膜を含む半導体素子の製造方法を説明するためのフローチャートである。図2乃至図4は、本発明の一実施例に係る薄膜を含む半導体素子の製造方法を説明するための各工程別断面図である。
図1、図2及び図3を参照すれば、下部薄膜120が形成された基板100を提供する(S1)。本実施例において、基板100及び下部薄膜120間に、被エッチング層に該当する下地層110がさらに形成され得る。下地層110は、例えば、シリコン酸化膜110a及びシリコン窒化膜110bが交互に繰返し積層された構造体であり得る。また、図では詳細に示していないが、基板100及び下地層110間に別途の素子層がさらに介在されることもできる。本実施例において、下地層110は、シリコン酸化膜110a及びシリコン窒化膜110bを交互に積層した例に対して示しているが、多様な被エッチング層がここに該当することができる。
下部薄膜120は、被エッチング層とエッチング選択比を持つ物質として、例えば、非晶質炭素膜(amorphous carbon layer)、チタニウム酸化膜又はSOG(Spin on glass)が用いられる。本実施例の下部薄膜120は、低温帯域の工程温度、例えば、100℃乃至250℃で形成されることができる。これにより、下部薄膜120を蒸着する際に、下部の被エッチング層に及ぼす熱的な影響が低減できる。
図1及び図4を参照すれば、下部薄膜120の上部にハードマスク膜の他の一部として、デュアル周波数(例えば、高周波及び低周波)下で上部薄膜130を蒸着する(S2)。上部薄膜130は、下部薄膜120とエッチング選択比を持つ物質膜、例えば、シリコン酸窒化膜(SiON)が用いられる。本実施例の上部薄膜130は、低温帯域の工程温度、例えば、下部薄膜120の蒸着温度と実質的に同一の低温帯域の工程温度(100℃乃至250℃)で蒸着されることができる。これにより、上部薄膜130を蒸着する際に、下部薄膜120に熱的なダメージを与えない。図において、“HM”はハードマスク膜を示すことができる。
シリコン酸窒化膜からなる本実施例の上部薄膜130は、例えば、SiH及びNOガス(又は、NOガス)の反応により形成できる。一例として、SiH及びNOの比は1.2~2.5対1の割合で提供できる。NOに比べてSiHガスの含有量を増大させることにより、シリコン酸窒化膜のエッチング選択比が改善できる。また、シリコン酸窒化膜は1.5乃至4.0Torrの圧力下で形成できる。また、本実施例において、シリコン酸窒化膜を形成するための反応ガスとして、NHの代りにNO又はNOを用いることで、シリコン酸窒化膜内の水素(H)の含有量を減少させることができる。これにより、低温蒸着によるシリコン酸窒化膜のエッチング選択比が補償できる。
本実施例の上部薄膜130は、例えば、図5に示す基板処理装置により蒸着されることができる。
図5は、本発明の一実施例に係る基板処理装置を示す概略断面図である。
図5を参照すれば、基板処理装置150は、チャンバー200、コントローラ201、シャワーヘッド230、基板支持部240、駆動部250、プラズマ電源供給部260、マッチングネットワーク270及びヒータ電源供給部290を含むことができる。
チャンバー200は、上部が開放された本体210と、本体210の上端外周に設置されるトップリード220とを含むことができる。トップリード220の内部空間はシャワーヘッド230により閉鎖されることができる。シャワーヘッド230及びトップリード220間には絶縁リング(r)が設置され、チャンバー200及びシャワーヘッド230を電気的に絶縁させることができる。
チャンバー200の内部空間において、上部薄膜130の蒸着工程が行われる。本体210の側面の所定の位置に基板(W)の搬出入が可能であるようにゲート(G)を備えることができる。
チャンバー200の内部を真空化するために、チャンバー200の下部に位置した排気口212にポンプ213を連結することができる。
シャワーヘッド230は、トップリード220の内側に基板支持部240と対向するように設置されることができる。シャワーヘッド230は、外部から供給される多様なソースガスをガス供給ライン(L)を介して受信して、チャンバー200の内部に噴射できる。本実施例において、シャワーヘッド230は、プラズマを発生させるための第1の電極として作用できる。
本実施例のシャワーヘッド230のガス供給ライン(L)に、ソースガス供給部240a及び反応ガス供給部240bが連結できる。本実施例において、ソースガス供給部240aは、例えば、SiHガスが受容でき、反応ガス供給部240bは、例えば、NOガスが受容できる。
図5では、ソースガス供給部240a及び反応ガス供給部240bのみに対して示しているが、ガス供給ライン(L)にパージ(purge)ガス供給部、及び/又はシーズニング(seasoning)ガス供給部がさらに連結されることもできる。ソースガス供給部240a及びガス供給ライン(L)間と、反応ガス供給部240b及びガス供給ライン(L)間とに、バルブV1、V2が各々設置されることができる。
基板支持部240は、基板載置部(サセプタ)242及び支持軸244を含むことができる。基板載置部242は、上面に少なくとも一つの基板(W)が載置されるように全体的に平板状を持つことができる。支持軸244は、基板載置部242の後面に垂直結合され、チャンバー200の底部の貫通孔を通じて外部の駆動部250と連結され、基板載置部242を昇降及び/又は回転させることができる。本実施例において、基板載置部242は、プラズマを発生させるための第2の電極として作用できる。
また、基板載置部242の内部にヒータ246が具備されることで、上部に載置された基板100の温度、更にチャンバー200内の温度が調節できる。ヒータ電源供給部290は、ヒータ246と連結して電源が提供できる。
コントローラ201は、基板処理装置150の全般の動作を制御するように構成される。一実施例において、コントローラ201は、基板処理装置150の各構成要素200~290、V1、V2の動作を制御し、上部薄膜130を蒸着するための制御パラメータが設定できる。図に示していないが、コントローラ201は、中央処理装置、メモリ及び入出力インターフェースなどを含むことができる。
プラズマ電源供給部260は、第1の電源供給部261及び第2の電源供給部263を含むことができる。第1の電源供給部261は、中心周波数帯域が10MHz~40MHz、例えば、13.56MHzを持つHF(High frequency)電源をプラズマ電源ソースとして提供できる。かつ、第2の電源供給部263は、中心周波数帯域が300kHz~500kHz、例えば、370kHzを持つLF(low frequency)電源をプラズマ電源ソースとして提供できる。コントローラ201は、制御パラメータによって第1の電源供給部261及び/又は第2の電源供給部263から供給される電源ソースが制御できる。
マッチングネットワーク270は、第1の電源供給部261と接続される第1のマッチング部271、及び、第2の電源供給部263と接続される第2のマッチング部273を含むことができる。マッチングネットワーク270の第1及び第2のマッチング部271、273は、各々第1及び第2の電源供給部261、263の出力インピーダンス及びチャンバー200内の負荷インピーダンスを相互マッチングさせて、RF電源がチャンバー200から反射されることによる反射損失を除去するように構成できる。
図6は、本発明の一実施例に係る上部薄膜蒸着方法を説明するためのフローチャートである。図7は、本発明の一実施例に係る上部薄膜蒸着方法を説明するためのタイミング図である。
図1、図4乃至図7を参照すれば、上部薄膜を蒸着するステップ(S2)は、チャンバー200の内部を安定化させるステップ(S21)を含むことができる。安定化ステップ(S21)は、低温帯域の工程温度で上部薄膜130を蒸着させることができる雰囲気を造成するステップであり得る。上部薄膜130を蒸着させるために、チャンバー200の内部は、例えば、100℃乃至250℃の温度及び1.5乃至4.0Torrの圧力を造成することができる。
チャンバー200の内部を安定化させた状態において、下部薄膜120上に上部薄膜130を蒸着する(S22)。上部薄膜130は、前述したように、100℃乃至250℃の温度及び1.5乃至4.0Torrの圧力下で、ソースガス(SiH)及び反応ガス(NO)を1.2~2.5対1の割合で供給して形成できる。ソースガス(SiH)の比率を反応ガス(NO)の比率よりも所定量増大させることにより、下部薄膜120に対する上部薄膜130のエッチング選択比を改善させることができる。結果として、上部薄膜130の低温蒸着によるエッチング選択比が補償できる。
また、本実施例の上部薄膜130は、デュアル周波数、すなわち、高周波(HF)及び低周波(LF)下で蒸着される。低周波(LF)の利用により、イオンエネルギー及びイオン衝撃(ion bombardment)が促進されて、低温蒸着による上部薄膜130の熱エネルギーが補償され、不安定な結合の発生が防止できる。
その後、デュアル周波数下でプラズマトリートメントを進行する(S3)。プラズマトリートメントステップ(S3)は、ソースガス(SiH)の供給は中断し、反応ガス(NO)のみを供給して進行できる。NOガスによるプラズマトリートメントステップ(S3)は、低温帯域の工程温度、例えば、100℃乃至250℃の温度で上部薄膜130の蒸着ステップと連続して進行されることができる。プラズマトリートメントにより上部薄膜130の表面の硬度が改善されるように、上部薄膜130の表面の改質が行われる。これにより、エッチング選択比が一層改善できる。図に詳細に示していないが、プラズマトリートメント工程により、上部薄膜130の表面に酸化膜が生成されることもできる。
その後、図に示していないが、工程チャンバー200の内部をパージ(purge)して、未反応成分及びチャンバー200内の不純物を除去する。
一般に、ハードマスク膜を構成する上部薄膜130は、高いエッチング選択比を確保するために高温で蒸着される。ところが、高温下で上部薄膜130を蒸着する場合、下部薄膜120、甚だしくは下地層110まで熱的にダメージが印加される恐れがある。
よって、本実施例では、上部薄膜130を下部薄膜120と同様に、下地層110の物質特性に影響を与えない低温帯域の工程温度、例えば、100℃乃至250℃で蒸着する。
合わせて、低温で蒸着される上部薄膜130のエッチング選択比が補償できるように、ソースガスの比率を反応ガスの比率よりも増加させ、1.5乃至4.0Torrの圧力下で上部薄膜130が蒸着できる。また、本実施例において、低温で蒸着される上部薄膜130のエッチング選択比が補償できるように、上部薄膜130の蒸着と連続して反応ガスのみを供給して、プラズマトリートメントを低温帯域で進行する。従って、上部薄膜の表面特性の改質によりエッチング選択比が補償される。
また、上部薄膜を蒸着するための反応ガスとして、水素含有量が少ないNOガスを用いることで、エッチング選択比を一層補償できる。
また、本実施例において、上部薄膜130を蒸着する際に、HF電源と共にLF電源を用いることで、熱的エネルギー及びイオン反応の効率が補償されて、上部薄膜130のエッチング選択比をさらに補償できる。
なお、本発明を好適な実施例により詳細に説明したが、本発明は、前記実施例に限定されず、本発明の技術的思想の範囲内において当該分野における通常の知識を有した者によって色々な変形が可能である。
100 基板
110 下地層
120 下部薄膜
130 上部薄膜
150 基板処理装置

Claims (11)

  1. 内部に処理空間を限定するチャンバー、前記チャンバーの下部領域に位置し、基板が載置される基板支持部、前記チャンバーの上部領域に位置し、前記基板にソースガス及び反応ガスを提供するガス供給部、並びに、前記チャンバーに高周波及び低周波の電源を供給する電源供給部を含む基板処理装置を用いた薄膜蒸着方法であって、
    低温帯域の工程温度条件により蒸着された下部薄膜を含む前記基板を、前記基板支持部上に載置するステップ;
    前記下部薄膜の上部に、前記低温帯域の工程温度条件によりプラズマ雰囲気で上部薄膜を蒸着するステップ;及び、
    前記上部薄膜を蒸着するステップの以後、前記上部薄膜の表面を前記低温帯域の工程温度条件によりプラズマ雰囲気でプラズマトリートメントするステップを含み、
    前記低温帯域の工程温度は100℃乃至250℃であり、
    前記下部薄膜は、非晶質炭素膜、チタニウム酸化膜又はSOGである
    ことを特徴とする、薄膜蒸着方法。
  2. 前記上部薄膜を蒸着するステップは、前記基板上にシリコンを含有するソースガスと、窒素及び酸素を含有する反応ガスとを供給し、前記チャンバーの内部に高周波及び低周波を印加してプラズマを発生させた状態で進行することを特徴とする、請求項1に記載の薄膜蒸着方法。
  3. 前記上部薄膜を蒸着するステップは、前記ソースガス対前記反応ガスの比率を1.2~2.5対1の割合で供給することを特徴とする、請求項1に記載の薄膜蒸着方法。
  4. 前記ソースガスは、SiHガスを含むことを特徴とする、請求項1に記載の薄膜蒸着方法。
  5. 前記反応ガスは、NO及びNOの何れか一つを含むことを特徴とする、請求項1に記載の薄膜蒸着方法。
  6. 前記上部薄膜を蒸着する際に、前記チャンバー内の圧力は1.5乃至4.0Torrであることを特徴とする、請求項1に記載の薄膜蒸着方法。
  7. 前記上部薄膜は、シリコン酸窒化膜を含むことを特徴とする、請求項1に記載の薄膜蒸着方法。
  8. 前記電源供給部において、
    前記高周波は、中心周波数帯域が10MHz~40MHzを持つHFであり、
    前記低周波は、前記中心周波数帯域が300kHz~500kHzを持つLFであることを特徴とする、請求項1に記載の薄膜蒸着方法。
  9. 前記トリートメントステップは、前記反応ガスを供給し、前記チャンバーの内部に高周波及び低周波を印加して、プラズマを発生させた状態で進行することを特徴とする、請求項1に記載の薄膜蒸着方法。
  10. 前記プラズマトリートメントステップは、前記上部薄膜蒸着ステップと連続して、前記ソースガスの供給を中断したままに進行することを特徴とする、請求項1に記載の薄膜蒸着方法。
  11. 基板の上部に下地層を形成するステップ;
    前記下地層の上部に前記下地層とエッチング選択比を持つ下部薄膜を低温帯域の工程温度で蒸着するステップ;
    前記下部薄膜の上部に前記下部薄膜とエッチング選択比を持つ上部薄膜を前記低温帯域の工程温度で蒸着するステップ;
    前記上部薄膜をプラズマトリートメントして、ハードマスクを形成するステップ;及び、
    前記ハードマスクを用いて前記下地層をパタニングするステップを含み、
    前記低温帯域の工程温度は100℃乃至250℃の範囲であり、
    前記上部薄膜は、反応ガス及び前記反応ガスよりも過量で含まれたソースガスを用いて蒸着し、
    前記プラズマトリートメントは、前記反応ガスを供給して進行されることを特徴とする、請求項1乃至10に記載の薄膜蒸着方法を用いた半導体素子の製造方法。
JP2021106518A 2020-07-22 2021-06-28 薄膜蒸着方法及びこれを用いた半導体素子の製造方法 Active JP7210647B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2020-0091046 2020-07-22
KR1020200091046A KR20220012474A (ko) 2020-07-22 2020-07-22 박막 증착 방법 및 이를 이용한 반도체 소자의 제조방법

Publications (2)

Publication Number Publication Date
JP2022022111A JP2022022111A (ja) 2022-02-03
JP7210647B2 true JP7210647B2 (ja) 2023-01-23

Family

ID=80220770

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021106518A Active JP7210647B2 (ja) 2020-07-22 2021-06-28 薄膜蒸着方法及びこれを用いた半導体素子の製造方法

Country Status (4)

Country Link
US (1) US11967503B2 (ja)
JP (1) JP7210647B2 (ja)
KR (1) KR20220012474A (ja)
TW (1) TWI781667B (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116804270B (zh) * 2023-08-29 2023-11-10 上海陛通半导体能源科技股份有限公司 二氧化硅薄膜的低温沉积方法及器件制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001015506A (ja) 1999-06-29 2001-01-19 Nec Yamagata Ltd プラズマcvdによる反射防止膜の製造方法
JP2010053397A (ja) 2008-08-28 2010-03-11 Tokyo Electron Ltd アモルファスカーボンナイトライド膜の形成方法、アモルファスカーボンナイトライド膜、多層レジスト膜、半導体装置の製造方法および制御プログラムが記憶された記憶媒体

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
JPH0955351A (ja) 1995-08-15 1997-02-25 Sony Corp 半導体装置の製造方法
US20060258176A1 (en) * 1998-02-05 2006-11-16 Asm Japan K.K. Method for forming insulation film
US6835669B2 (en) * 2000-07-21 2004-12-28 Canon Sales Co., Inc. Film forming method, semiconductor device and semiconductor device manufacturing method
JP4035298B2 (ja) * 2001-07-18 2008-01-16 キヤノン株式会社 プラズマ処理方法、半導体装置の製造方法および半導体装置
WO2011106860A1 (en) * 2010-03-01 2011-09-09 Group Iv Semiconductor, Inc. Deposition of thin film dielectrics and light emitting nano-layer structures
US8993435B2 (en) * 2010-03-15 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k Cu barriers in damascene interconnect structures
WO2012091297A1 (ko) * 2010-12-30 2012-07-05 주성엔지니어링㈜ 박막 트랜지스터 및 그 제조 방법
CN107342216B (zh) * 2011-09-23 2022-05-31 诺发***公司 等离子体活化保形电介质膜沉积
JP5977364B2 (ja) * 2012-11-26 2016-08-24 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
US20150371851A1 (en) * 2013-03-15 2015-12-24 Applied Materials, Inc. Amorphous carbon deposition process using dual rf bias frequency applications
US10347547B2 (en) * 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US9847221B1 (en) * 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
CN110249410B (zh) * 2017-02-01 2023-07-04 应用材料公司 用于硬掩模应用的硼掺杂碳化钨
KR20190061872A (ko) * 2017-11-28 2019-06-05 주식회사 원익아이피에스 비정질 실리콘막의 형성 방법
KR20210075266A (ko) * 2019-12-12 2021-06-23 주식회사 원익아이피에스 박막 증착 방법
KR20220021863A (ko) * 2020-08-14 2022-02-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001015506A (ja) 1999-06-29 2001-01-19 Nec Yamagata Ltd プラズマcvdによる反射防止膜の製造方法
JP2010053397A (ja) 2008-08-28 2010-03-11 Tokyo Electron Ltd アモルファスカーボンナイトライド膜の形成方法、アモルファスカーボンナイトライド膜、多層レジスト膜、半導体装置の製造方法および制御プログラムが記憶された記憶媒体

Also Published As

Publication number Publication date
US11967503B2 (en) 2024-04-23
US20220028687A1 (en) 2022-01-27
KR20220012474A (ko) 2022-02-04
TWI781667B (zh) 2022-10-21
JP2022022111A (ja) 2022-02-03
TW202205384A (zh) 2022-02-01

Similar Documents

Publication Publication Date Title
US20210222296A1 (en) Film-forming device and film-forming method
KR20210095050A (ko) 박막 형성 방법 및 박막 표면 개질 방법
WO2019147462A1 (en) Treatment methods for silicon nitride thin films
US10438791B2 (en) Film forming method, film forming apparatus, and storage medium
JP7210647B2 (ja) 薄膜蒸着方法及びこれを用いた半導体素子の製造方法
JP4810281B2 (ja) プラズマ処理装置
US11081362B2 (en) Method of manufacturing semiconductor device, and recording medium
JP6342503B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR20210097045A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템
JP2017183509A (ja) 半導体装置の製造方法、基板処理システムおよびプログラム
KR20210095170A (ko) 기판 처리 방법 및 기판 처리 시스템
KR20200025030A (ko) 비정질 실리콘막의 제조방법
TWI819398B (zh) 拉伸的氮化物沉積系統及方法
KR20220017055A (ko) 박막 증착 방법 및 이를 이용한 반도체 소자의 제조방법
WO2022158332A1 (ja) シリコン窒化膜の形成方法及び成膜装置
TWI847050B (zh) 薄膜層的脈衝電漿沉積
WO2023080004A1 (ja) 成膜方法、及び成膜装置
KR102179281B1 (ko) 박막 증착 장치, 이를 포함하는 기판 처리 시스템 및 박막 증착 방법
US20230360906A1 (en) Silicon-and-carbon-containing materials with low dielectric constants
WO2022224863A1 (ja) 成膜方法及び成膜装置
WO2023189758A1 (ja) 基板処理方法、及び基板処理装置
KR102515391B1 (ko) 반도체 소자의 제조방법
KR20220088052A (ko) 박막 형성방법 및 그를 포함하는 반도체 소자 제조방법
WO2020085215A1 (ja) 成膜装置および成膜方法
KR20050112858A (ko) 웨이퍼 상의 레지스트 제거 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210628

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210628

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220726

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220802

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221027

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230111

R150 Certificate of patent or registration of utility model

Ref document number: 7210647

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150