JP7181211B2 - 厚膜及び高アスペクト比構造の計測方法及びシステム - Google Patents

厚膜及び高アスペクト比構造の計測方法及びシステム Download PDF

Info

Publication number
JP7181211B2
JP7181211B2 JP2019544642A JP2019544642A JP7181211B2 JP 7181211 B2 JP7181211 B2 JP 7181211B2 JP 2019544642 A JP2019544642 A JP 2019544642A JP 2019544642 A JP2019544642 A JP 2019544642A JP 7181211 B2 JP7181211 B2 JP 7181211B2
Authority
JP
Japan
Prior art keywords
light
illumination
amount
source
detector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019544642A
Other languages
English (en)
Other versions
JP2020508568A (ja
JP2020508568A5 (ja
Inventor
ノーム サピエンス
シャンカー クリシュナン
デイビット ワイ ウォン
アレクサンダー ビュットナー
ケルスティン プルッカー
ケヴィン エイ ピーターリンツ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2020508568A publication Critical patent/JP2020508568A/ja
Publication of JP2020508568A5 publication Critical patent/JP2020508568A5/ja
Application granted granted Critical
Publication of JP7181211B2 publication Critical patent/JP7181211B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • G01B11/0633Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection using one or more discrete wavelengths
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • G01B11/065Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization using one or more discrete wavelengths
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/02Details
    • G01J3/0205Optical elements not provided otherwise, e.g. optical manifolds, diffusers, windows
    • G01J3/0224Optical elements not provided otherwise, e.g. optical manifolds, diffusers, windows using polarising or depolarising elements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/02Details
    • G01J3/10Arrangements of light sources specially adapted for spectrometry or colorimetry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/2803Investigating the spectrum using photoelectric array detector
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/30Measuring the intensity of spectral lines directly on the spectrum itself
    • G01J3/36Investigating two or more bands of a spectrum by separate detectors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/42Absorption spectrometry; Double beam spectrometry; Flicker spectrometry; Reflection spectrometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • G01N2021/8438Mutilayers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

記載されている諸実施形態は計量システム及び方法に関し、より具体的には改善された半導体構造計測方法及びシステムに関する。
(関連出願への相互参照)
本特許出願は、2017年2月17日付米国仮特許出願第62/460668号に基づき米国特許法第119条の規定による優先権を主張する出願であるので、この参照を以てその主題の全容を本願に繰り入れることにする。
半導体デバイス例えば論理デバイス及び記憶デバイスは、通常、一連の処理工程を試料に適用することで製造される。それら処理工程によりそれら半導体デバイスの諸フィーチャ(外形特徴)及び構造階層群が形成される。例えば、多々ある中でもリソグラフィは、半導体ウェハ上でのパターンの生成を孕む半導体製造プロセスの一つである。半導体製造プロセスの更なる例としては、これに限られるものではないが、化学機械研磨、エッチング、堆積及びイオンインプランテーションがある。複数個の半導体デバイスを1枚の半導体ウェハ上に作成し、その上で個別の半導体デバイスへと分けるようにするとよい。
計量プロセスは半導体製造プロセス中の諸工程にて用いられており、それによりウェハ上の欠陥を検出することで歩留まり向上が促進されている。光学計量技術には、標本破壊のリスク無しで高スループットを達成できる見込みがある。多数の光学計量依拠技術、例えばスキャタロメトリ(散乱法)及びリフレクトメトリ(反射法)の装置やそれに係る分析アルゴリズムが広く用いられ、それにより限界寸法、膜厚、組成、オーバレイその他、ナノスケール構造のパラメタが解明されている。
フラッシュメモリアーキテクチャは、二次元フローティングゲートアーキテクチャから全三次元幾何へと移行しつつある。幾つかの例では、膜スタックやエッチド構造が非常に深くなる(例.6μmに及ぶ深さ)。そうした高アスペクト比構造では膜及びCD計測に係る難題が発生する。それらの構造の孔形状及び溝形状を規定する限界寸法を計測する能力が、所望の性能水準及びデバイス歩留まりを達成する上で肝要である。加えて、多くの半導体アーキテクチャにて厚手の不透明素材層、例えばアモルファスカーボン層、タングステン層及びハードマスク層が採用されている。真空紫外、紫外、可視及び短赤外波長域に属する(即ち波長が約1μm未満の)照明光では、それら不透明層内に十分浸透しないので、計測信号の信号対雑音比が低くなるか可計測信号が全くもたらされなくなる。
多くの光学技術で信号対雑音比(SNR)の低さ、即ち照明光のうちほんの小部分しか高アスペクト比フィーチャの底に到達できず上方にある検出器へと反射されてこないことが、問題となっている。即ち、利用できる高スループット計量技術の多くで、高アスペクト比構造のCD計測及び膜計測を信頼性よく実行することができない。限界寸法小角X線スキャタロメトリ(CD-SAXS)、直交入射リフレクトメトリ及びスキャタロメトリが、高アスペクト比構造向け計測手法として精査されてはいるが、まだまだ開発途上である。
断面走査型電子顕微法(SEM)は低スループットな破壊的技術であり、インライン計量に適していない。原子間力顕微法(AFM)は、その高アスペクト比構造計測能に限界があり、そのスループットが比較的低めである。CD-SAXSは、半導体産業が求める高スループット能を達成できるかどうか、まだ明らかとなっていない。モデル依拠赤外線リフレクトメトリ(MBIR)が、高アスペクト比DRAM構造の計量に用いられているが、この技術はより短い波長にて提供される分解能を欠いており、また計測スポットサイズが大きすぎで半導体計量にそぐわない。この参照を以て本願中に全面説明があるかの如く取り込まれる非特許文献1を参照されたい。
光学CD計量は、現状では、μmスケールの深さ及び横方向寸法を有し比較的小さな(例.50μm未満或いはより好ましくは30μm未満の)スポットをなす構造の詳細プロファイルを高スループットで計測する能力を欠いている。この参照を以て本願中に全面説明があるかの如く取り込まれる特許文献1には、高アスペクト比構造の特性解明に適する赤外線分光エリプソメトリ(楕円法)技術が記載されている。しかしながら、記載されている技術には、紫外及び赤外波長に亘る計測では計測時間が長くなる、波長安定性に限界がある、並びに動作時の赤外波長域に限界がある、という問題がある。
米国特許第8860937号明細書 米国特許出願公開第2013/0114085号明細書
"Measuring deep-trench structures with model-based IR," by Gostein et al., Solid State Technology, vol. 49, no. 3, Mar. 1, 2006
まとめると、フィーチャサイズ縮小の進行、構造フィーチャ深度の増大、並びに不透明素材層使用の増加が、光学計量システムに対し難しい条件を課している。光学計量システムにより、ますます複雑化しているターゲット向けの高精度高正確度条件を高スループットで充足させ、費用対比効果を保つことが求められている。この点との絡みで、広帯域照明及びデータ収集の速度と赤外波長域が、高アスペクト比構造及び不透明素材層採用構造に適する光学計量システムの設計における、肝要で性能規定的な事項として浮上している。即ち、計量システム及び方法を改良してそうした限界を克服することが望まれている。
本願では、赤外波長を有する半導体構造分光計測実行方法及びシステムが提示される。幾つかの実施形態では、紫外、可視及び赤外波長を含みまた2μm超の赤外波長を含むスペクトルが、同じアライメント(位置揃え)コンディションで以て高スループット計測される。この構成によれば、時間依存的な系統誤差、例えばハードウェアドリフト及びウェハトランジェントを、全可計測波長に亘り均一に補正することができる。
単一システムにて赤外、可視及び紫外光で以てターゲットを計測することで、複雑な三次元構造の精密な特性解明が可能となる。一般に、比較的長い波長は構造内に深く浸透するので、比較的大きなピッチを有する構造を計測するときに高次回折の抑圧が実現される。比較的短い波長では、比較的短い波長でアクセス可能な構造(即ち上位層)並びに比較的小さなCD及び粗さフィーチャについての精密な寸法情報がもたらされる。大略、単一システムにて赤外、可視及び紫外光で以てターゲットを計測することで、幾つかの計測パラメタに対する感度が改善され、またパラメタ(例.上層及び下層を特徴付けるパラメタ)間の相関が低減される。
ある態様に係る分光計測システムは、紫外、可視及び近赤外波長(例.2μm未満の波長)を生成する第1照明源と、中赤外及び長赤外波長(例.2μm以上の波長)を生成する第2照明源と、を有する複合照明源を備える。幾つかの例に係る複合照明源は、4.2μm以下の波長を有する照明光を生成する。幾つかの例に係る複合照明源は、5μm以下の波長を有する照明光を生成する。幾つかの例に係る複合照明源は、5μm超の波長を有する照明光を生成する。更に、この分光計測システムは、半導体構造の計測を実行すべく採用された照明波長域に亘る1個又は複数個の計測チャネルを有する。当該1個又は複数個の計測チャネルは、並列稼働(即ちその波長域を通じた標本の同時計測)や順次稼働(即ちその波長域を通じた標本の順次計測)が可能である。
幾つかの実施形態では、その検出器サブシステムが、赤外を含む諸波長域に亘り集光光を同時検出するようそれぞれ構成された2個以上の検出器を有する。
更なる態様では、分光計測をウェハの表面に直交する方向からオフアクシス(軸外れ)的に実行することで、計測結果に対する背面反射の影響を低減させる。
他の更なる態様では、相異なる感度特性を呈する複数個の感光エリアを有する検出器により、広域に亘る波長が検出される。幾つかの実施形態では、それぞれ別々の波帯にて感応的な複数個のチップが、単一検出器パッケージの態に組み合わされる。
他の更なる態様では、照明視野絞りのウェハ平面上投射寸法を調整することで、もたらされる計測正確度及び速度を、計測下ターゲットの性状を踏まえ最適化させる。
他の更なる態様では、照明視野絞りの寸法を調整することで、計測アプリケーション毎に所望スペクトル分解能を達成させる。
以上は概要であるので、随所に単純化、一般化及び細部省略が含まれている;従って、本件技術分野に習熟した者(いわゆる当業者)には察せられる通り、この概要は専ら例証的なものであり如何様であれ限定的なものではない。本願記載の装置及び/又はプロセスの他の態様、独創的特徴及び長所については、本願中で説明される非限定的詳細記述にて明らかとされよう。
計測対象構造(群)内への透光率の低さが問題となる高アスペクト比半導体構造の例160を記した図である。 図1に記したbot_recess寸法の計測に係る計測感度のプロットを、計測波長の関数として記した図である。 図1に記したHM_BCD寸法の計測に係る計測感度のプロットを、計測波長の関数として記した図である。 1.7、2.2及び4.2μmなる波長を有する赤外照明光を採用したときの厚み及び消衰係数の変化についての、アモルファスカーボン層の計測結果のプロットを記した図である。 本願記載の如く複合照明源を用い半導体構造の広帯域分光計測を実行する計量システムの例100を記した図である。 複合照明源の実施形態180を記した図である。 複合照明源の他実施形態200を記した図である。 複合照明源の他実施形態220を記した図である。 複合照明源の他実施形態240を記した図である。 特定温度で稼働している様々な検出器テクノロジの規格化検知性を描出するプロットを記した図である。 基板上に配置された膜層上への近直交入射での照明入射であり、直交入射を明確に避けているそれを記した図である。 基板上に配置された膜層上への直交入射での照明入射を記した図である。 マルチゾーン赤外線検出器270の描像を記した図である。 4個の入手可能なヒ化インジウムガリウム(InGaAs)製センサの典型的光感度曲線を描いた図である。 本願記載の如く複合照明源を用い1個又は複数個の構造の分光計測を実行する方法300を描いた図である。
以下、本発明の背景例及び幾つかの実施形態を詳細に参照し、またその諸例を添付図面に描出する。
本願には、赤外波長を有する半導体構造分光計測実行方法及びシステムが提示されている。幾つかの実施形態では、紫外、可視及び赤外波長を含みまた2μm超の赤外波長を含むスペクトルが、同じアライメントコンディションで以て高スループット計測される。この構成によれば、時間依存的な系統誤差、例えばハードウェアドリフト及びウェハトランジェントを、全可計測波長に亘り均一に補正することができる。
単一システムにて赤外、可視及び紫外光で以てターゲットを計測することで、複雑な三次元構造の精密な特性解明が可能となる。一般に、比較的長い波長は構造内に深く浸透するので、比較的大きなピッチを有する構造を計測するときに高次回折の抑圧が実現される。比較的短い波長では、比較的短い波長でアクセス可能な構造(即ち上位層)並びに比較的小さなCD及び粗さフィーチャについての精密な寸法情報がもたらされる。幾つかの例によれば、波長が長いほど粗さに対し低感度であるため、長めの波長により、比較的粗い表面又は界面を有するターゲットの寸法特性の計測を行うことができる。大略、単一システムにて赤外、可視及び紫外光で以てターゲットを計測することで、幾つかの計測パラメタに対する感度が改善され、またパラメタ(例.上層及び下層を特徴付けるパラメタ)間の相関が低減される。
幾つかの実施形態では、本願記載の半導体デバイス分光計量方法及びシステムが、高アスペクト比(HAR)、大横方向寸法構造、不透明膜層又はそれらの組合せの計測に適用される。これらの実施形態によれば、HAR構造を有する半導体デバイス(例.NAND、VNAND、TCAT、DRAM等々)、より一般には計測対象構造(群)内への透光率の低さが問題となる複雑なデバイスに関し、光学的な限界寸法(CD)、膜及び組成の計量を行うことができる。HAR構造は、HAR向けエッチングプロセスを容易にすべくハードマスク層を有していることも多い。本願記載の語「HAR構造」は、アスペクト比が2:1又は10:1を上回ることを特徴とする構造全てを指しており、それが100:1超となることもありうる。
図1には、酸化物層162間に挟まれたタングステン層161を有する垂直集積メモリ構造160が記されている。図1に記した通り、エッチングプロセス後には、各タングステン層161の上下にある酸化物層162に比し、各タングステン層161内に横方向窪みが残される。構造160の頂部又はその付近にあるタングステン窪みのことをtop_recessと呼ぶ。構造160の中部又はその付近にあるタングステン窪みのことをmid_recessと呼ぶ。構造160の底又はその付近にあるタングステン窪みのことをbot_recessと呼ぶ。構造160の底又はその付近における酸化物層162の開口のことを下部限界寸法(HM_BCD)と呼ぶ。
図2には、図1に記したbot_recess寸法の計測に係る計測感度のプロット165が、計測波長の関数として記されている。図2に記した通り、分光信号166及び167は、3μm未満の照明波長ではbot_recess寸法に対し事実上全く感度を呈していない。しかしながら、3μm超4.2μm未満の照明波長では、どちらの分光信号166及び167もbot_recess寸法に対しかなりの計測感度を呈している。
図3には、図1に記したHM_BCD寸法の計測に係る計測感度のプロット170が、計測波長の関数として記されている。図3に記した通り、分光信号171及び172は、約2μm超の照明波長にてHM_BCD寸法に対し感度増大を呈している。3μm超4.2μm未満の照明波長では、どちらの分光信号171及び172もHM_BCD寸法に対しかなりの計測感度を呈している。
図4には、1.7、2.2及び4.2μmなる波長を有する赤外照明光を採用したときの厚み及び消衰係数の変化についての、アモルファスカーボン層の計測結果のプロット175が記されている。図4に記した通り、一般に、アモルファスカーボン層の消衰係数が大きいほど可計測層厚が小さくなる。プロットライン176には、1.7μmでの照明を採用しての計測に関し、最大達成可能計側厚間トレードオフが消衰係数の関数として描かれている。プロットライン177には、2.2μmでの照明を採用しての計測に関し、最大達成可能計側厚間トレードオフが消衰係数の関数として描かれている。プロットライン178には、4.2μmでの照明を採用しての計測に関し、最大達成可能計側厚間トレードオフが消衰係数の関数として描かれている。図4に記した通り、長めの照明波長(例.4.2μm波長)では、短めの照明波長に比べ大きめの消衰比で以て厚膜の計測を行うことができる。
図1~図4に描いた通り、長波長赤外照明(例.2μm超約5μm未満)により、厚手の不透明ハードマスク膜、例えばアモルファスカーボン層の計測を行うことができる。長波長赤外照明により、高アスペクト比構造例えば3D NAND及びDRAMキャパシタ構造内の深い孔及び溝の底部における、限界寸法(CD)の計測を行うこともできる。加えて、長波長赤外照明により、極浅接合の計測及びホウ素に係るインプラント監視等々を行うことができる。
ある態様に係る分光計測システムは、紫外、可視及び近赤外波長(例.2μm未満の波長)を生成する第1照明源と、中赤外及び長赤外波長(例.2μm以上の波長)を生成する第2照明源と、を有する複合照明源を備える。幾つかの例に係る複合照明源は、4.2μm以下の波長を有する照明光を生成する。幾つかの例に係る複合照明源は、5μm以下の波長を有する照明光を生成する。幾つかの例に係る複合照明源は、5μm超の波長を有する照明光を生成する。更に、この分光計測システムは、半導体構造の計測を実行すべく採用された照明波長域に亘る、1個又は複数個の計測チャネルを有する。当該1個又は複数個の計測チャネルは、並列稼働(即ちその波長域を通じた標本の同時計測)や順次稼働(即ちその波長域を通じた標本の順次計測)が可能である。
図5には、半導体構造(例.膜厚、限界寸法、オーバレイ等々)の広帯域赤外線分光計測を実行する計量システムの例100が記されている。幾つかの例では、当該1個又は複数個の構造が、少なくとも1個の高アスペクト比(HAR)構造又は少なくとも1個の大横方向寸法構造を含むものとなる。図5に記した通り、計量システム100は斜め入射広帯域分光リフレクトメータ(反射計)として構成されている。とはいえ、一般に、計量システム100が付加的な分光リフレクトメータ、分光エリプソメータ(楕円偏光計)、スキャタロメータ(散乱計)又はそれらの何らかの組合せを備えていてもよい。
計量システム100は、ウェハ120上に入射する照明光ビーム117を生成する照明源110を有している。幾つかの実施形態では照明源110が複合照明源とされ、紫外、可視及び赤外スペクトルに属し2μm超の赤外波長を含む照明光がそれにより放射される。
好適な実施形態における複合照明源110は、超連続体(超広帯域)レーザ光源及びレーザ維持プラズマ光源を有するものである。超連続体レーザ光源により供給される照明の波長は2μm超であり、実施形態によっては5μm超に及ぶ。レーザ維持プラズマ(LSP)光源(別称レーザ駆動プラズマ光源)は120nm~約2000nmの波長域全体に亘り光子を発生させる。このLSP光源のポンプレーザは連続波レーザでもパルスレーザでもよい。実施形態によっては、複合照明源110が超連続体レーザ光源及びアークランプ例えばキセノンアークランプを有するものとされる。とはいえ、レーザ駆動プラズマ光源が、120nm~2000nmの波長域全体に亘りキセノンランプよりかなり多数の光子を発生させるので望ましい。
一般的には、複合照明源110は複数個の広帯域又は離散波長光源の組合せを有する。複合照明源110により生成される光は、紫外~赤外(例.真空紫外~長赤外)の連続スペクトルか連続スペクトルの構成部分である。一般に、複合照明光源110は、超連続体レーザ光源、赤外ヘリウムネオンレーザ光源、シリコンカーバイドグローバー光源、タングステンハロゲン光源、1個又は複数個の赤外線LED、1個又は複数個の赤外線レーザその他、2μm超の波長を生成する何らかの好適な赤外光源と、アークランプ(例.キセノンアークランプ)、重水素ランプ、LSP光源その他、可視及び紫外波長を含め2μm未満の波長を生成する何らかの好適な光源とを、有するものとすることができる。
一般に、複合照明源110に備わる複数個の照明源は、何らかの好適要領にて光学的に結合される。実施形態によっては、超連続体レーザ光源により放射された光が、紫外/可視光源により生成されたプラズマ内に直に結合される。
図6には複合照明源110の実施形態180が記されている。図6に記した通り、LSPポンプレーザ光源181にてポンプ光182を発生させ、それを集束光学系183により集束させることで、バルブ185に収容されたプラズマ184を維持することができる。プラズマ184は紫外~短赤外なる波長域に亘る広帯域スペクトル光を発生させる。バルブ185は出射ポート186を有している。LSP出射光187は、プラズマ184からの光のうち出射ポート186を通った部分であり、図5を参照して述べた通り照明光学系サブシステムへと差し向けられる。加えて、超連続体レーザ光源191にて赤外光192を発生させ、集束光学系193によりそれをプラズマ184かその付近にある焦点194へと集束させる。超連続体出射光197は焦点194からの光のうち出射ポート186を通った部分であり、図5を参照して述べた通り照明サブシステムへと差し向けられる。ある例ではこれらLSP出射光187及び超連続体出射光197を並列配置させる。この構成では、超連続体光源191からの赤外光197が、LSPレーザ光源181からの紫外/可視光187と効果的に結合される。ある例ではLSP出射光187と超連続体出射光197とが同一又は類似の数値開口を有する。別の例ではLSP出射光187と超連続体出射光197とが相異なる数値開口を有する。幾つかの例では、超連続体レーザ光源191により生成された2.5μm超の波長を通せるよう、バルブ185がフッ化カルシウム又はフッ化マグネシウムで構成される。他の幾つかの例では、超連続体レーザ光源191により生成された2.5μm超の波長を通せるよう、フッ化カルシウム又はフッ化マグネシウムで作成された1個又は複数個の出射ポート186がバルブ185に設けられる。熔融シリカで構成された従来型バルブは、有意な2.5μm超の光を通さないので、超連続体レーザ光源191により生成された光を本願記載の要領で結合させるのには適していない。幾つかの実施形態ではLSPポンプレーザ光源181が連続波レーザとされる。他の幾つかの実施形態ではLSPポンプレーザ光源181がパルスレーザとされる。
図7Aには複合照明源110の実施形態200が記されている。図7Aに記した通り、電圧をカソード208・アノード209間に供給することで、バルブ205に収容されたプラズマ204が発生する。加えて、LSPポンプレーザ光源201にてポンプ光202を発生させ、それを集束光学系203により集束させることで、バルブ205に収容されたプラズマ204を維持することができる。プラズマ204は紫外~短赤外なる波長域に亘る広帯域スペクトル光を発生させる。プラズマ204により生成された紫外/可視/短赤外光207は、図5を参照して述べた通り照明光学系サブシステムへと供給される。加えて、超連続体レーザ光源211にて赤外光212が生成される。赤外光212は集束レンズ213により集束され、プラズマ204かその付近に焦点214を形成する。焦点214からの赤外光217は、図5を参照して述べた通り照明光学系サブシステムへと供給される。ある例ではUV/可視/短赤外光207及び赤外光217が並列配置され、効果的に結合される。幾つかの例では、超連続体レーザ光源211により生成された2.5μm超の波長を通せるよう、バルブ205がフッ化カルシウム又はフッ化マグネシウムで構成される。他の幾つかの例では、超連続体レーザ光源211により生成された2.5μm超の波長を通せるよう、フッ化カルシウム又はフッ化マグネシウムで作成された1個又は複数個の出射ポート206がバルブ205に設けられる。熔融シリカで構成された従来型バルブは、有意な2.5μm超の光を通さないので、超連続体レーザ照明源211により生成された光を本願記載の要領で結合させるのには適していない。
図7Bには複合照明源110の実施形態220が記されている。図7Bに記した通り、電圧をカソード228・アノード229間に供給することで、バルブ225に収容されたプラズマ224が発生する。加えて、LSPポンプレーザ光源221にてポンプ光222を発生させ、それを集束光学系223により集束させることで、バルブ225に収容されたプラズマ224を維持することができる。プラズマ224は紫外~短赤外なる波長域に亘る広帯域スペクトル光を発生させる。プラズマ224により生成された紫外/可視/短赤外光227は、出射ポート226を通りバルブ225外に出て、図5を参照して述べた通り照明光学系サブシステムへと供給される。加えて、超連続体レーザ光源231にて赤外光232が生成される。赤外光232は集束レンズ233により集束される。超連続体レーザ光源231からの赤外光237は、図5を参照して述べた通り照明光学系サブシステムへと供給される。
図7Bに記した通り、UV/可視/短赤外光227と赤外光237とがビーム結合器234により結合される。即ち、ビーム結合器234が、紫外光源221(例.LSP光源221)により生成された光を、赤外光源231(例.超連続体レーザ光源231)により生成された光と結合させる。一例に係るビーム結合器234の分岐波長は例えば900nm又はその付近である。このビーム結合器により、LSP光源により生成された光の損失が軽減され(10%未満のLSP損失)、且つ全照明波長に亘り偏向解消効果が軽減される(例.0.1%未満)。
図7Cには複合照明源110の実施形態240が記されている。図7Cに記した通り、電圧をカソード248・アノード249間に供給することで、バルブ245に収容されたプラズマ244が発生する。加えて、LSPポンプレーザ光源241にてポンプ光242を発生させ、それを集束光学系243により集束させることで、バルブ245に収容されたプラズマ244を維持することができる。プラズマ244は紫外~短赤外なる波長域に亘る広帯域スペクトル光を発生させる。プラズマ244により生成された紫外/可視/短赤外光247は、出射ポート246を通りバルブ245外に出て、図5を参照して述べた通り照明光学系サブシステムへと供給される。加えて、超連続体レーザ光源251にて赤外光252が生成される。赤外光252は集束レンズ253により集束される。超連続体レーザ光源251からの赤外光257は、図5を参照して述べた通り照明光学系サブシステムへと供給される。
図7Cに記した通り、複合照明源110はウェハ120に対し紫外及び赤外照明光を選択的に供給する。こうした例では計測が時間多重化される。鏡254は可動鏡である。一例はガルバノメータに実装された可動鏡254であり、それを用いることで、可動鏡254を紫外/可視光247の光路内に所在させるかそれとも光路外に所在させるかにより選択的に、紫外/可視光247及び赤外光257をウェハ120へと差し向けることができる。別例は可動全内部反射プリズムであり、それを用い紫外/可視光247及び赤外光257を選択的にウェハ120へと差し向けることができる。この構成では、紫外/可視スペクトルを含むスペクトルの計測が、赤外スペクトルを含むスペクトルの計測とは別の時点で実行される。
総じて、広帯域照明光には、120nm~3000nm間の波長と、3000nm超の波長とが含まれる。幾つかの例では、5000nmに及ぶ波長が広帯域照明光に含まれる。
図5に記した通り、計量システム100は、ウェハ120上に形成された1個又は複数個の構造へと照明光117を差し向けるよう構成された、照明サブシステムを有している。その照明サブシステムは、図示の通り、複合光源110、1個又は複数個の光学フィルタ111、偏向部材112、視野絞り113、開口絞り114及び照明光学系115を有している。当該1個又は複数個の光学フィルタ111は、照明サブシステムからの光レベル、分光出力又はその双方を制御するのに用いられる。幾つかの例では、1個又は複数個のマルチゾーンフィルタが光学フィルタ111として採用される。偏向部材112は、照明サブシステムから出す所望の偏向状態を発生させる。幾つかの実施形態では、偏向部材が偏光子(ポラライザ)、補償器(コンペンセイタ)又はその双方とされるが、これには商業的に入手可能で好適なあらゆる偏向部材が含まれうる。偏向部材は固定されていてもよいし、幾つかの固定ポジションまで回動可能なものでもよい。図5に記した照明サブシステムでは偏向部材が1個だが、照明サブシステムが複数個の偏向部材を有していてもよい。視野絞り113は照明サブシステムの視野(FOV)を制御する部材であり、これには商業的に入手可能で好適なあらゆる視野絞りが含まれうる。開口絞り114は照明サブシステムの数値開口(NA)を制御する部材であり、これには商業的に入手可能で好適なあらゆる開口絞りが含まれうる。複合照明源110からの光は照明光学系115内に差し向けられ、それによりウェハ120上の1個又は複数個の構造(図5には示さず)上に集束される。照明サブシステムには、分光計量の分野で既知ないずれの種類及び配列の光学フィルタ(群)111、偏向部材112、視野絞り113、開口絞り114及び照明光学系115を具備させてもよい。
図5に記した通り、照明光ビーム117は、光学フィルタ(群)111、偏向部材112、視野絞り113、開口絞り114及び照明光学系115を通過しながら、照明源110からウェハ120へと伝搬していく。ビーム117により、ある計測スポット116に亘って、ウェハ120の一部分が照明される。
幾つかの例では、ウェハ120の表面上に投射されたある量の照明光117のビームサイズが、その試料の表面上にあり計測される計測ターゲットのサイズよりも小さくされる。ビーム整形技術の例がWang et al.による特許文献2に詳述されているので、この参照を以てその全容を本願に繰り入れることにする。
幾つかの例では、ノイズ及び偏向の最適化が実行され、それにより複合照明源110の性能が改善される。幾つかの例では、偏向解消がマルチモードファイバ、アンル偏向解消子(デポラライザ)又は積分球の使用により果たされる。幾つかの例では、照明源エタンデュが光導波路、ファイバその他の光学素子(例.レンズ、湾曲鏡、アポダイザ等々)の使用により最適化される。幾つかの例では、照明源コヒーレンス又はコヒーレンス効果がコヒーレンスブレーキング技術により緩和され、さもなければモデリング及びシミュレーションにより担保される。
計量システム100は、1個又は複数個の構造と入射照明ビーム117との間の相互作用により生じた光を集光するよう構成された、集光光学系サブシステムをも有している。集光光ビーム127は集光光学系122により計測スポット116から集光される。集光光127はこの集光光学系サブシステムの集光開口絞り123、偏向素子124及び視野絞り125内を通る。
集光光学系122は、ウェハ120上に形成された1個又は複数個の構造からの集光に適する何らかの光学素子を有している。集光開口絞り123は集光光学系サブシステムのNAを制御する。偏向素子124は上記所望の偏向状態を検光する。偏向素子124は検光子(アナライザ)か補償器である。偏向素子124は固定されていてもよいし、幾つかの固定ポジションまで回動可能なものでもよい。図5に記した集光サブシステムでは偏向素子が1個だが、集光サブシステムが複数個の偏向素子を有していてもよい。集光視野絞り125は集光サブシステムのFOVを制御する。集光サブシステムは、ウェハ120からの光を採取し、その光を集光光学系122及び偏向素子124内に差し向け集光視野絞り125上に集束させる。幾つかの実施形態では、集光視野絞り125が、検出サブシステムに備わるスペクトロメータ(分光計)向けのスペクトロメータスリットとして用いられる。とはいえ、集光視野絞り125を、検出サブシステムに備わるスペクトロメータのスペクトロメータスリット126やその付近に所在させてもよい。
この集光サブシステムには、分光リフレクトメトリの分野で既知なあらゆる種類及び配列の集光光学系122、開口絞り123、偏向素子124及び視野絞り125を、具備させることができる。
図5に記した実施形態では、検出サブシステムに備わる複数個のスペクトロメータへと集光光学系サブシステムが光を差し向ける。この検出サブシステムは、照明サブシステムにより照明された1個又は複数個の構造からの集光光に応じ出力を生成する。
ある態様に係る検出器サブシステムは、赤外を含め相異なる波長域に亘り集光光を同時検出するようそれぞれ構成された2個以上の検出器を有する。
図5に記した実施形態では、集光光127がスペクトロメータスリット126内を通って回折素子128上に入射する。回折素子128は、その入射光のうちある波長群を±1なる回折次数へと回折させ、同入射光のうち別の波長群を0なる回折次数へと回折させるよう、構成されている。図5に記した通り、入射光のうち紫外スペクトルを含む部分129は、回折素子128により検出器141へと±1なる回折次数にて分散される。加えて、回折素子128は、入射光のうち赤外波長を含む部分140を格子147へと0なる回折次数にて反射させるよう、構成されている。光140は回折素子147上に入射し、回折素子147は、その入射光140のうち赤外波長を含む部分148を検出器150へと±1なる回折次数にて分散させる。
図5に記した実施形態では回折素子128が反射格子素子とされている。しかしながら、一般に、回折素子128は、入射光を幾つかの波長帯へと細分し、それら幾つかの波長帯を相異なる方向に沿い伝搬させ、それら波長帯のうち一つの光を検出器上に何らかの好適な要領にて分散させるよう、構成されていればよい。ある例では、回折素子128が透過格子として構成される。他の幾つかの例では、回折素子128に、ビームを幾つかの波長帯へと細分するビーム分岐素子と、それら波長帯のうち一つを検出器141上へと分散させる反射又は透過格子構造とを、具備させる。
反射格子128が採用されているのは、紫外スペクトル域にて高い±1次回折効率を呈し、赤外スペクトル域では高い0次回折効率を呈するためである。反射格子を採用することで、ビーム分岐素子(例えばダイクロイックビーム分岐素子)につきものの損失が回避される。
回折素子128及び147は、それぞれに対応する二次元検出器の一次元(即ちそれぞれに対応する検出器に関し図5中に注記されている波長分散方向)に沿い、波長に従い1次回折光を直線的に分散させる。描写なる目的を踏まえ、検出器141の表面上には相異なる二通りの波長で検出された光を描いてある。回折素子128は、検出器141の表面上に投射される光のうち相異なる二通りの波長間に空間分離を発生させる。この構成では、計測スポット116から集光された光のうちある特定の波長を有するものが検出器141上に投射されてスポット142Aをなし、計測スポット116から集光された光のうち別のある波長を有するものが検出器141上に投射されてスポット142Bをなす。
検出器141の一例は、紫外及び可視光(例.190nm~860nmの波長を有する光)に対し感応的な電荷結合デバイス(CCD)である。検出器150の一例は、赤外光(例.950nm~5000nmの波長を有する光)に対し感応的なフォトディテクタアレイ(PDA)である。とはいえ、一般に、他の二次元検出器テクノロジも考慮されうる(例.位置感知検出器(PSD)、赤外線検出器、光起電検出器等々)。各検出器は、入射光を、その入射光のスペクトル強度を示す電気信号へと変換する。例えば、UV検出器141は入射光129を示す出力信号154Aを生成し、IR検出器150は入射光148を示す出力信号154Bを生成する。
図5に記した通り、検出サブシステムは、集光光が計量システム100の全検出器に同時伝搬されるように整えられている。計量システム100は情報処理システム130をも有しており、これは、UV信号,IR信号双方を含む検出信号154を受け取り、それらUV信号,IR信号双方に基づき被計測構造(群)の注目パラメタの推定値155を求めるよう、構成されている。UV及びIRスペクトルを同時集光することで、計測時間が短縮されると共に、全スペクトルが同じアライメントコンディションで以て計測されることになる。これにより、ある共通の補正を全スペクトルデータセットに適用することができるため、波長誤差をより容易に補正することが可能となる。
図8には、特定温度で稼働している様々な検出器テクノロジの規格化検知性を描いたプロット260が記されている。図8に描いた通り、光起電及び光導電検出器テクノロジのどちらも、1μm超5μm未満の赤外波長での輻射を検出するのに適している。幾つかの例では、計量システム100が検出器、例えば硫化鉛(PbS)製、セレン化鉛(PbSe)製、アンチモン化インジウム(InSb)製、ヒ化インジウム(InAs)製、テルル化水銀カドミウム(HgCdTe)製、ヒ化インジウムガリウム(InGaAs)製、x-InGaAs製、焦電型及びボロメトリック型の検出器を有するものとされる。
焦電及びボロメトリック型の検出器は量子検出器ではない。従って、それらの検出器では高レベルの光を飽和無しで受け取れるので、ノイズ感度を低減することができる。
幾つかの実施形態では、検出器サブシステムがダークノイズ制限的ではなくショットノイズ制限的とされる。こうした例では、高い光レベルでの計測を複数回実行して計測システムノイズを低減するのが望ましい。
幾つかの実施形態によれば、時間依存計測(例.パルス光源、チョッパ等々)をロックイン増幅器その他の位相ロックループと協調して実行することで、計測信号対雑音比を高めることができる。
幾つかの実施形態によれば、検出器のうち1個又は複数個を-20℃、210°K、77°Kなる温度、或いはその他の低温まで除熱することで、計測ノイズを低減することができる。一般に、どのようなものであれ好適な除熱素子を用いることで、動作中に検出器の温度を一定温度に保つことができる。非限定的な例によれば、多段ペルチエクーラ、回転円盤クーラ、スターリングサイクルクーラ、N2クーラ、Heクーラ等々が、本特許出願の技術的範囲内で考慮されうる。
更なる態様によれば、分光計測をウェハの表面に直交する方向からオフアクシス的に実行することで、計測結果に対する背面反射の影響を減らすことができる。
別の態様によれば、本願記載の赤外線分光リフレクトメータのうち1個又は複数個にてオフアクシスな照明、集光又はその双方を採用することで、下側にある基板の下部からの反射により生成された計測信号を却けることができる。
図9には、基板164上に配置された膜層163上に入射する照明155が記されている。図9に記した通り、この照明は近直交入射の配置をなしているが、明確に直交入射(AOI=0°)が回避されている。入射光のうち一部分は膜163の表面で反射され、別の一部分158は膜163・基板164間界面で反射されている。これらの反射は望ましいものであり、リフレクトメトリ技術に依拠し膜163の厚みを推定するにはそれらを集光する必要がある。しかしながら、加うるに、入射光155のうち一部分156が基板164内に浸透している。光156のうち一部分157が基板の下部(例.ウェハの背面)にて反射され、基板164及び膜163内を伝搬している。光157は不要であり、膜163の計測を汚している。図9に記した通り、集光アパーチャ159を首尾よく採用することで、基板164の背面から反射されてきた不要光157を阻止することができる。こうすることが可能なのは、膜163の上面及び下面で反射された光と、基板164の下部から反射されてきた光157との間に、非ゼロ入射角の照明によって空間分離を発生させうるためである。
これに対し、図10には、基板164上に配置された膜層163上に入射する照明174が記されている。図10に記した通り、この照明は直交入射の配置をなしている。入射光174のうち一部分が膜163の表面で反射され、別の一部分が膜163・基板164間界面で反射されている。加えて、入射光174のうち一部分168が基板164内に浸透している。光168のうち一部分169が基板の下部(例.ウェハの背面)にて反射され、基板164及び膜163内を伝搬している。光169は不要であり、膜163の計測を汚している。図10に記した通り、0入射角の照明では、膜163の上面及び下面で反射された光と、基板164の下部から反射されてきた光169との間に、空間分離が発生しないため、集光アパーチャ173では、基板164の背面から反射されてきた不要光169を阻止することができない。
従って、実施形態によっては、非ゼロ入射角にて本願記載の通り赤外リフレクトメトリ計測を実行することが望ましい。この構成では、背面反射で生じた光を効果的に計測から遮断することができる。
他の更なる態様では、相異なる感度特性を呈する複数個の感光エリアを有する検出器により、広域に亘る波長が検出される。集光光は、波長に従い、検出器の表面をよぎり直線的に分散させる。相異なる感光エリアそれぞれを、相異なる入射波長域を感知するよう検出器上に配列する。この構成では、広域に亘る波長が、単一の検出器により高い信号対雑音比で以て検出される。これらの特徴それぞれにより又はその組合せにより、高アスペクト比構造(例.1μm以上の深さを有する構造)の高スループット計測を、高いスループット、精度及び正確度で以て行うことが可能となる。
幾つかの実施形態では、検出器サブシステムが、単一の検出器パッケージ上の相異なる個所にある幾つかの感度帯を組み合わせたマルチゾーン赤外線検出器を有する。この検出器を然るべく構成することで、入射個所に応じ異なる感度にてデータの連続スペクトルを送給することができる。
図12には、入手可能なヒ化インジウムガリウム(InGaAs)製センサの典型的光感度曲線が描かれている。図12に記した通り、入手可能なInGaAs製センサからなる単一のセンサでは、1μm~2.5μmの波長帯に亘り適切な光感度を提供することができない。即ち、入射可能なセンサ単独では、ある狭い波帯に亘る感知しか行えない。
ある態様では、それぞれ別々の波帯にて感応的なチップ複数個が、単一の検出器パッケージの態に組み合わされる。ひいては、そのマルチゾーン検出器が本願記載の計量システム内で実施される。
図11には、マルチゾーン赤外線検出器270を作成すべく相異なる4個の波帯から導出された4個のチップ270A~Dが記されている。これら4個のチップは、それぞれ異なる光感度特性を呈する別々の素材組成を有している。図11に記した通り、チップ270Aは波帯Aに亘り高感度を呈し、チップ270Bは波帯Bに亘り高感度を呈し、チップ270Cは波帯Cに亘り高感度を呈し、そしてチップ270Dは波帯Dに亘り高感度を呈している。検出器270が組み込まれる計量システムを適宜構成することで、波帯A内波長をチップ270A上に分散させること、波帯B内波長をチップ270B上に分散させること、波帯C内波長をチップ270C上に分散させること、並びに波帯D内波長をチップ270D上に分散させることができる。この構成では、波帯A~Dを含む集積的な波帯に亘り、単一の検出器で高光感度(即ち高SNR)が達成される。結果として、個別のセンサの使用をある狭い波帯、即ち計測感度が高く計測ノイズが少ない波帯に限定することで、計測域全体に亘る計測ノイズが低減される。
幾つかの例によれば、相異なるスペクトル域に対し感度を呈するInGaAs製センサをマルチゾーン検出器に具備させ、それらを単一のセンサパッケージの態に組み上げることで、750nm~3000nm又はそれを上回る波長をカバーする単一の連続スペクトルを生成することができる。
一般に、個別センサが何個であっても、連続スペクトルがその検出器から導出されうるよう、マルチゾーン検出器の波長分散方向に沿い組み上げることができる。とはいえ、典型的には、2~4個の個別センサがマルチゾーン検出器、例えば検出器270にて採用される。
ある実施形態では、800nm~1600nm域に亘る第1セグメントと、1600nm~2200nm域に亘る第2セグメントと、2200nm~2600nm域に亘る第3セグメントとを伴う、3個の個別センサが採用される。
本願ではInGaAs式赤外線検出器の使用が具体的に記述されているが、一般には、狭い感度域及び鋭い感度カットオフを呈するいずれの好適素材を統合して本願記載の通りマルチゾーン検出器の態にしてもよい。
図5に記した通り、本例の計測チャネルは、照明側に偏光子、集光側に検光子を有している。しかしながら、一般には、何らかの計測チャネルに照明偏光子、集光検光子、照明補償器、集光補償器を何らかの組合せで具備させ又は不具備とし、標本の偏向反射率、標本の未偏向反射率又はその双方の計測を実行することができるものと考えられる。
幾つかの実施形態では、相異なるアジマス角にて、またそれに加え相異なる波長域及び入射角域にてウェハを計測するよう、計量システムに備わる1個又は複数個の計測チャネルが構成される。幾つかの実施形態では、計量ターゲットに対し0°及び90°なるアジマス角にてウェハの計測を実行するよう、本願記載の赤外線スペクトロメータを有する計量システムが構成される。幾つかの実施形態では、1個又は複数個の波長域、1個又は複数個のAOI域並びに一通り又は複数通りのアジマス角に亘りウェハの反射率を同時計測するよう、その計量システムが構成される。幾つかの実施形態では、計量システムにて、1個又は複数個の分光エリプソメータ、分光リフレクトメータ、離散波長エリプソメータ、回転偏光子エリプソメータ、回転補償器エリプソメータ、回転偏光子回転補償器エリプソメータ及びミュラー行列エリプソメータにて、1個又は複数個のLSP・超連続体複合光源が利用される。
他の更なる態様によれば、照明視野絞りのウェハ平面上投射寸法を調整することで、もたらされる計測正確度及び速度を、計測下ターゲットの性状に基づき最適化することができる。
他の更なる態様によれば、照明視野絞りの寸法を調整することで、計測アプリケーション毎にその所望スペクトル分解能を達成することができる。
幾つかの例によれば、例えばその標本が非常に厚い膜又は格子構造である場合に、入射面に対し垂直な方向に沿いウェハ平面上に投射される照明視野絞りを調整することで、視野サイズを小さくしスペクトル分解能向上を果たすことができる。幾つかの例によれば、例えばその標本が薄膜である場合に、入射面に対し垂直な方向に沿いウェハ平面上に投射される照明視野絞りを調整することで、視野サイズを大きくしスペクトル分解能の損失無しで計測時間短縮を果たすことができる。
図5に記した実施形態では、情報処理システム130が、検出器サブシステムにより検出されたスペクトル応答を示す信号154を受け取るように構成されている。情報処理システム130は、更に、制御信号119を決めてプログラマブル照明視野絞り113に送るように構成されている。プログラマブル照明視野絞り113が制御信号119を受け取り照明アパーチャのサイズを調整することで、所望の照明視野サイズが達成される。
幾つかの例によれば、照明視野絞りを調整することで、上述の如く計測正確度及び速度を最適化することができる。また、ある例によれば、照明視野絞りを調整することで、スペクトロメータスリットによる画像クリッピングや、それに対応する計測結果劣化を防ぐことができる。この構成によれば、照明視野サイズを調整することで、計測ターゲットの像をスペクトロメータスリットに対しアンダーフィル(非充満)とすることができる。ある例によれば、照明視野絞りを調整することで、照明光学系に備わる偏光子スリットの投射像を、計量システムに備わるスペクトロメータスリットに対しアンダーフィルとすることができる。
図13には、少なくとも1個の新規態様における分光計測実行方法300が描かれている。方法300は計量システム、例えば図5に描かれている本発明の計量システム100による実施に適している。ある態様によれば、認識される通り、方法300の諸データ処理ブロックを、予めプログラミングされているアルゴリズムを情報処理システム130又は他の何らかの汎用情報処理システムに備わる1個又は複数個のプロセッサにより実行することで、実行することができる。本願での認識によれば、計量システム100の特定の構造的諸態様は限定事項を表すものではなく、専ら例証として解されるべきものである。
ブロック301では、2μm未満の波長を含む第1量の広帯域照明光と、2μm超の波長を含む第2量の広帯域照明光とが、複合照明源から計測下試料の表面上の計測スポットへと差し向けられる。その複合照明源は、第1量の広帯域照明光を生成する第1照明源と、第2量の広帯域照明光を生成する第2照明源とを、有するものである。
ブロック302では、それら第1及び第2量の照明光に応じ試料の表面上の計測スポットからある量の集光光が集められ、当該ある量の集光光が1個又は複数個の検出器へと差し向けられる。
ブロック303では、入射光に対し感応的で平坦な二次元表面を有する少なくとも1個の検出器で以て、当該ある量の集光光に係る計測スペクトルが検出される。
更なる実施形態では、システム100に備わる1個又は複数個の情報処理システム130を用い、本願記載の諸方法に従い収集された分光計測データに基づき実デバイス構造の計測が実行される。当該1個又は複数個の情報処理システム130をスペクトロメータに可通信結合させるとよい。ある態様では、計測下試料の構造の計測に係る計測データを受け取るよう1個又は複数個の情報処理システム130が構成される。
認識されるべきことに、本件開示の随所に記載されている1個又は複数個のステップを、単一のコンピュータシステム130で実行してもよいし、それに代え複数個のコンピュータシステム130で実行してもよい。更に、システム100の諸サブシステムが、本願記載のステップのうち少なくとも一部分を実行するのに適したコンピュータシステムを有していてもよい。従って、上掲の記述は本発明に対する限定事項としてではなく、単なる例証として解されるべきである。
加えて、コンピュータシステム130を、本件技術分野で既知ないずれの要領でスペクトロメータに可通信結合させてもよい。例えば、1個又は複数個の情報処理システム130をそれらスペクトロメータに係る情報処理システムに結合させてもよい。また例えば、コンピュータシステム130に結合された単一のコンピュータシステムによって、それらスペクトロメータを直に制御してもよい。
計量システム100のコンピュータシステム130を、本システムのサブシステム(例.スペクトロメータ等)からのデータ又は情報を伝送媒体、例えば有線及び/又は無線区間を有するそれにより受領及び/又は獲得するよう、構成してもよい。この構成によれば、その伝送媒体を、コンピュータシステム130とシステム100の他サブシステムとの間のデータリンクとして働かせることができる。
計量システム100のコンピュータシステム130を、他システムからのデータ又は情報(例.計測結果、モデリング入力、モデリング結果、参照計測結果等々)を伝送媒体、例えば有線及び/又は無線区間を有するそれにより受領及び/又は獲得するよう、構成してもよい。この構成によれば、その伝送媒体を、コンピュータシステム130と他システム(例.計量システム100のオンボードメモリ、外部メモリ又は他の外部システム)との間のデータリンクとして働かせることができる。例えば、データリンクを介し格納媒体(即ちメモリ132又は外部メモリ)から計測データを受け取るよう情報処理システム130を構成してもよい。一例としては、本願記載のスペクトロメータを用い取得した分光結果を恒久的又は半恒久的記憶デバイス(例.メモリ132又は外部メモリ)内に格納させることができる。この場合、その分光結果をオンボードメモリから、或いは外部メモリシステムからインポートすることができる。更に、そのコンピュータシステム130が伝送媒体を介し他システムにデータを送ってもよい。一例としては、コンピュータシステム130により求められた推定パラメタ値171又は計測モデルを送信し、外部メモリ内に格納させることができる。この場合、計測結果を他システムにエキスポートすることができる。
情報処理システム130には、これに限られるものではないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、イメージコンピュータ、並列プロセッサその他、本件技術分野で既知なあらゆる装置が包含されうる。一般に、語「情報処理システム」は、記憶媒体から得た命令を実行するプロセッサを1個又は複数個有するデバイス全てが包含されるよう、広く定義することができる。
方法例えば本願記載のそれを実現するプログラム命令134を、伝送媒体例えばワイヤ、ケーブル又は無線伝送リンク上で伝送してもよい。例えば、図1に描いた通り、メモリ132内に格納されているプログラム命令を、バス133上を経てプロセッサ131へと伝送させる。プログラム命令134はコンピュータ可読媒体(例.メモリ132)内に格納される。コンピュータ可読媒体の例としてはリードオンリメモリ、ランダムアクセスメモリ、磁気ディスク、光ディスク及び磁気テープがある。
幾つかの例では、計測モデルが、米国カリフォルニア州ミルピタス所在のKLA-Tencor Corporationから入手可能なSpectraShape(商標)光学的限界寸法計量システムの一要素として実現される。この構成では、システムによってスペクトルが収集された直後にモデルが生成され使用準備が整う。
他の幾つかの例では、計測モデルが、例えば、米国カリフォルニア州ミルピタス所在のKLA-Tencor Corporationから入手可能なAcuShape(登録商標)ソフトウェアを情報処理システムにより実行することで、オフライン実現される。得られる訓練済モデルは、計測を実行する計量システムによるアクセスが可能なAcuShape(登録商標)ライブラリの一要素として組み込むことができる。
また、ある態様では、本願記載の半導体デバイス分光計量方法及びシステムが、高アスペクト比(HAR)構造、大横寸法構造又はその双方の計測に適用される。本願記載の諸実施形態によれば、三次元NAND構造例えば垂直NAND(V-NAND(登録商標))構造、ダイナミックランダムアクセスメモリ構造(DRAM)等々を有する半導体デバイスであり、様々な半導体製造業者例えばSamsung Inc.(韓国)、SK Hynix Inc.(韓国)、株式会社東芝(日本)、Micron Technology, Inc.(米国)等々により製造されるそれに関し、光学的な限界寸法(CD)、膜及び組成の計量を行うことができる。こうした複雑なデバイスには、計測対象構造(群)内への透光率の低さなる問題がある。広帯域能があり、AOI、アジマス角又はその双方の値域が広く、本願記載の如く同時スペクトル帯検出能がある分光エリプソメータが、こうした高アスペクト比構造の計測に適している。HAR構造は、HAR向けエッチングプロセスを容易化すべくハードマスク層を有していることも多い。本願記載の語「HAR構造」は、アスペクト比が2:1又は10:1を上回ることを特徴とする構造全てを指しており、それが100:1超となることもありうる。
更に別の態様によれば、本願記載の計測結果を用い、処理ツール(例.リソグラフィツール、エッチングツール、堆積ツール等々)に能動フィードバックをかけることができる。例えば、本願記載の計測方法に依拠し導出された計測パラメタ値をリソグラフィツールに送り、所望出力が得られるようそのリソグラフィシステムを調整することができる。同様にしてエッチングパラメタ(例.エッチング時間、拡散率等々)や堆積パラメタ(例.時間、濃度等々)を計測モデルに組み込み、それぞれエッチングツールや堆積ツールに能動フィードバックをかけることができる。ある例によれば、訓練済計測モデル並びにデバイスパラメタ計測値に基づき求めた処理パラメタに対する補正を、リソグラフィツール、エッチングツール又は堆積ツールに送ることができる。
本願記載の語「限界寸法」には、構造のあらゆる限界寸法(例.下部限界寸法、中部限界寸法、上部限界寸法、側壁角、格子高さ等々)、いずれか2個以上の構造間の限界寸法(例.2個の構造間の距離)、並びに2個以上の構造間のずれ(例.重なり合う格子構造間のオーバレイ位置ずれ等々)が包含される。構造の例としては三次元構造、パターン化構造、オーバレイ構造等々がある。
本願記載の語「限界寸法アプリケーション」や「限界寸法計測アプリケーション」にはあらゆる限界寸法計測が包含される。
本願記載の語「計量システム」には、限界寸法計量、オーバレイ計量、焦点/照射量計量及び組成計量等の計測アプリケーションを初め、その態様を問わず試料の特性解明に少なくとも部分的に採用されるシステム全てが包含される。とはいえ、これらの技術用語により本願記載の語「計量システム」の範囲が制限されるわけではない。加えて、計量システム100をパターニング済ウェハ及び/又は未パターニングウェハの計測向けに構成してもよい。その計量システムを、LED式検査ツール、エッジ検査ツール、背面検査ツール、マクロ検査ツール又はマルチモード検査ツール(1個又は複数個のプラットフォームから同時にデータを得るものを含む)その他、限界寸法データに基づくシステムパラメタの校正から利を受けるどのような計量又は検査ツールとして構成してもよい。
本願には、試料の計測に使用しうる半導体計測システムであり何らかの半導体処理ツール(例.検査システム又はリソグラフィシステム)にあるものに関し、様々な実施形態が記載されている。本願中の用語「試料」は、本件技術分野で既知な手段により処理(例.印刷又は欠陥検査)されうるウェハ、レティクルその他の標本全てを指している。
本願中の用語「ウェハ」は、総じて、半導体又は非半導体素材で形成された基板を指している。その例としては、これに限られるものではないが、単結晶シリコン、ヒ化ガリウム及び燐化インジウムがある。そうした基板は半導体製造設備にて普通に見受けられ及び/又は処理されうる。場合によっては、ウェハが基板のみで構成されることがある(いわゆるベアウェハ)。そうではなく、ウェハが、基板上に形成された1個又は複数個の異種素材層を有することもある。ウェハ上に形成された1個又は複数個の層が「パターニング」されていることも「未パターニング」なこともありうる。例えば、ウェハ内に複数個のダイがありそれらが可反復パターンフィーチャを有していることがありうる。
「レティクル」は、レティクル製造プロセスのどの段階にあるレティクルでもよいし、レティクルの完成品でもよいし、また半導体製造設備での使用向けにリリースされていてもいなくてもよい。レティクル或いは「マスク」は、一般に、その上にほぼ不透明な領域が形成されておりその領域がパターンをなしているほぼ透明な基板として定義される。その基板は、例えば、ガラス素材例えばアモルファスSiOを含有する。レジストで覆われたウェハの上方にレティクルを配してリソグラフィプロセスのうち露出工程を行うことで、そのレティクル上のパターンをそのレジストへと転写することができる。
ウェハ上に形成される1個又は複数個の層がパターンをなしてもなさなくてもよい。例えば、ウェハ内の複数個のダイそれぞれが、可反復パターンフィーチャを有するようにしてもよい。そうした素材層の形成及び処理によって、最終的にはデバイスの完成品を得ることができる。ウェハ上には数多くの種類のデバイスを形成しうるところ、本願では、語ウェハが、どのような種類のものであれ本件技術分野で既知なデバイスがその上に作成されるウェハを包括する趣旨で用いられている。
1個又は複数個の例示的実施形態では、上述の機能がハードウェア、ソフトウェア、ファームウェア又はそれらの何らかの組合せの態で実現されうる。ソフトウェアの態で実現する際には、それらの機能が1個又は複数個の命令又はコードとしてコンピュータ可読媒体上に格納され又はその媒体上で伝送されうる。コンピュータ可読媒体にはコンピュータ格納媒体及び通信媒体の双方、例えばコンピュータプログラムをある場所から別の場所へと転送するのに役立つ媒体全てが包含される。格納媒体は、汎用又は専用コンピュータによるアクセスが可能な入手可能媒体であれば、どのような媒体でもよい。限定としてではなく例として言うなら、そうしたコンピュータ可読媒体は、RAM、ROM、EEPROM、CD-ROMその他の光ディスクストレージ、磁気ディスクストレージその他の磁気格納装置を初め、命令又はデータ構造の形態を採る所望のプログラムコード手段の搬送又は格納に使用することが可能で、且つ汎用又は専用コンピュータ或いは汎用又は専用プロセッサがアクセスすることが可能な、あらゆる媒体を以て構成することができる。また、どのような接続であれコンピュータ可読媒体と称して差し支えない。例えば、ソフトウェアをウェブサイト、サーバその他のリモートソースから送信するに当たり同軸ケーブル、光ファイバケーブル、ツイストペア、ディジタル加入者線(DSL)又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波が用いられるのであれば、それら同軸ケーブル、光ファイバケーブル、ツイストペア、DSL又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波は媒体の定義に収まる。本願中の用語ディスク(disk/disc)には、コンパクトディスク(CD)、レーザディスク、光ディスク、ディジタルバーサタイルディスク(DVD)、フロッピー(登録商標)ディスク及びblu-ray(登録商標)ディスクを初め、通常はデータが磁気的に再生されるディスク(disk)及びレーザで以てデータが光学的に再生されるディスク(disc)が包含される。上掲のものの組合せもまたコンピュータ可読媒体の範囲内に包含されるべきである。
ある特定の諸実施形態を教示目的で上述したが、本特許出願の教示は一般的な適用可能性を有するものであり、上述の具体的諸実施形態に限定されるものではない。従って、上述の諸実施形態の諸特徴については、特許請求の範囲中で説明されている発明の技術的範囲から離隔することなく、様々な修正、適合化並びに組合せを実施することができる。

Claims (31)

  1. 分光計量システムであって、
    2μm未満の波長を含む第1量の照明光を生成するよう構成された第1照明源、並びに2μm超の波長を含む第2量の照明光を生成するよう構成された第2照明源、を有する複合照明源と、
    第1及び第2量の照明光の光路に1つまたは複数の光学要素を含む照明光学系サブシステムと、を備え、
    上記第1及び第2量の照明光が、上記複合照明源から、計測下試料の表面上の計測スポットに、一通り又は複数通りの入射角、一通り又は複数通りのアジマス角又はその組合せで上記1つまたは複数の光学要素によって方向づけられ、
    上記試料の表面上の上記計測スポットからのある量の集光光の光路に1つまたは複数の光学要素を含む、集光光学系サブシステムと、
    入射光に対し感応的で平坦な二次元表面を有する少なくとも1個の検出器であり、当該少なくとも1個の検出器がそれぞれ異なる光感度を呈する2個以上の相異なる表面エリアを有し、当該2個以上の相異なる表面エリアが当該少なくとも1個の検出器の表面を横断する波長分散の方向と整列しており、上記入射光を検出し検出した入射光を示す出力を生成するよう構成されている少なくとも1個の検出器と、
    上記少なくとも1個の検出器の出力についての分析を踏まえ上記計測下試料の注目パラメタの推定値を生成する1つまたは複数のプロセッサを含む情報処理システムと、
    を備え、
    上記第1照明源がレーザ維持プラズマ(LSP)光源又はアークランプ光源であり、上記第2量の照明光のうち少なくとも一部分が上記LSP光源又は上記アークランプ光源のプラズマを通じ伝達される分光計量システム。
  2. 請求項1に記載の分光計量システムであって、上記第1照明源がレーザ維持プラズマ(LSP)照明源であり、上記第2照明源が超連続体レーザ照明源である分光計量システム。
  3. 請求項1に記載の分光計量システムであって、上記少なくとも1個の検出器が、縦続配列をなすよう構成された2個以上の検出器を含み、それら2個以上の検出器それぞれが、上記ある量の集光光のうち相異なるスペクトル域に亘る部分を検出する分光計量システム。
  4. 請求項1に記載の分光計量システムであって、更に、
    動作中に上記少なくとも1個の検出器を一定温度に保つ除熱システムを備える分光計量システム。
  5. 請求項1に記載の分光計量システムであって、上記LSP光源又は上記アークランプ光源により生成されたプラズマがプラズマバルブ内に入っており、そのプラズマバルブが少なくとも部分的にフッ化カルシウム又はフッ化マグネシウムで作成されている分光計量システム。
  6. 請求項1に記載の分光計量システムであって、上記第1量の照明光と上記第2量の照明光が上記試料に同時供給される分光計量システム。
  7. 請求項1に記載の分光計量システムであって、上記第1量の照明光と上記第2量の照明光が上記試料に相異なる時点で供給される分光計量システム。
  8. 請求項1に記載の分光計量システムであって、上記少なくとも1個の検出器がアンチモン化インジウム製検出器を含む分光計量システム。
  9. 請求項1に記載の分光計量システムであって、上記計測下試料が三次元NAND構造又はダイナミックランダムアクセスメモリ構造を有する分光計量システム。
  10. 2μm未満の波長を含む第1量の広帯域照明光及び2μm超の波長を含む第2量の広帯域照明光を複合照明源から計測下試料の表面上の計測スポットへと差し向けるステップであり、その複合照明源が、上記第1量の広帯域照明光を生成する第1照明源及び上記第2量の広帯域照明光を生成する第2照明源を有するステップと、
    上記第1量及び第2量の照明光に応じ上記試料の表面上の上記計測スポットからある量の集光光を集め、当該ある量の集光光を1個又は複数個の検出器へと差し向けるステップと、
    入射光に対し感応的で平坦な二次元表面を有する少なくとも1個の検出器で以て、上記ある量の集光光に係る計測スペクトルを検出するステップと、
    を有し、
    上記第1照明源がレーザ維持プラズマ(LSP)光源又はアークランプ光源であり、上記第2量の照明光のうち少なくとも一部分が上記LSP光源又は上記アークランプ光源のプラズマを通じ伝達される方法。
  11. 請求項10に記載の方法であって、上記第1照明源がレーザ維持プラズマ(LSP)照明源であり、上記第2照明源が超連続体レーザ照明源である方法。
  12. 請求項10に記載の方法であって、上記少なくとも1個の検出器にが、縦続配列をなすよう構成された2個以上の検出器を含み、それら2個以上の検出器それぞれが、上記ある量の集光光のうち相異なるスペクトル域に亘る部分を検出する方法。
  13. 請求項10に記載の方法であって、上記少なくとも1個の検出器が、それぞれ異なる光感度を呈する2個以上の表面エリアを有し、当該相異なる2個以上の表面エリアが、当該少なくとも1個の検出器の表面を横断する波長分散の方向と整列している方法。
  14. 請求項10に記載の方法であって、更に、
    動作中に上記少なくとも1個の検出器を一定温度に保つステップを有する方法。
  15. 請求項10に記載の方法であって、上記第1量の照明光と上記第2量の照明光が試料に同時供給される方法。
  16. 請求項10に記載の方法であって、上記第1量の照明光と上記第2量の照明光が試料に相異なる時点で供給される方法。
  17. 計量システムであって、
    2μm未満の波長を含む第1量の照明光を生成するよう構成された第1照明源、並びに2μm超の波長を含む第2量の照明光を生成するよう構成された第2照明源、を有する複合照明源を備え、
    上記第1及び第2量の照明光が、上記複合照明源から計測下試料の表面上の計測スポットへと方向づけられ、
    前記第1量及び第2量の照明光に応じて、上記計測スポットからある量の集光光を検出し、該検出した量の光を示す出力を生成するように構成された少なくとも1つの検出器と、
    上記少なくとも1個の検出器の出力についての分析を踏まえ上記計測下試料の注目パラメタの推定値を生成する1つまたは複数のプロセッサを含む情報処理システムと、
    を備え、
    上記第1照明源がレーザ維持プラズマ(LSP)光源又はアークランプ光源であり、上記第2量の照明光のうち少なくとも一部分が上記LSP光源又は上記アークランプ光源のプラズマを通じ伝達される計量システム。
  18. 請求項17に記載の計量システムであって、上記少なくとも1つの検出器が入射光に対して感応的な表面を含み、該表面がそれぞれ異なる光感度を有する2個以上の相異なる表面エリアを有する、計量システム。
  19. 請求項18に記載の計量システムであって、上記2個以上の相異なる表面エリアが、上記少なくとも1個の検出器の上記表面を横断する波長分散の方向と整列している、計量システム。
  20. 請求項17に記載の計量システムであって、上記少なくとも1個の検出器に、縦続配列をなすよう構成された2個以上の検出器が含まれていて、それら2個以上の検出器それぞれが、上記計測スポットからの上記ある量の集光光のうち相異なるスペクトル域に亘る部分を検出する計量システム。
  21. 請求項17に記載の計量システムであって、上記第1照明源がレーザ維持プラズマ(LSP)照明源であり、上記第2照明源が超連続体レーザ照明源である計量システム。
  22. 請求項17に記載の計量システムであって、上記第1量の照明光と上記第2量の照明光が試料に同時供給される計量システム。
  23. 請求項17に記載の計量システムであって、上記第1量の照明光と上記第2量の照明光が試料に相異なる時点で供給される計量システム。
  24. 請求項17に記載の計量システムであって、上記少なくとも1個の検出器にアンチモン化インジウム製検出器が含まれる計量システム。
  25. 請求項17に記載の計量システムであって、上記計測下試料が三次元NAND構造又はダイナミックランダムアクセスメモリ構造を有する計量システム。
  26. 2μm未満の波長を含む第1量の広帯域照明光をプラズマ式照明源から提供するステップと、
    2μm超の波長を含む第2量の広帯域照明光を第2照明源から提供するステップと、を有し、
    上記第2量の照明光の少なくとも一部が、上記プラズマ式照明源のプラズマを通じて伝達される方法。
  27. 請求項26に記載の方法であって、上記プラズマ式照明源がレーザ維持プラズマ(LSP)照明源であり、上記第2照明源が超連続体レーザ照明源である方法。
  28. 請求項26に記載の方法であって、上記プラズマ式照明源がレーザ維持プラズマ(LSP)光源又はアークランプ光源である方法。
  29. 請求項28に記載の方法であって、上記LSP光源又は上記アークランプ光源により生成された上記プラズマがプラズマバルブ内に入っており、そのプラズマバルブが少なくとも部分的にフッ化カルシウム又はフッ化マグネシウムで作成されている、方法。
  30. 請求項26に記載の方法であって、上記第1量の照明光と上記第2量の照明光が試料に同時供給される方法。
  31. 請求項26に記載の方法であって、上記第1量の照明光と上記第2量の照明光が試料に相異なる時点で供給される方法。
JP2019544642A 2017-02-17 2018-02-16 厚膜及び高アスペクト比構造の計測方法及びシステム Active JP7181211B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762460668P 2017-02-17 2017-02-17
US62/460,668 2017-02-17
US15/896,978 US10690602B2 (en) 2017-02-17 2018-02-14 Methods and systems for measurement of thick films and high aspect ratio structures
US15/896,978 2018-02-14
PCT/US2018/018457 WO2018152382A1 (en) 2017-02-17 2018-02-16 Methods and systems for measurement of thick films and high aspect ratio structures

Publications (3)

Publication Number Publication Date
JP2020508568A JP2020508568A (ja) 2020-03-19
JP2020508568A5 JP2020508568A5 (ja) 2021-05-06
JP7181211B2 true JP7181211B2 (ja) 2022-11-30

Family

ID=63167040

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019544642A Active JP7181211B2 (ja) 2017-02-17 2018-02-16 厚膜及び高アスペクト比構造の計測方法及びシステム

Country Status (6)

Country Link
US (2) US10690602B2 (ja)
EP (1) EP3563408A4 (ja)
JP (1) JP7181211B2 (ja)
KR (1) KR102629264B1 (ja)
CN (1) CN110313059B (ja)
WO (1) WO2018152382A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105091788B (zh) * 2014-05-06 2017-11-07 北京智朗芯光科技有限公司 自动实时快速检测晶片基底二维形貌的装置
WO2019217330A1 (en) * 2018-05-07 2019-11-14 Stc. Unm Method and system for in-line optical scatterometry
US11231362B1 (en) * 2018-12-20 2022-01-25 Kla Corporation Multi-environment polarized infrared reflectometer for semiconductor metrology
US11581264B2 (en) 2019-08-21 2023-02-14 Micron Technology, Inc. Electronic devices comprising overlay marks, memory devices comprising overlay marks, and related methods
KR20220074927A (ko) * 2019-10-31 2022-06-03 칼 짜이스 에스엠테 게엠베하 고형상비 구조의 형상 편차를 측정하기 위한 fib-sem 3d 단층 촬영
US11099002B2 (en) * 2019-12-09 2021-08-24 General Electric Company Systems and methods of assessing a coating microstructure
CN114902142A (zh) * 2019-12-30 2022-08-12 Asml荷兰有限公司 光刻设备、量测***、照射源及其方法
KR20210134129A (ko) * 2020-04-29 2021-11-09 삼성전자주식회사 웨이퍼 검사 장치 및 방법
US20230213868A1 (en) * 2020-06-23 2023-07-06 Asml Holding N.V. Lithographic apparatus, metrology systems, illumination switches and methods thereof
US12013355B2 (en) * 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
EP4016186A1 (en) * 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrology method for measuring an etched trench and associated metrology apparatus
CN112786603B (zh) * 2021-02-03 2021-12-03 长江存储科技有限责任公司 3d存储器件及其量测方法、薄膜量测装置
TWI801149B (zh) * 2021-02-25 2023-05-01 國立臺灣大學 線形掃描彩色共焦量測系統
US20220291143A1 (en) * 2021-03-11 2022-09-15 Kla Corporation Optical metrology utilizing short-wave infrared wavelengths

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140166862A1 (en) 2012-12-17 2014-06-19 Kla-Tencor Corporation Two dimensional optical detector with multiple shift registers
JP2014169891A (ja) 2013-03-01 2014-09-18 Nec Corp 赤外線検出器および波長スペクトル測定装置
JP2015521789A (ja) 2012-06-26 2015-07-30 ケーエルエー−テンカー コーポレイション 電気誘起ガス流によるレーザー維持プラズマ光源
JP2016516307A (ja) 2013-04-03 2016-06-02 ケーエルエー−テンカー コーポレイション 垂直スタックメモリにおいて欠陥深さを決定するための装置および方法
WO2016090349A1 (en) 2014-12-05 2016-06-09 Kla-Tencor Corporation Spectroscopic beam profile metrology

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4957368A (en) * 1989-03-16 1990-09-18 Photoacoustic Technology, Inc. Apparatus and process for performing ellipsometric measurements of surfaces
US5166752A (en) 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
JP2938961B2 (ja) * 1990-11-05 1999-08-25 富士通株式会社 赤外線検出装置の製造方法
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5808738A (en) 1995-06-13 1998-09-15 University Of South Florida Multiangle, multiwavelength particle characterization system and method
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6859278B1 (en) 2001-01-16 2005-02-22 J.A. Woollam Co. Inc. Multi-AOI-system for easy changing angles-of-incidence in ellipsometer, polarimeter and reflectometer systems
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
CN1219319C (zh) * 2000-07-12 2005-09-14 电子科学工业公司 用于集成电路熔丝的单脉冲切断的紫外激光***和方法
US6806951B2 (en) 2000-09-20 2004-10-19 Kla-Tencor Technologies Corp. Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen
US6791099B2 (en) 2001-02-14 2004-09-14 Applied Materials, Inc. Laser scanning wafer inspection using nonlinear optical phenomena
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7027142B2 (en) 2002-05-06 2006-04-11 Applied Materials, Israel, Ltd. Optical technique for detecting buried defects in opaque films
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
WO2006091840A2 (en) 2005-02-25 2006-08-31 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US7839509B2 (en) 2005-07-06 2010-11-23 Advanced Metrology Systems Llc Method of measuring deep trenches with model-based optical spectroscopy
US7297972B2 (en) * 2005-08-26 2007-11-20 Electro Scientific Industries, Inc. Methods and systems for positioning a laser beam spot relative to a semiconductor integrated circuit using a processing target as a metrology target
WO2007149601A2 (en) * 2006-02-01 2007-12-27 The General Hospital Corporation Apparatus for controlling at least one of at least two sections of at least one fiber
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7499169B2 (en) * 2006-07-19 2009-03-03 Viaspace Inc. Fuel cell and product of combustion humidity sensor
TWI429896B (zh) 2006-07-27 2014-03-11 Rudolph Technologies Inc 橢圓偏光測定儀器及監控製程之方法
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US8699027B2 (en) 2007-07-27 2014-04-15 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8248609B2 (en) 2008-11-04 2012-08-21 The Johns Hopkins University Cylindrical illumination confocal spectroscopy system
US8649016B2 (en) 2009-06-23 2014-02-11 Rudolph Technologies, Inc. System for directly measuring the depth of a high aspect ratio etched feature on a wafer
US8841591B2 (en) 2011-04-04 2014-09-23 The Board Of Trustees Of The Leland Stanford Junior University Grating-enhanced optical imaging
GB2489722B (en) 2011-04-06 2017-01-18 Precitec Optronik Gmbh Apparatus and method for determining a depth of a region having a high aspect ratio that protrudes into a surface of a semiconductor wafer
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
DE102011086018A1 (de) 2011-11-09 2013-05-16 Carl Zeiss Ag Verfahren und Anordnung zur Autofokussierung eines Mikroskops
US8879073B2 (en) 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US10354929B2 (en) * 2012-05-08 2019-07-16 Kla-Tencor Corporation Measurement recipe optimization based on spectral sensitivity and process variation
US9952140B2 (en) * 2012-05-29 2018-04-24 Kla-Tencor Corporation Small spot size spectroscopic ellipsometer
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
TWI477766B (zh) * 2012-12-18 2015-03-21 Ind Tech Res Inst 檢測裝置以及檢測方法
US9116103B2 (en) 2013-01-14 2015-08-25 Kla-Tencor Corporation Multiple angles of incidence semiconductor metrology systems and methods
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9354212B2 (en) 2014-01-07 2016-05-31 Applied Materials Israel Ltd. Inspection having a segmented pupil
US10210606B2 (en) 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US20160139032A1 (en) 2014-11-19 2016-05-19 Kla-Tencor Corporation Inspection system and method using an off-axis unobscured objective lens
US10887974B2 (en) 2015-06-22 2021-01-05 Kla Corporation High efficiency laser-sustained plasma light source
US9824176B2 (en) * 2015-07-24 2017-11-21 Nanometrics Incorporated Optical critical dimension target design
US10101676B2 (en) * 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
US9921152B2 (en) * 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
US9921104B2 (en) * 2016-06-11 2018-03-20 Kla-Tencor Corporation Simultaneous multi-angle spectroscopy
US10215693B2 (en) * 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015521789A (ja) 2012-06-26 2015-07-30 ケーエルエー−テンカー コーポレイション 電気誘起ガス流によるレーザー維持プラズマ光源
US20140166862A1 (en) 2012-12-17 2014-06-19 Kla-Tencor Corporation Two dimensional optical detector with multiple shift registers
JP2014169891A (ja) 2013-03-01 2014-09-18 Nec Corp 赤外線検出器および波長スペクトル測定装置
JP2016516307A (ja) 2013-04-03 2016-06-02 ケーエルエー−テンカー コーポレイション 垂直スタックメモリにおいて欠陥深さを決定するための装置および方法
WO2016090349A1 (en) 2014-12-05 2016-06-09 Kla-Tencor Corporation Spectroscopic beam profile metrology

Also Published As

Publication number Publication date
US20180238814A1 (en) 2018-08-23
US11119050B2 (en) 2021-09-14
US10690602B2 (en) 2020-06-23
EP3563408A4 (en) 2020-09-09
WO2018152382A1 (en) 2018-08-23
KR20190110146A (ko) 2019-09-27
KR102629264B1 (ko) 2024-01-24
US20200284733A1 (en) 2020-09-10
EP3563408A1 (en) 2019-11-06
CN110313059B (zh) 2023-11-03
JP2020508568A (ja) 2020-03-19
CN110313059A (zh) 2019-10-08

Similar Documents

Publication Publication Date Title
JP7181211B2 (ja) 厚膜及び高アスペクト比構造の計測方法及びシステム
KR102390308B1 (ko) 확장형 적외선 분광 타원 계측을 위한 시스템 및 방법
KR102283409B1 (ko) 높은 종횡비 구조의 측정을 위한 적외선 분광 리플렉토미터
US9952140B2 (en) Small spot size spectroscopic ellipsometer
KR102518214B1 (ko) 고종횡비 구조물의 측정을 위한 중적외선 분광법
US9921104B2 (en) Simultaneous multi-angle spectroscopy
KR102381157B1 (ko) 고 반사성 막 스택들 위의 고 흡수성 막 층의 광학적 측정
US20240201073A1 (en) Methods And Systems For Scatterometry Based Metrology Of Structures Fabricated On Transparent Substrates

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210215

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210324

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220427

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220920

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20220920

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20220928

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20221004

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221117

R150 Certificate of patent or registration of utility model

Ref document number: 7181211

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150