JP7179962B2 - Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program - Google Patents

Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program Download PDF

Info

Publication number
JP7179962B2
JP7179962B2 JP2021506817A JP2021506817A JP7179962B2 JP 7179962 B2 JP7179962 B2 JP 7179962B2 JP 2021506817 A JP2021506817 A JP 2021506817A JP 2021506817 A JP2021506817 A JP 2021506817A JP 7179962 B2 JP7179962 B2 JP 7179962B2
Authority
JP
Japan
Prior art keywords
gas
processing chamber
substrate
supplying
reaction gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021506817A
Other languages
Japanese (ja)
Other versions
JPWO2020188654A1 (en
Inventor
一樹 野々村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of JPWO2020188654A1 publication Critical patent/JPWO2020188654A1/ja
Application granted granted Critical
Publication of JP7179962B2 publication Critical patent/JP7179962B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本開示は、半導体装置の製造方法、基板処理方法、基板処理装置およびプログラムに関する。 The present disclosure relates to a semiconductor device manufacturing method, a substrate processing method, a substrate processing apparatus, and a program.

半導体装置(デバイス)の製造工程の一工程として、処理室内に収容された基板上に膜を形成する成膜処理が行われることがある(例えば特許文献1を参照)。 2. Description of the Related Art As one step of a manufacturing process of a semiconductor device (device), a film forming process for forming a film on a substrate housed in a processing chamber is sometimes performed (see, for example, Japanese Unexamined Patent Application Publication No. 2002-200013).

特開2014-67877号公報JP 2014-67877 A

特許文献1に示されているように、アルミニウム等の元素を含む原料ガスと、酸素等の元素を含む反応ガスとを処理室内に順番に供給して、処理室内に収容された基板上に膜を形成する際、基板上に形成される膜の面内膜厚均一性を高めることが求められている。 As disclosed in Patent Document 1, a source gas containing an element such as aluminum and a reaction gas containing an element such as oxygen are sequentially supplied into a processing chamber to form a film on a substrate accommodated in the processing chamber. is required to improve the in-plane film thickness uniformity of the film formed on the substrate.

本開示は、処理室内に収容された基板上に形成される膜の面内膜厚均一性を向上させる技術を提供することを目的とする。 An object of the present disclosure is to provide a technique for improving the in-plane film thickness uniformity of a film formed on a substrate accommodated in a processing chamber.

本開示の一態様によれば、処理室内の基板に対して原料ガスを供給する工程と、前記処理室内の前記基板に対して前記原料ガスとは分子構造が異なる反応ガスを供給する工程と、を非同時に所定回数行うことで、前記基板上に膜を形成する工程を有し、前記反応ガスを供給する工程では、前記処理室内の圧力が安定していない状態で、前記処理室内の前記基板に対しての前記反応ガスの供給と、前記処理室内からの前記反応ガスの排気と、を所定回数繰り返して行う技術が提供される。 According to one aspect of the present disclosure, supplying a source gas to a substrate in a processing chamber; supplying a reactive gas having a molecular structure different from that of the source gas to the substrate in the processing chamber; are performed a predetermined number of times asynchronously to form a film on the substrate; and the exhaust of the reaction gas from the processing chamber are repeated a predetermined number of times.

本開示によれば、処理室内に収容された基板上に形成される膜の面内膜厚均一性を向上させることが可能である。 According to the present disclosure, it is possible to improve the in-plane film thickness uniformity of a film formed on a substrate housed in a processing chamber.

本開示の一実施形態における基板処理装置の縦型処理炉の概略を示す縦断面図である。1 is a vertical cross-sectional view showing an outline of a vertical processing furnace of a substrate processing apparatus according to an embodiment of the present disclosure; FIG. 図1におけるA-A線概略横断面図である。FIG. 2 is a schematic cross-sectional view taken along line AA in FIG. 1; 本開示の一実施形態における基板処理装置のコントローラの概略構成図であり、コントローラの制御系をブロック図で示す図である。1 is a schematic configuration diagram of a controller of a substrate processing apparatus according to an embodiment of the present disclosure, and is a block diagram showing a control system of the controller; FIG. 本開示の一実施形態における基板処理装置の動作を示すフロー図である。FIG. 4 is a flow chart showing the operation of the substrate processing apparatus in one embodiment of the present disclosure; 本開示の一実施形態におけるAlO膜形成工程の1サイクルを示す図である。FIG. 4 is a diagram showing one cycle of an AlO film forming process in one embodiment of the present disclosure; 処理室内圧力、ノズル内圧力および処理室内の反応ガスの流速と、処理室内に反応ガスを供給する時間との関係を示すグラフである。5 is a graph showing the relationship between the processing chamber pressure, the nozzle inner pressure, the flow velocity of the reaction gas in the processing chamber, and the time for which the reaction gas is supplied into the processing chamber.

以下、本開示の第1の実施形態について、図1~図6を参照しながら説明する。基板処理装置10は半導体装置の製造工程において使用される装置の一例として構成されている。 A first embodiment of the present disclosure will be described below with reference to FIGS. 1 to 6. FIG. A substrate processing apparatus 10 is configured as an example of an apparatus used in a manufacturing process of a semiconductor device.

(1)基板処理装置の構成
基板処理装置10は、加熱手段(加熱機構、加熱系)としてのヒータ207が設けられた処理炉202を備える。ヒータ207は円筒形状であり、保持板としてのヒータベース(図示せず)に支持されることにより垂直に据え付けられている。
(1) Configuration of Substrate Processing Apparatus The substrate processing apparatus 10 includes a processing furnace 202 provided with a heater 207 as heating means (heating mechanism, heating system). The heater 207 has a cylindrical shape and is vertically installed by being supported by a heater base (not shown) as a holding plate.

ヒータ207の内側には、ヒータ207と同心円状に反応容器(処理容器)を構成するアウタチューブ203が配設されている。アウタチューブ203は、例えば石英(SiO)、炭化シリコン(SiC)などの耐熱性材料により構成され、上端が閉塞し下端が開口した円筒形状に形成されている。アウタチューブ203の下方には、アウタチューブ203と同心円状に、マニホールド(インレットフランジ)209が配設されている。マニホールド209は、例えばステンレス(SUS)などの金属により構成され、上端および下端が開口した円筒形状に形成されている。マニホールド209の上端部と、アウタチューブ203との間には、シール部材としてのOリング220aが設けられている。マニホールド209がヒータベースに支持されることにより、アウタチューブ203は垂直に据え付けられた状態となる。Inside the heater 207 , an outer tube 203 forming a reaction vessel (processing vessel) is arranged concentrically with the heater 207 . The outer tube 203 is made of a heat-resistant material such as quartz (SiO 2 ) or silicon carbide (SiC), and has a cylindrical shape with a closed upper end and an open lower end. A manifold (inlet flange) 209 is arranged concentrically with the outer tube 203 below the outer tube 203 . The manifold 209 is made of metal such as stainless steel (SUS), and has a cylindrical shape with open upper and lower ends. An O-ring 220a is provided between the upper end of the manifold 209 and the outer tube 203 as a sealing member. By supporting the manifold 209 on the heater base, the outer tube 203 is vertically installed.

アウタチューブ203の内側には、反応容器を構成するインナチューブ204が配設されている。インナチューブ204は、例えば石英、SiCなどの耐熱性材料により構成され、上端が閉塞し下端が開口した円筒形状に形成されている。主に、アウタチューブ203と、インナチューブ204と、マニホールド209とにより処理容器(反応容器)が構成されている。処理容器の筒中空部(インナチューブ204の内側)には処理室201が形成されている。 An inner tube 204 forming a reaction container is arranged inside the outer tube 203 . The inner tube 204 is made of a heat-resistant material such as quartz or SiC, and has a cylindrical shape with a closed upper end and an open lower end. A processing vessel (reaction vessel) is mainly composed of the outer tube 203 , the inner tube 204 and the manifold 209 . A processing chamber 201 is formed in the cylindrical hollow portion of the processing container (inside the inner tube 204).

処理室201は、基板としてのウエハ200を後述するボート217によって水平姿勢で鉛直方向に多段に配列した状態で収容可能に構成されている。処理室201内には、ノズル410(第1のノズル),420(第2のノズル)がマニホールド209の側壁およびインナチューブ204を貫通するように設けられている。ノズル410,420には、ガス供給ラインとしてのガス供給管310,320が、それぞれ接続されている。このように、基板処理装置10には2本のノズル410,420と、2本のガス供給管310,320とが設けられており、処理室201内へ複数種類のガスを供給することができるように構成されている。ただし、本実施形態の処理炉202は上述の形態に限定されない。 The processing chamber 201 is configured so that the wafers 200 as substrates can be accommodated in a state in which the wafers 200 are horizontally arranged in the vertical direction in multiple stages by a boat 217 which will be described later. Nozzles 410 (first nozzle) and 420 (second nozzle) are provided in the processing chamber 201 so as to penetrate the side wall of the manifold 209 and the inner tube 204 . Gas supply pipes 310 and 320 as gas supply lines are connected to the nozzles 410 and 420, respectively. As described above, the substrate processing apparatus 10 is provided with two nozzles 410 and 420 and two gas supply pipes 310 and 320 so that a plurality of types of gases can be supplied into the processing chamber 201. is configured as However, the processing furnace 202 of this embodiment is not limited to the form described above.

ガス供給管310,320には上流側から順に流量制御器(流量制御部)であるマスフローコントローラ(MFC)312,322がそれぞれ設けられている。また、ガス供給管310,320には、開閉弁であるバルブ314,324がそれぞれ設けられている。ガス供給管310,320のバルブ314,324の下流側には、不活性ガスを供給するガス供給管510,520がそれぞれ接続されている。ガス供給管510,520には、上流側から順に、MFC512,522およびバルブ514,524がそれぞれ設けられている。 Gas supply pipes 310 and 320 are provided with mass flow controllers (MFC) 312 and 322, respectively, which are flow controllers (flow controllers) in order from the upstream side. Valves 314 and 324, which are open/close valves, are provided in the gas supply pipes 310 and 320, respectively. Gas supply pipes 510 and 520 for supplying inert gas are connected to the downstream sides of the valves 314 and 324 of the gas supply pipes 310 and 320, respectively. Gas supply pipes 510 and 520 are provided with MFCs 512 and 522 and valves 514 and 524, respectively, in this order from the upstream side.

ガス供給管310,320の先端部にはノズル410,420がそれぞれ連結接続されている。ノズル410,420は、L字型のノズルとして構成されており、その水平部はマニホールド209の側壁およびインナチューブ204を貫通するように設けられている。ノズル410,420の垂直部は、インナチューブ204の径方向外向きに突出し、かつ鉛直方向に延在するように形成されているチャンネル形状(溝形状)の予備室201aの内部に設けられており、予備室201a内にてインナチューブ204の内壁に沿って上方(ウエハ200の配列方向上方)に向かって設けられている。 Nozzles 410 and 420 are connected to the distal ends of the gas supply pipes 310 and 320, respectively. Nozzles 410 and 420 are configured as L-shaped nozzles, and the horizontal portion thereof is provided so as to penetrate the side wall of manifold 209 and inner tube 204 . The vertical portions of the nozzles 410 and 420 project radially outward from the inner tube 204 and are provided inside a channel-shaped (groove-shaped) preliminary chamber 201a formed to extend in the vertical direction. , are provided along the inner wall of the inner tube 204 in the preliminary chamber 201a upward (upward in the direction in which the wafers 200 are arranged).

ノズル410,420は、処理室201の下部領域から処理室201の上部領域まで延在するように設けられており、ウエハ200と対向する位置にそれぞれ複数のガス供給孔410a,420aが設けられている。これにより、ノズル410,420のガス供給孔(開口部)410a,420aからそれぞれウエハ200に処理ガスを供給する。 The nozzles 410 and 420 are provided so as to extend from the lower region of the processing chamber 201 to the upper region of the processing chamber 201, and a plurality of gas supply holes 410a and 420a are provided at positions facing the wafer 200, respectively. there is Thereby, the processing gas is supplied to the wafer 200 from the gas supply holes (openings) 410a and 420a of the nozzles 410 and 420, respectively.

ガス供給孔410aは、インナチューブ204の下部から上部にわたって複数設けられ、それぞれ同一の開口面積を有し、さらに同一の開口ピッチで設けられている。ただし、ガス供給孔410aは上述の形態に限定されない。例えば、インナチューブ204の下部から上部に向かって開口面積を徐々に大きくしてもよい。これにより、ガス供給孔410aから供給されるガスの流量をより均一化することが可能となる。 A plurality of gas supply holes 410a are provided from the lower portion to the upper portion of the inner tube 204, each having the same opening area and the same opening pitch. However, the gas supply hole 410a is not limited to the form described above. For example, the opening area may gradually increase from the bottom to the top of the inner tube 204 . This makes it possible to make the flow rate of the gas supplied from the gas supply holes 410a more uniform.

ガス供給孔420aは、インナチューブ204の下部から上部にわたって複数設けられ、それぞれ同一の開口面積を有し、さらに同一の開口ピッチで設けられている。ただし、ガス供給孔420aは上述の形態に限定されない。例えば、インナチューブ204の下部から上部に向かって開口面積を徐々に大きくしてもよい。これにより、ガス供給孔420aから供給されるガスの流量をより均一化することが可能となる。 A plurality of gas supply holes 420a are provided from the lower portion to the upper portion of the inner tube 204, each having the same opening area and the same opening pitch. However, the gas supply hole 420a is not limited to the form described above. For example, the opening area may gradually increase from the bottom to the top of the inner tube 204 . This makes it possible to make the flow rate of the gas supplied from the gas supply holes 420a more uniform.

ノズル410,420のガス供給孔410a,420aは、後述するボート217の下部から上部までの高さの位置に複数設けられている。そのため、ノズル410,420のガス供給孔410a,420aから処理室201内に供給された処理ガスは、ボート217の下部から上部までに収容されたウエハ200、すなわちボート217に収容されたウエハ200の全域に供給される。ノズル410,420は、処理室201の下部領域から上部領域まで延在するように設けられていればよいが、ボート217の天井付近まで延在するように設けられていることが好ましい。 A plurality of gas supply holes 410a, 420a of the nozzles 410, 420 are provided at a height from the bottom to the top of the boat 217, which will be described later. Therefore, the processing gas supplied into the processing chamber 201 from the gas supply holes 410a and 420a of the nozzles 410 and 420 is supplied to the wafers 200 accommodated from the bottom to the top of the boat 217, that is, the wafers 200 accommodated in the boat 217. supplied to all areas. The nozzles 410 and 420 may be provided so as to extend from the lower region to the upper region of the processing chamber 201 , but are preferably provided so as to extend to near the ceiling of the boat 217 .

ガス供給管310からは、処理ガスとして、金属元素を含む原料ガス(金属含有ガス、原料ガス)が、MFC312、バルブ314、ノズル410を介して処理室201内に供給される。原料としては、例えば金属元素であるアルミニウム(Al)を含む金属含有原料ガス(金属含有ガス)であるアルミニウム含有原料(Al含有原料ガス、Al含有ガス)としてのトリメチルアルミニウム(Al(CH、略称:TMA)が用いられる。TMAは有機系原料であり、アルミニウムにアルキル基が結合したアルキルアルミニウムである。A source gas containing a metal element (metal-containing gas, source gas) is supplied as a processing gas from the gas supply pipe 310 into the processing chamber 201 via the MFC 312 , the valve 314 and the nozzle 410 . As the raw material, for example, trimethylaluminum (Al(CH 3 ) 3 , abbreviation: TMA) is used. TMA is an organic raw material, and is an alkylaluminum in which an alkyl group is bonded to aluminum.

ガス供給管320からは、処理ガスとして、反応ガスが、MFC322、バルブ324、ノズル420を介して処理室201内に供給される。反応ガス(原料ガスとは化学構造(分子構造)が異なる反応ガス)としては、酸素(O)を含み、Alと反応する反応ガス(リアクタント)としての酸素含有ガス(酸化ガス、酸化剤)を用いることができる。酸素含有ガスとしては、例えば、オゾン(O)ガスを用いることができる。From the gas supply pipe 320 , a reaction gas is supplied as a processing gas into the processing chamber 201 via the MFC 322 , the valve 324 and the nozzle 420 . As the reactive gas (reactive gas having a chemical structure (molecular structure) different from that of the raw material gas), an oxygen-containing gas (oxidizing gas, oxidizing agent) as a reactive gas (reactant) that contains oxygen (O) and reacts with Al is used. can be used. As the oxygen-containing gas, for example, ozone (O 3 ) gas can be used.

本実施形態において、金属含有ガスである原料ガスがノズル410のガス供給孔410aから処理室201内に供給され、酸素含有ガスである反応ガスがノズル420のガス供給孔420aから処理室201内に供給されることで、ウエハ200の表面に原料ガス(金属含有ガス)および反応ガス(酸素含有ガス)が供給され、ウエハ200の表面上に金属酸化膜が形成される。 In this embodiment, a source gas, which is a metal-containing gas, is supplied into the processing chamber 201 through the gas supply hole 410a of the nozzle 410, and a reaction gas, which is an oxygen-containing gas, is supplied into the processing chamber 201 through the gas supply hole 420a of the nozzle 420. As a result, the raw material gas (metal-containing gas) and reaction gas (oxygen-containing gas) are supplied to the surface of the wafer 200 to form a metal oxide film on the surface of the wafer 200 .

ガス供給管510,520からは、不活性ガスとして、例えば窒素(N)ガスが、それぞれMFC512,522、バルブ514,524、ノズル410,420を介して処理室201内に供給される。なお、以下、不活性ガスとしてNガスを用いる例について説明するが、不活性ガスとしては、Nガス以外に、例えば、アルゴン(Ar)ガス、ヘリウム(He)ガス、ネオン(Ne)ガス、キセノン(Xe)ガス等の希ガスを用いてもよい。An inert gas such as nitrogen (N 2 ) gas is supplied from gas supply pipes 510 and 520 into the processing chamber 201 through MFCs 512 and 522, valves 514 and 524, and nozzles 410 and 420, respectively. An example using N2 gas as the inert gas will be described below, but the inert gas may be argon (Ar) gas, helium (He) gas, neon (Ne) gas, other than N2 gas, for example. , xenon (Xe) gas, or other rare gas may be used.

主に、ガス供給管310,320、MFC312,322、バルブ314,324、ノズル410,420により処理ガス供給系が構成されるが、ノズル410,420のみを処理ガス供給系と考えてもよい。処理ガス供給系を、単に、ガス供給系と称することもできる。ガス供給管310から原料ガスを流す場合、主に、ガス供給管310、MFC312、バルブ314により原料ガス供給系が構成されるが、ノズル410を原料ガス供給系に含めて考えてもよい。また、原料ガス供給系を原料供給系と称することもできる。原料ガスとして金属含有原料ガスを用いる場合、原料ガス供給系を金属含有原料ガス供給系と称することもできる。ガス供給管320から反応ガスを流す場合、主に、ガス供給管320、MFC322、バルブ324により反応ガス供給系が構成されるが、ノズル420を反応ガス供給系に含めて考えてもよい。ガス供給管320から反応ガスとして酸素含有ガスを供給する場合、反応ガス供給系を酸素含有ガス供給系と称することもできる。また、主に、ガス供給管510,520、MFC512,522,バルブ514,524により不活性ガス供給系が構成される。不活性ガス供給系を、パージガス供給系、希釈ガス供給系、或いは、キャリアガス供給系と称することもできる。 The gas supply pipes 310, 320, MFCs 312, 322, valves 314, 324, and nozzles 410, 420 mainly constitute the processing gas supply system, but the nozzles 410, 420 alone may be considered as the processing gas supply system. The processing gas supply system can also be simply referred to as a gas supply system. When the source gas is supplied from the gas supply pipe 310, the source gas supply system is mainly composed of the gas supply pipe 310, the MFC 312, and the valve 314, but the nozzle 410 may be included in the source gas supply system. Moreover, the raw material gas supply system can also be called a raw material supply system. When a metal-containing source gas is used as the source gas, the source gas supply system can also be referred to as a metal-containing source gas supply system. When the reaction gas is supplied from the gas supply pipe 320, the reaction gas supply system is mainly composed of the gas supply pipe 320, the MFC 322, and the valve 324, but the nozzle 420 may be included in the reaction gas supply system. When the oxygen-containing gas is supplied as the reaction gas from the gas supply pipe 320, the reaction gas supply system can also be called an oxygen-containing gas supply system. In addition, the gas supply pipes 510, 520, the MFCs 512, 522, and the valves 514, 524 mainly constitute an inert gas supply system. The inert gas supply system can also be called a purge gas supply system, a dilution gas supply system, or a carrier gas supply system.

本実施形態におけるガス供給の方法は、インナチューブ204の内壁と、複数枚のウエハ200の端部とで定義される円環状の縦長の空間内、すなわち、円筒状の空間内の予備室201a内に配置したノズル410,420を経由してガスを搬送している。そして、ノズル410,420のウエハと対向する位置に設けられた複数のガス供給孔410a,420aからインナチューブ204内にガスを噴出させている。より詳細には、ノズル410のガス供給孔410a、ノズル420のガス供給孔420aにより、ウエハ200の表面と平行方向、すなわち水平方向に向かって原料ガス等を噴出させている。 The gas supply method in this embodiment is performed in an annular longitudinal space defined by the inner wall of the inner tube 204 and the ends of the plurality of wafers 200, that is, in the preliminary chamber 201a in the cylindrical space. The gas is conveyed via nozzles 410 and 420 arranged in the . Gas is jetted into the inner tube 204 from a plurality of gas supply holes 410a, 420a provided at positions of the nozzles 410, 420 facing the wafer. More specifically, the gas supply hole 410a of the nozzle 410 and the gas supply hole 420a of the nozzle 420 are used to eject the source gas and the like in a direction parallel to the surface of the wafer 200, that is, in a horizontal direction.

排気孔(排気口)204aは、インナチューブ204の側壁であってノズル410,420に対向した位置、すなわち予備室201aとは180度反対側の位置に形成された貫通孔であり、例えば、鉛直方向に細長く開設されたスリット状の貫通孔である。そのため、ノズル410,420のガス供給孔410a,420aから処理室201内に供給され、ウエハ200の表面上を流れたガス、すなわち、残留するガス(残ガス)は、排気孔204aを介してインナチューブ204とアウタチューブ203との間に形成された隙間からなる排気路206内に流れる。そして、排気路206内へと流れたガスは、排気管231内に流れ、処理炉202外へと排出される。 The exhaust hole (exhaust port) 204a is a through hole formed on the side wall of the inner tube 204 at a position facing the nozzles 410 and 420, that is, at a position 180 degrees opposite to the preliminary chamber 201a. It is a slit-like through hole elongated in the direction of the hole. Therefore, the gas supplied into the processing chamber 201 from the gas supply holes 410a and 420a of the nozzles 410 and 420 and flowed over the surface of the wafer 200, that is, the remaining gas (residual gas) is discharged through the exhaust hole 204a. It flows into the exhaust path 206 formed by the gap formed between the tube 204 and the outer tube 203 . Then, the gas that has flowed into the exhaust path 206 flows into the exhaust pipe 231 and is discharged out of the processing furnace 202 .

排気孔204aは、複数のウエハ200と対向する位置(好ましくはボート217の上部から下部と対向する位置)に設けられており、ガス供給孔410a、420aから処理室201内のウエハ200の近傍に供給されたガスは、水平方向、すなわちウエハ200の表面と平行方向に向かって流れた後、排気孔204aを介して排気路206内へと流れる。すなわち、処理室201に残留するガスは、排気孔204aを介してウエハ200の主面に対して平行に排気される。なお、排気孔204aはスリット状の貫通孔として構成される場合に限らず、複数個の孔により構成されていてもよい。 The exhaust hole 204a is provided at a position facing a plurality of wafers 200 (preferably at a position facing from the upper part to the lower part of the boat 217), and near the wafers 200 in the processing chamber 201 from the gas supply holes 410a and 420a. The supplied gas flows horizontally, that is, in a direction parallel to the surface of the wafer 200, and then flows into the exhaust path 206 through the exhaust holes 204a. That is, the gas remaining in the processing chamber 201 is exhausted parallel to the main surface of the wafer 200 through the exhaust hole 204a. In addition, the exhaust hole 204a is not limited to being configured as a slit-shaped through hole, and may be configured by a plurality of holes.

マニホールド209には、処理室201内の雰囲気を排気する排気管231が設けられている。排気管231には、上流側から順に、処理室201内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ245,APC(Auto Pressure Controller)バルブ243,真空排気装置としての真空ポンプ246が接続されている。APCバルブ243は、真空ポンプ246を作動させた状態で弁を開閉することで、処理室201内の真空排気および真空排気停止を行うことができ、更に、真空ポンプ246を作動させた状態で弁開度を調節することで、処理室201内の圧力を調整することができる。主に、排気孔204a,排気路206,排気管231,APCバルブ243および圧力センサ245により、排気系すなわち排気ラインが構成される。なお、真空ポンプ246を排気系に含めて考えてもよい。 The manifold 209 is provided with an exhaust pipe 231 for exhausting the atmosphere inside the processing chamber 201 . The exhaust pipe 231 includes, in order from the upstream side, a pressure sensor 245 as a pressure detector (pressure detection unit) for detecting the pressure in the processing chamber 201, an APC (Auto Pressure Controller) valve 243, and a vacuum pump as an evacuation device. 246 are connected. The APC valve 243 can evacuate the processing chamber 201 and stop the evacuation by opening and closing the valve while the vacuum pump 246 is in operation. By adjusting the degree of opening, the pressure inside the processing chamber 201 can be adjusted. The exhaust hole 204a, the exhaust path 206, the exhaust pipe 231, the APC valve 243 and the pressure sensor 245 mainly constitute an exhaust system, that is, an exhaust line. Note that the vacuum pump 246 may be included in the exhaust system.

マニホールド209の下方には、マニホールド209の下端開口を気密に閉塞可能な炉口蓋体としてのシールキャップ219が設けられている。シールキャップ219は、マニホールド209の下端に鉛直方向下側から当接されるように構成されている。シールキャップ219は、例えばSUS等の金属により構成され、円盤状に形成されている。シールキャップ219の上面には、マニホールド209の下端と当接するシール部材としてのOリング220bが設けられている。シールキャップ219における処理室201の反対側には、ウエハ200を収容するボート217を回転させる回転機構267が設置されている。回転機構267の回転軸255は、シールキャップ219を貫通してボート217に接続されている。回転機構267は、ボート217を回転させることでウエハ200を回転させるように構成されている。シールキャップ219は、アウタチューブ203の外部に垂直に設置された昇降機構としてのボートエレベータ115によって鉛直方向に昇降されるように構成されている。ボートエレベータ115は、シールキャップ219を昇降させることで、ボート217を処理室201内外に搬入および搬出することが可能なように構成されている。ボートエレベータ115は、ボート217およびボート217に収容されたウエハ200を、処理室201内外に搬送する搬送装置(搬送機構)として構成されている。 Below the manifold 209, a seal cap 219 is provided as a furnace mouth cover capable of hermetically closing the lower end opening of the manifold 209. As shown in FIG. The seal cap 219 is configured to contact the lower end of the manifold 209 from below in the vertical direction. The seal cap 219 is made of metal such as SUS, and is shaped like a disk. An O-ring 220 b is provided on the upper surface of the seal cap 219 as a sealing member that contacts the lower end of the manifold 209 . A rotating mechanism 267 for rotating the boat 217 containing the wafers 200 is installed on the side of the seal cap 219 opposite to the processing chamber 201 . A rotating shaft 255 of the rotating mechanism 267 passes through the seal cap 219 and is connected to the boat 217 . The rotating mechanism 267 is configured to rotate the wafers 200 by rotating the boat 217 . The seal cap 219 is configured to be vertically moved up and down by a boat elevator 115 as a lifting mechanism installed vertically outside the outer tube 203 . The boat elevator 115 is configured to move the boat 217 into and out of the processing chamber 201 by raising and lowering the seal cap 219 . The boat elevator 115 is configured as a transport device (transport mechanism) that transports the boat 217 and the wafers 200 housed in the boat 217 into and out of the processing chamber 201 .

基板支持具としてのボート217は、複数枚、例えば25~200枚のウエハ200を、水平姿勢で、かつ、互いに中心を揃えた状態で鉛直方向に整列させて多段に支持するように、すなわち、間隔を空けて配列させるように構成されている。ボート217は、例えば石英やSiC等の耐熱性材料により構成される。ボート217の下部には、例えば石英やSiC等の耐熱性材料により構成される断熱板218が水平姿勢で多段(図示せず)に支持されている。この構成により、ヒータ207からの熱がシールキャップ219側に伝わりにくくなっている。ただし、本実施形態は上述の形態に限定されない。例えば、ボート217の下部に断熱板218を設けずに、石英やSiC等の耐熱性材料により構成される筒状の部材として構成された断熱筒を設けてもよい。 The boat 217 as a substrate support supports a plurality of wafers 200, for example, 25 to 200 wafers 200, in a horizontal posture, aligned vertically with their centers aligned with each other, and supported in multiple stages. It is configured to be spaced and arranged. The boat 217 is made of a heat-resistant material such as quartz or SiC. At the bottom of the boat 217, heat insulating plates 218 made of a heat-resistant material such as quartz or SiC are supported horizontally in multiple stages (not shown). This configuration makes it difficult for heat from the heater 207 to be transmitted to the seal cap 219 side. However, this embodiment is not limited to the form described above. For example, instead of providing the heat insulating plate 218 at the bottom of the boat 217, a heat insulating cylinder configured as a cylindrical member made of a heat-resistant material such as quartz or SiC may be provided.

図2に示すように、インナチューブ204内には温度検出器としての温度センサ263が設置されており、温度センサ263により検出された温度情報に基づきヒータ207への通電量を調整することで、処理室201内の温度が所望の温度分布となるように構成されている。温度センサ263は、ノズル410および420と同様にL字型に構成されており、インナチューブ204の内壁に沿って設けられている。 As shown in FIG. 2, a temperature sensor 263 as a temperature detector is installed in the inner tube 204. By adjusting the amount of electricity supplied to the heater 207 based on the temperature information detected by the temperature sensor 263, The temperature inside the processing chamber 201 is configured to have a desired temperature distribution. Temperature sensor 263 is L-shaped, similar to nozzles 410 and 420 , and is provided along the inner wall of inner tube 204 .

図3に示すように、制御部(制御手段)であるコントローラ121は、CPU(Central Processing Unit)121a,RAM(Random Access Memory)121b,記憶装置121c,I/Oポート121dを備えたコンピュータとして構成されている。RAM121b,記憶装置121c,I/Oポート121dは、内部バスを介して、CPU121aとデータ交換可能なように構成されている。コントローラ121には、例えばタッチパネル等として構成された入出力装置122が接続されている。 As shown in FIG. 3, a controller 121, which is a control unit (control means), is configured as a computer having a CPU (Central Processing Unit) 121a, a RAM (Random Access Memory) 121b, a storage device 121c, and an I/O port 121d. It is The RAM 121b, storage device 121c, and I/O port 121d are configured to be able to exchange data with the CPU 121a via an internal bus. An input/output device 122 configured as, for example, a touch panel or the like is connected to the controller 121 .

記憶装置121cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)、SSD(Solid State Drive)等で構成されている。記憶装置121c内には、基板処理装置の動作を制御する制御プログラム、後述する半導体装置の製造方法の手順や条件などが記載されたプロセスレシピなどが、読み出し可能に格納されている。プロセスレシピは、後述する半導体装置の製造方法における各工程(各ステップ)をコントローラ121に実行させ、所定の結果を得ることができるように組み合わされたものであり、プログラムとして機能する。以下、このプロセスレシピ、制御プログラム等を総称して、単に、プログラムともいう。本明細書においてプログラムという言葉を用いた場合は、プロセスレシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、プロセスレシピおよび制御プログラムの組み合わせを含む場合がある。RAM121bは、CPU121aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。 The storage device 121c is composed of, for example, a flash memory, a HDD (Hard Disk Drive), an SSD (Solid State Drive), or the like. In the storage device 121c, a control program for controlling the operation of the substrate processing apparatus, a process recipe describing the procedure and conditions of a method for manufacturing a semiconductor device, which will be described later, and the like are stored in a readable manner. The process recipe functions as a program in which the controller 121 executes each process (each step) in the method of manufacturing a semiconductor device to be described later and is combined so as to obtain a predetermined result. Hereinafter, this process recipe, control program, etc. will be collectively referred to simply as a program. When the term "program" is used in this specification, it may include only a process recipe alone, may include only a control program alone, or may include a combination of a process recipe and a control program. The RAM 121b is configured as a memory area (work area) in which programs and data read by the CPU 121a are temporarily held.

I/Oポート121dは、上述のMFC312,322,512,522,バルブ314,324,514,524、圧力センサ245、APCバルブ243、真空ポンプ246、ヒータ207、温度センサ263、回転機構267、ボートエレベータ115等に接続されている。 The I/O port 121d includes the above MFCs 312, 322, 512, 522, valves 314, 324, 514, 524, pressure sensor 245, APC valve 243, vacuum pump 246, heater 207, temperature sensor 263, rotation mechanism 267, boat It is connected to the elevator 115 and the like.

CPU121aは、記憶装置121cから制御プログラムを読み出して実行すると共に、入出力装置122からの操作コマンドの入力等に応じて記憶装置121cからプロセスレシピ等を読み出すように構成されている。CPU121aは、読み出したプロセスレシピの内容に沿うように、MFC312,322,512,522による各種ガスの流量調整動作、バルブ314,324,514,524の開閉動作、APCバルブ243の開閉動作およびAPCバルブ243による圧力センサ245に基づく圧力調整動作、温度センサ263に基づくヒータ207の温度調整動作、真空ポンプ246の起動および停止、回転機構267によるボート217の回転および回転速度調節動作、ボートエレベータ115によるボート217の昇降動作、ボート217へのウエハ200の収容動作等を制御するように構成されている。 The CPU 121a is configured to read out and execute a control program from the storage device 121c and to read out process recipes and the like from the storage device 121c in response to input of operation commands from the input/output device 122 and the like. The CPU 121a adjusts the flow rate of various gases by the MFCs 312, 322, 512, and 522, opens and closes the valves 314, 324, 514, and 524, opens and closes the APC valve 243, and adjusts the APC valve 243 so as to follow the content of the read process recipe. 243 pressure adjustment operation based on the pressure sensor 245, temperature adjustment operation of the heater 207 based on the temperature sensor 263, start and stop of the vacuum pump 246, rotation and rotation speed adjustment operation of the boat 217 by the rotation mechanism 267, and boat elevator 115 operation. 217, the housing operation of the wafers 200 in the boat 217, and the like.

コントローラ121は、外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)123に格納された上述のプログラムを、コンピュータにインストールすることにより構成することができる。記憶装置121cや外部記憶装置123は、コンピュータ読み取り可能な記録媒体として構成されている。以下、これらを総称して、単に、記録媒体ともいう。本明細書において記録媒体は、記憶装置121c単体のみを含む場合、外部記憶装置123単体のみを含む場合、または、その両方を含む場合がある。なお、コンピュータへのプログラムの提供は、外部記憶装置123を用いず、インターネットや専用回線等の通信手段を用いて行ってもよい。 The controller 121 is stored in an external storage device 123 (for example, a magnetic tape, a magnetic disk such as a flexible disk or a hard disk, an optical disk such as a CD or DVD, a magneto-optical disk such as an MO, or a semiconductor memory such as a USB memory or a memory card). The program described above can be configured by installing it in a computer. The storage device 121c and the external storage device 123 are configured as computer-readable recording media. Hereinafter, these are also collectively referred to simply as recording media. In this specification, the recording medium may include only the storage device 121c alone, or may include only the external storage device 123 alone, or may include both. The program may be provided to the computer using communication means such as the Internet or a dedicated line without using the external storage device 123 .

(2)成膜処理
半導体装置(デバイス)の製造工程の一工程として、ウエハ上200に膜を形成する工程の一例について、図4を用いて説明する。以下の説明において、基板処理装置10を構成する各部の動作はコントローラ121により制御される。
(2) Film Forming Process An example of a process of forming a film on a wafer 200 as one process of manufacturing a semiconductor device (device) will be described with reference to FIG. In the following description, the controller 121 controls the operation of each component of the substrate processing apparatus 10 .

本実施形態では、基板としての複数のウエハ200が積載された状態で収容された処理室201を所定温度で加熱しつつ、処理室201内のウエハ200に対してノズル410の開口する複数のガス供給孔410aから原料ガスとしてTMAガスを供給する工程と、処理室201内のウエハ200に対してノズル420の開口する複数のガス供給孔420aから反応ガスとしてOガスを供給する工程と、を非同時に所定回数行うことで、ウエハ200上に、AlおよびOを含む膜としてアルミニウム酸化膜(AlO膜)を形成する工程を有し、Oガスを供給する工程では、処理室201内の圧力が安定していない状態で、処理室201内にOガスの供給(反応ガス供給サブ工程)と、処理室201内からのOガスの排気(反応ガス排気サブ工程)と、を所定回数繰り返して行う。In the present embodiment, while a processing chamber 201 containing a plurality of wafers 200 as substrates is heated at a predetermined temperature, a plurality of gasses are supplied from the nozzle 410 to the wafers 200 in the processing chamber 201 . a step of supplying TMA gas as a raw material gas from supply holes 410a; and a step of supplying O 3 gas as a reaction gas from a plurality of gas supply holes 420a opened by nozzles 420 to wafers 200 in processing chamber 201. The step of forming an aluminum oxide film (AlO film) as a film containing Al and O on the wafer 200 is performed a predetermined number of times non-simultaneously. is not stable, supply O 3 gas into the processing chamber 201 (reactant gas supply sub-step) and exhaust O 3 gas from the processing chamber 201 (reactant gas exhaust sub-step) a predetermined number of times. Repeat.

本明細書において「ウエハ」という言葉を用いた場合は、ウエハそのものを意味する場合や、ウエハとその表面に形成された所定の層や膜との積層体を意味する場合がある。本明細書において「ウエハの表面」という言葉を用いた場合は、ウエハそのものの表面を意味する場合や、ウエハ上に形成された所定の層等の表面を意味する場合がある。本明細書において「ウエハ上に所定の層を形成する」と記載した場合は、ウエハそのものの表面上に所定の層を直接形成することを意味する場合や、ウエハ上に形成されている層等の上に所定の層を形成することを意味する場合がある。本明細書において「基板」をいう言葉を用いた場合も、「ウエハ」という言葉を用いた場合と同義である。 When the term "wafer" is used in this specification, it may mean the wafer itself, or it may mean a laminate of a wafer and a predetermined layer or film formed on its surface. In this specification, the term "wafer surface" may mean the surface of the wafer itself or the surface of a predetermined layer formed on the wafer. In the present specification, the term "formation of a predetermined layer on a wafer" means that a predetermined layer is formed directly on the surface of the wafer itself, or a layer formed on the wafer, etc. It may mean forming a given layer on top of. The term "substrate" used in this specification has the same meaning as the term "wafer".

(ウエハチャージ・ボートロード)
複数枚のウエハ200がボート217に装填(ウエハチャージ)されると、図1に示すように、複数枚のウエハ200が収容されたボート217は、ボートエレベータ115によって持ち上げられて処理室201内に搬入(ボートロード)される。この状態で、シールキャップ219は、Oリング220bを介してマニホールド209の下端をシールした状態となる。
(wafer charge/boat load)
When the plurality of wafers 200 are loaded into the boat 217 (wafer charge), the boat 217 containing the plurality of wafers 200 is lifted by the boat elevator 115 into the processing chamber 201 as shown in FIG. It is carried in (boat load). In this state, the seal cap 219 seals the lower end of the manifold 209 via the O-ring 220b.

(圧力・温度調整)
処理室201内、すなわち、ウエハ200が存在する空間が所望の圧力(真空度)となるように真空ポンプ246によって真空排気される。この際、処理室201内の圧力は、圧力センサ245で測定され、この測定された圧力情報に基づき、APCバルブ243がフィードバック制御される(圧力調整)。真空ポンプ246は、少なくともウエハ200に対する処理が完了するまでの間は常時作動させた状態を維持する。また、処理室201内が所望の温度となるようにヒータ207によって加熱される。この際、処理室201内が所望の温度分布となるように、温度センサ263が検出した温度情報に基づきヒータ207への通電量がフィードバック制御される(温度調整)。ヒータ207による処理室201内の加熱は、少なくともウエハ200に対する処理が完了するまでの間は継続して行われる。続いて、回転機構267によりボート217およびウエハ200の回転を開始する。回転機構267によるボート217およびウエハ200の回転は、少なくとも、ウエハ200に対する処理が完了するまでの間は継続して行われる。
(pressure/temperature adjustment)
The inside of the processing chamber 201, that is, the space in which the wafer 200 exists is evacuated by the vacuum pump 246 to a desired pressure (degree of vacuum). At this time, the pressure in the processing chamber 201 is measured by the pressure sensor 245, and the APC valve 243 is feedback-controlled based on the measured pressure information (pressure adjustment). The vacuum pump 246 is kept in operation at least until the processing of the wafer 200 is completed. Further, the inside of the processing chamber 201 is heated by the heater 207 so as to reach a desired temperature. At this time, the amount of power supplied to the heater 207 is feedback-controlled based on the temperature information detected by the temperature sensor 263 so that the inside of the processing chamber 201 has a desired temperature distribution (temperature adjustment). Heating in the processing chamber 201 by the heater 207 is continued at least until the processing of the wafer 200 is completed. Subsequently, the rotating mechanism 267 starts rotating the boat 217 and the wafers 200 . Rotation of the boat 217 and the wafers 200 by the rotation mechanism 267 continues at least until the processing of the wafers 200 is completed.

[AlO膜形成工程]
続いて、原料ガス供給ステップ(原料ガスを供給する工程)、残留ガス除去ステップ(残留ガスを除去する工程)、反応ガス供給ステップ(反応ガスを供給する工程)、残留ガス除去ステップ(残量ガスを除去する工程)をこの順で非同時に所定回数(n回)行うことで、AlO膜を形成するステップ(AlO膜を形成する工程)が行われる。反応ガス供給ステップでは、反応ガス供給サブステップ(反応ガス供給サブ工程)および残留ガス除去サブステップ(反応ガス排気サブ工程)をこの順で繰り返し所定回数(m回)行う。
AlO膜形成工程の1サイクルを図5に示す。
[AlO film formation process]
Subsequently, a source gas supply step (a process of supplying a source gas), a residual gas removal step (a process of removing a residual gas), a reaction gas supply step (a process of supplying a reaction gas), a residual gas removal step (residual gas is performed a predetermined number of times (n times) non-simultaneously in this order, the step of forming an AlO film (step of forming an AlO film) is performed. In the reactive gas supplying step, the reactive gas supplying sub-step (reactive gas supplying sub-process) and the residual gas removing sub-step (reactive gas exhausting sub-process) are repeated in this order for a predetermined number of times (m times).
FIG. 5 shows one cycle of the AlO film forming process.

(TMAガス供給ステップ(ステップS10))
バルブ314を開き、ガス供給管310内に原料ガスであるTMAガスを流す。TMAガスは、MFC312により流量調整され、ノズル410のガス供給孔410aから処理室201内に供給され、排気管231から排気される。このとき、ウエハ200に対してTMAガスが供給されることとなる。このとき同時にバルブ514を開き、ガス供給管510内にNガス等の不活性ガスを流してもよい。ガス供給管510内を流れたNガスは、MFC512により流量調整され、TMAガスと一緒に処理室201内に供給され、排気管231から排気される。なお、このとき、ノズル420内へのTMAガスの侵入を防止するために、バルブ524を開き、ガス供給管520内にNガスを流してもよい。Nガスは、ガス供給管320、ノズル420を介して処理室201内に供給され、排気管231から排気される。
(TMA gas supply step (step S10))
The valve 314 is opened to flow the TMA gas, which is the raw material gas, into the gas supply pipe 310 . The flow rate of the TMA gas is adjusted by the MFC 312 , supplied into the processing chamber 201 through the gas supply hole 410 a of the nozzle 410 , and exhausted through the exhaust pipe 231 . At this time, the TMA gas is supplied to the wafer 200 . At this time, the valve 514 may be opened at the same time to flow an inert gas such as N 2 gas into the gas supply pipe 510 . The N 2 gas flowing through the gas supply pipe 510 is adjusted in flow rate by the MFC 512 , supplied into the processing chamber 201 together with the TMA gas, and exhausted through the exhaust pipe 231 . At this time, in order to prevent the TMA gas from entering the nozzle 420 , the valve 524 may be opened to allow the N 2 gas to flow into the gas supply pipe 520 . N 2 gas is supplied into the processing chamber 201 through the gas supply pipe 320 and the nozzle 420 and exhausted through the exhaust pipe 231 .

このとき、APCバルブ243を適正に調整して、処理室201内の圧力を、例えば1~1000Pa、好ましくは1~100Pa、より好ましくは10~50Paの範囲内の圧力とする。処理室201内の圧力を1000Pa以下とすることで、後述する残留ガス除去を好適に行うことができると共に、ノズル410内でTMAガスが自己分解してノズル410の内壁に堆積してしまうことを抑制することができる。処理室201内の圧力を1Pa以上とすることで、ウエハ200表面でのTMAガスの反応速度を高めることができ、実用的な成膜速度を得ることが可能となる。なお、本明細書では、数値の範囲として、例えば1~1000Paと記載した場合は、1Pa以上1000Pa以下を意味する。すなわち、数値の範囲内には1Paおよび1000Paが含まれる。圧力のみならず、流量、時間、温度等、本明細書に記載される全ての数値について同様である。 At this time, the APC valve 243 is adjusted appropriately so that the pressure in the processing chamber 201 is, for example, 1 to 1000 Pa, preferably 1 to 100 Pa, more preferably 10 to 50 Pa. By setting the pressure in the processing chamber 201 to 1000 Pa or less, it is possible to suitably remove the residual gas, which will be described later, and to prevent the TMA gas from self-decomposing in the nozzle 410 and depositing on the inner wall of the nozzle 410. can be suppressed. By setting the pressure in the processing chamber 201 to 1 Pa or higher, the reaction rate of the TMA gas on the surface of the wafer 200 can be increased, and a practical film formation rate can be obtained. In this specification, when the numerical range is described as, for example, 1 to 1000 Pa, it means 1 Pa or more and 1000 Pa or less. That is, the numerical range includes 1 Pa and 1000 Pa. This applies not only to pressure, but also to all numerical values described in this specification, such as flow rate, time, temperature, and the like.

MFC312で制御するTMAガスの供給流量は、例えば、10~2000sccm、好ましくは50~1000sccm、より好ましくは100~500sccmの範囲内の流量とする。流量を2000sccm以下とすることで、後述する残留ガス除去を好適に行うことができると共に、ノズル410内でTMAガスが自己分解してノズル410の内壁に堆積してしまうことを抑制することができる。流量を10sccm以上とすることで、ウエハ200表面でのTMAガスの反応速度を高めることができる、実用的な成膜速度を得ることが可能となる。 The supply flow rate of the TMA gas controlled by the MFC 312 is, for example, 10 to 2000 sccm, preferably 50 to 1000 sccm, and more preferably 100 to 500 sccm. By setting the flow rate to 2000 sccm or less, it is possible to suitably remove the residual gas, which will be described later, and to prevent the TMA gas from self-decomposing in the nozzle 410 and depositing it on the inner wall of the nozzle 410. . By setting the flow rate to 10 sccm or more, it is possible to obtain a practical film formation rate that can increase the reaction rate of the TMA gas on the surface of the wafer 200 .

MFC512で制御するNガスの供給流量は、例えば、1~30slm、好ましくは1~20slm、より好ましくは1~10slmの範囲内の流量とする。The supply flow rate of the N 2 gas controlled by the MFC 512 is, for example, 1 to 30 slm, preferably 1 to 20 slm, and more preferably 1 to 10 slm.

TMAガスをウエハ200に対して供給する時間は、例えば、1~60秒、好ましく1~20秒、より好ましくは2~15秒の範囲内とする。 The time for which the TMA gas is supplied to the wafer 200 is, for example, 1 to 60 seconds, preferably 1 to 20 seconds, and more preferably 2 to 15 seconds.

ヒータ207は、ウエハ200の温度が、例えば、200~600℃、好ましくは400~550℃、より好ましくは450~550℃の範囲内となるように加熱する。温度を600℃以下とすることで、TMAガスの過剰な熱分解を抑制しつつ成膜速度を適切に得ることができ、不純物が膜内に取り込まれて抵抗率が高くなることが抑制される。なお、TMAガスの熱分解は、当該処理に近い条件下においては450℃程度で開始するため、550℃以下の温度に加熱された処理室201内において本開示を用いるとより有効である。一方、温度が400℃以上であることにより、反応性が高く、効率的な膜形成が可能である。 The heater 207 heats the wafer 200 so that the temperature of the wafer 200 is, for example, 200 to 600.degree. C., preferably 400 to 550.degree. C., and more preferably 450 to 550.degree. By setting the temperature to 600° C. or lower, it is possible to obtain an appropriate film formation rate while suppressing excessive thermal decomposition of the TMA gas, and it is possible to suppress an increase in resistivity due to incorporation of impurities into the film. . Note that thermal decomposition of TMA gas starts at about 450° C. under conditions close to the process, so it is more effective to use the present disclosure in the processing chamber 201 heated to a temperature of 550° C. or less. On the other hand, when the temperature is 400° C. or higher, the reactivity is high and efficient film formation is possible.

上述の条件下で処理室201内のウエハ200に対してTMAガスを供給することにより、ウエハ200の最表面に、Al含有層が形成される。Al含有層は、Al層の他、CおよびHを含み得る。Al含有層は、ウエハ200の最表面に、TMAが物理吸着したり、TMAの一部が分解した物質が化学吸着したり、TMAが熱分解することでAlが堆積したりすること等により形成される。すなわち、Al含有層は、TMAやTMAの一部が分解した物質の吸着層(物理吸着層や化学吸着層)であってもよく、Alの堆積層(Al層)であってもよい。 By supplying the TMA gas to the wafer 200 in the processing chamber 201 under the above conditions, an Al-containing layer is formed on the outermost surface of the wafer 200 . The Al-containing layer may contain C and H in addition to the Al layer. The Al-containing layer is formed on the outermost surface of the wafer 200 by physical adsorption of TMA, chemical adsorption of a partially decomposed substance of TMA, or deposition of Al by thermal decomposition of TMA. be done. That is, the Al-containing layer may be an adsorption layer (physisorption layer or chemical adsorption layer) of TMA or a partially decomposed substance of TMA, or may be a deposited layer of Al (Al layer).

(残留ガス除去ステップ(ステップS11))
Al含有層が形成された後、バルブ314を閉じ、TMAガスの供給を停止する。このとき、APCバルブ243は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内に残留する未反応またはAl含有層形成に寄与した後のTMAガスを処理室201内から排除する。バルブ514,524は開いた状態でNガスの処理室201内への供給を維持する。Nガスはパージガスとして作用し、処理室201内に残留する未反応またはAl含有層形成に寄与した後のTMAガスを処理室201内から排除する効果を高めることができる。なお、バルブ514,524からのNガスは残留ガス除去ステップの間、常に流し続けてもよいし、断続的(パルス的)に供給してもよい。
(Residual Gas Removal Step (Step S11))
After the Al-containing layer is formed, valve 314 is closed to stop the supply of TMA gas. At this time, with the APC valve 243 kept open, the inside of the processing chamber 201 is evacuated by the vacuum pump 246, and the unreacted TMA gas remaining in the processing chamber 201 or after contributing to the formation of the Al-containing layer is removed from the processing chamber 201. Exclude from within. Valves 514 and 524 maintain the supply of N 2 gas into processing chamber 201 in an open state. The N 2 gas acts as a purge gas, and can enhance the effect of removing from the processing chamber 201 unreacted TMA gas remaining in the processing chamber 201 or after contributing to the formation of the Al-containing layer. The N2 gas from the valves 514 and 524 may be continuously supplied during the residual gas removal step, or may be supplied intermittently (in pulses).

このとき、処理室201内に残留するガスを完全に排除しなくてもよく、処理室201内を完全にパージしなくてもよい。処理室201内に残留するガスが微量であれば、その後に行われるステップにおいて悪影響はほとんど生じない。処理室201内に供給する不活性ガスの流量も大流量とする必要はなく、例えば、反応管203(処理室201)の容積と同程度の量を供給することで、その後のステップにおいて悪影響がほとんど生じない程度のパージを行うことができる。このように、処理室201内を完全にパージしないことで、パージ時間を短縮し、スループットを向上させることができる。また、不活性ガスの消費も必要最小限に抑えることが可能となる。 At this time, the gas remaining in the processing chamber 201 may not be completely removed, and the inside of the processing chamber 201 may not be completely purged. If the amount of gas remaining in the processing chamber 201 is very small, there is little adverse effect on subsequent steps. The flow rate of the inert gas supplied into the processing chamber 201 does not need to be high. Purging can be done to the extent that it is almost non-existent. By not completely purging the inside of the processing chamber 201 in this way, the purge time can be shortened and the throughput can be improved. Also, consumption of inert gas can be minimized.

次に、反応ガスを供給する工程(反応ガス供給ステップ)にて、反応ガス供給サブステップ(ステップS12)および残留ガス除去サブステップ(ステップS13)を繰り返し行う。 Next, in the step of supplying the reaction gas (reaction gas supply step), the reaction gas supply sub-step (step S12) and the residual gas removal sub-step (step S13) are repeatedly performed.

(反応ガス供給サブステップ(ステップS12))
処理室201内の残留ガスを除去した後、バルブ324を開き、ガス供給管320内に反応ガスであるOガスを流す。Oガスは、MFC322により流量調整され、ノズル420のガス供給孔420aから処理室201内のウエハ200に対して供給され、排気管231から排気される。すなわちウエハ200はOガスに暴露される。このとき、バルブ524を開き、ガス供給管520内にNガスを流してもよい。Nガスは、MFC522により流量調整され、Oガスと共に処理室201内に供給されて、排気管231から排気される。このとき、ノズル410内へのOガスの侵入を防止(逆流を防止)するために、バルブ514を開き、ガス供給管510内へNガスを流してもよい。Nガスは、ガス供給管510、ノズル410を介して処理室201内に供給され、排気管231から排気される。
(Reactant gas supply sub-step (step S12))
After removing the residual gas in the processing chamber 201 , the valve 324 is opened to allow the O 3 gas, which is the reaction gas, to flow through the gas supply pipe 320 . The O 3 gas is flow-controlled by the MFC 322 , supplied to the wafer 200 in the processing chamber 201 through the gas supply hole 420 a of the nozzle 420 , and exhausted through the exhaust pipe 231 . That is , the wafer 200 is exposed to O3 gas. At this time, the valve 524 may be opened to allow N 2 gas to flow into the gas supply pipe 520 . The N 2 gas is flow-controlled by the MFC 522 , supplied into the processing chamber 201 together with the O 3 gas, and exhausted from the exhaust pipe 231 . At this time, in order to prevent the O 3 gas from entering the nozzle 410 (preventing backflow), the valve 514 may be opened to allow the N 2 gas to flow into the gas supply pipe 510 . N 2 gas is supplied into the processing chamber 201 through the gas supply pipe 510 and the nozzle 410 and exhausted through the exhaust pipe 231 .

このとき、処理室201内の圧力が安定化していない状態で処理室201内のウエハ200に対してOガスを供給する。Oガスをウエハ200に対して供給する時間(Oガス供給時間)は、例えば、0.01~5秒が好ましく、0.01~3秒がより好ましい。Oガス供給時間が0.01秒未満であると、Oガスがウエハ200のエッジへ回り込んでしまい、ウエハ200中央までのOガスの供給量が不足してしまう。また、Oガス供給時間が5秒を超えると、Oガスの供給時間が長くなるとともに、処理室201内の圧力を所定の圧力まで下げるのに時間がかかりスループットが低下してしまう。Oガスをウエハ200に対して供給する時間を0.01秒以上5秒以下とすることにより、Oガスがウエハ200のエッジへの回り込みを抑制でき、かつ、処理室201内の圧力が安定化する前に次工程の残留ガス除去サブステップを行うことができるため、処理室201内の圧力を低くするまでの時間を短縮することができ、スループットの向上を図ることができる。MFC322で制御するOガスの供給流量は、例えば、5~40slm、好ましくは5~30slm、より好ましくは10~20slmの範囲内の流量とする。その他の処理条件は、上述の原料ガス供給ステップと同様の処理条件とする。At this time, the O 3 gas is supplied to the wafer 200 in the processing chamber 201 while the pressure in the processing chamber 201 is not stabilized. The time for supplying the O 3 gas to the wafer 200 (O 3 gas supply time) is, for example, preferably 0.01 to 5 seconds, more preferably 0.01 to 3 seconds. If the O 3 gas supply time is less than 0.01 seconds, the O 3 gas will flow to the edge of the wafer 200 and the amount of O 3 gas supplied to the center of the wafer 200 will be insufficient. Further, if the O 3 gas supply time exceeds 5 seconds, the O 3 gas supply time becomes long, and it takes time to lower the pressure in the processing chamber 201 to a predetermined pressure, resulting in a decrease in throughput. By setting the time of supplying the O 3 gas to the wafer 200 from 0.01 seconds to 5 seconds, the O 3 gas can be suppressed from flowing to the edge of the wafer 200 and the pressure inside the processing chamber 201 can be reduced. Since the residual gas removal sub-step in the next process can be performed before stabilization, the time required to lower the pressure in the processing chamber 201 can be shortened, and the throughput can be improved. The supply flow rate of the O 3 gas controlled by the MFC 322 is, for example, 5 to 40 slm, preferably 5 to 30 slm, more preferably 10 to 20 slm. Other processing conditions are the same as those in the source gas supply step described above.

ガスは、原料ガス供給ステップでウエハ200上に形成されたAl含有層の少なくとも一部と反応する。Al含有層は酸化され、金属酸化層としてAlとOとを含むアルミニウム酸化層(AlO層)が形成される。すなわちAl含有層はAlO層へと改質される。The O 3 gas reacts with at least part of the Al-containing layer formed on the wafer 200 in the source gas supply step. The Al-containing layer is oxidized to form an aluminum oxide layer containing Al and O (AlO layer) as a metal oxide layer. That is, the Al-containing layer is modified into an AlO layer.

(残留ガス除去サブステップ(ステップS13))
反応ガス供給サブステップを所定回数(m回)行ったと判断される前は、次にバルブ324を閉じて、Oガスの供給を停止する。このとき、APCバルブ243は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内に残留する未反応またはAl含有層形成に寄与した後のOガスを処理室201内から排除し、処理室201内を減圧する。このとき、処理室201内に残留するガス等を完全に排除しなくてもよい点は、原料ガス供給ステップ後の残留ガス除去ステップと同様である。
(Residual gas removal sub-step (step S13))
Before it is determined that the reaction gas supply sub-step has been performed a predetermined number of times (m times), the valve 324 is then closed to stop the supply of O 3 gas. At this time, with the APC valve 243 kept open, the inside of the processing chamber 201 is evacuated by the vacuum pump 246, and the remaining unreacted O 3 gas in the processing chamber 201 or after contributing to the formation of the Al-containing layer is removed from the processing chamber. 201, and the inside of the processing chamber 201 is decompressed. At this time, it is the same as the residual gas removal step after the source gas supply step in that the gas remaining in the processing chamber 201 does not have to be completely removed.

真空ポンプ246により処理室201内を真空排気する時間(真空排気時間)は、例えば、0.05~9秒が好ましく、0.5~5秒がより好ましい。真空排気時間が、0.05未満であると、処理室201内の圧力を所定の圧力まで十分に下げることができず、また、9秒を超えると、真空排気する時間が長くなりすぎてスループットが低下しまう。なお、真空排気時間を0.05~9秒、より好ましくは0.5~5秒とすることにより、短時間で処理室内201の圧力を十分に下げることが可能となり、真空排気する時間が長くなりすぎずスループットの低下を抑制することができる。 The time for evacuating the inside of the processing chamber 201 by the vacuum pump 246 (evacuation time) is, for example, preferably 0.05 to 9 seconds, more preferably 0.5 to 5 seconds. If the evacuation time is less than 0.05, the pressure in the processing chamber 201 cannot be sufficiently reduced to the predetermined pressure, and if it exceeds 9 seconds, the evacuation time becomes too long, resulting in a decrease in throughput. decreases. By setting the evacuation time to 0.05 to 9 seconds, more preferably 0.5 to 5 seconds, the pressure in the processing chamber 201 can be sufficiently lowered in a short time, and the evacuation time is long. It is possible to suppress a decrease in throughput without becoming excessive.

前述のOガスをウエハ200に対して供給する時間(供給時間)と、真空ポンプ246により処理室201内を真空排気する時間(排気時間)との割合(供給時間:排気時間)は、1:2~1:5の範囲内であることが好ましい。供給時間:排気時間が1:5以下であることにより、排気時間が長くなりすぎず、スループットの低下を抑制することができる。The ratio (supply time: exhaust time) between the time (supply time) for supplying the O 3 gas to the wafer 200 and the time (exhaust time) for evacuating the processing chamber 201 by the vacuum pump 246 is 1. :2 to 1:5. When the supply time: exhaust time ratio is 1:5 or less, the exhaust time does not become too long, and a decrease in throughput can be suppressed.

前述した反応ガス供給サブステップ(反応ガス供給工程)および残留ガス除去サブステップ(反応ガス排気工程)をこの順で繰り返し、反応ガス供給サブステップを所定回数(m回)行ったと判断された後は、次の残留ガス除去ステップ(ステップS14)が行われる。 The reaction gas supply sub-step (reactant gas supply process) and the residual gas removal sub-step (reactant gas exhaust process) described above are repeated in this order, and after it is determined that the reaction gas supply sub-step has been performed a predetermined number of times (m times), , the next residual gas removal step (step S14) is performed.

また、所定回数(m回、図5中では6回)行われる反応ガス供給サブステップでは、図5に示すように、処理室201内の圧力が安定化せずに上昇している状態で処理室201内にOガスを供給し、処理室201内の圧力が一定化する前に処理室201内へのOガスの供給を停止する。なお、図5中の二点鎖線は、従来の半導体装置の製造方法のように、処理室201内の圧力が安定化した後にも処理室201内にOガスを供給した場合の圧力を示す。Further, in the reaction gas supplying sub-step which is performed a predetermined number of times (m times, 6 times in FIG. 5), as shown in FIG. O 3 gas is supplied into the chamber 201, and the supply of O 3 gas into the processing chamber 201 is stopped before the pressure in the processing chamber 201 becomes constant. 5 indicates the pressure when the O 3 gas is supplied into the processing chamber 201 even after the pressure in the processing chamber 201 is stabilized, as in the conventional method of manufacturing a semiconductor device. .

次に、処理室201内にOガスを供給した場合における、処理室201内の圧力(Fumace Pressure)、ノズル420内の圧力(Nozzle Inside Pressure)および処理室201内のOガスの流速(Gas Velocity)と、処理室201内にOガスを供給する時間との関係を図6に示す。図6に示すように、処理室201内にOガスを供給し始めた直後では、ノズル420内の圧力と処理室201内の圧力差が大きく、処理室201内のOガスの流速も大きくなる。Oガスを供給する時間の経過とともに、処理室201内のOガスの流速も低下していき、処理室201内の圧力が一定となることにより、処理室201内のOガスの流速が一定となる。Next, the pressure in the processing chamber 201 (Fumace Pressure), the pressure in the nozzle 420 (Nozzle Inside Pressure), and the flow rate of the O 3 gas in the processing chamber 201 when the O 3 gas is supplied into the processing chamber 201 ( FIG. 6 shows the relationship between the gas velocity and the time for supplying the O 3 gas into the processing chamber 201 . As shown in FIG. 6, immediately after starting to supply the O 3 gas into the processing chamber 201, the pressure difference between the pressure in the nozzle 420 and the pressure in the processing chamber 201 is large, and the flow velocity of the O 3 gas in the processing chamber 201 is growing. As the time for supplying the O 3 gas elapses , the flow velocity of the O 3 gas in the processing chamber 201 also decreases, and the pressure in the processing chamber 201 becomes constant. becomes constant.

本実施形態では、反応ガス供給サブステップにて、処理室201内の圧力が安定化していない状態でOガスを供給し、処理室201内の圧力が一定化する前に処理室201内へのOガスの供給を停止する。例えば、図6に示すAの範囲にてOガスの供給および停止を行う。そして、前述の反応ガス供給サブステップと、残留ガス除去サブステップとをそれぞれ繰り返し行っている。一方、従来の半導体装置の製造方法では、処理室201内の圧力が一定化した後も処理室201内へOガスを供給し、所定の時間が経過した後に処理室201内へのOガスの供給を停止する。例えば、図6に示すBの範囲にてOガスの供給および停止を行う。したがって、本実施形態では、従来の半導体装置の製造方法と比較して1サイクルあたりのOガスの平均流速を高めることができるため、処理室201内に収容されたウエハ200表面の中央部により多くのOガスが到達する。これにより、ウエハ200表面の端部と中央部との膜厚の差をより小さくできるため、ウエハ200上に形成されるAlO膜の面内膜厚均一性を高めることができる。In this embodiment, in the reaction gas supply sub-step, the O 3 gas is supplied while the pressure in the processing chamber 201 is not stabilized, and the O 3 gas is introduced into the processing chamber 201 before the pressure in the processing chamber 201 becomes constant. stop the supply of O3 gas. For example, the O 3 gas is supplied and stopped within the range A shown in FIG. Then, the reaction gas supply sub-step and the residual gas removal sub-step described above are repeated. On the other hand, in the conventional method of manufacturing a semiconductor device, the O 3 gas is supplied into the processing chamber 201 even after the pressure in the processing chamber 201 is stabilized, and after a predetermined time has passed, the O 3 gas is supplied into the processing chamber 201 . Stop the gas supply. For example, supply and stop of O 3 gas are performed in the range of B shown in FIG. Therefore, in the present embodiment, the average flow velocity of O 3 gas per cycle can be increased as compared with the conventional method of manufacturing a semiconductor device. A lot of O3 gas arrives. As a result, the difference in film thickness between the edge portion and the center portion of the surface of the wafer 200 can be made smaller, so that the in-plane film thickness uniformity of the AlO film formed on the wafer 200 can be improved.

(残留ガス除去ステップ(ステップS14))
AlO層が形成された後、バルブ324を閉じて、Oガスの供給を停止する。そして、原料ガス供給ステップ後の残留ガス除去ステップと同様の処理手順により、処理室201内に残留する未反応もしくはAlO層の形成に寄与した後のOガスや反応副生成物を処理室201内から排除する。このとき、処理室201内に残留するガス等を完全に排除しなくてもよい点は、原料ガス供給ステップ後の残留ガス除去ステップと同様である。
(Residual Gas Removal Step (Step S14))
After the AlO layer is formed, valve 324 is closed to stop the supply of O3 gas. Then, by the same processing procedure as the residual gas removal step after the source gas supply step, O 3 gas remaining unreacted in the processing chamber 201 or having contributed to the formation of the AlO layer and reaction by-products are removed from the processing chamber 201 . Exclude from within. At this time, it is the same as the residual gas removal step after the source gas supply step in that the gas remaining in the processing chamber 201 does not have to be completely removed.

〔所定回数実施〕
上述の原料ガス供給ステップ、残留ガス除去ステップ、反応ガス供給ステップおよび残留ガス供給ステップを順に行うサイクルを1回以上(所定回数:n回)行うことにより、ウエハ200上にAlO膜が形成される。このサイクルの回数は、最終的に形成するAlO膜において必要とされる膜厚に応じて適宜選択されるが、このサイクルは、複数回繰り返すことが好ましい。AlO膜の厚さ(膜厚)は、例えば、3~150nm、好ましくは40~100nm、より好ましくは60~80nmとする。150nm以下とすることで表面粗さを小さくすることができ、3nm以上とすることで下地膜との応力差に起因する膜剥がれの発生を抑制することができる。
[Implemented a predetermined number of times]
An AlO film is formed on the wafer 200 by repeating the cycle of sequentially performing the source gas supply step, the residual gas removal step, the reactive gas supply step, and the residual gas supply step one or more times (predetermined number of times: n times). . The number of times of this cycle is appropriately selected according to the film thickness required for the finally formed AlO film, but it is preferable to repeat this cycle a plurality of times. The thickness (film thickness) of the AlO film is, for example, 3 to 150 nm, preferably 40 to 100 nm, more preferably 60 to 80 nm. When the thickness is 150 nm or less, the surface roughness can be reduced, and when the thickness is 3 nm or more, film peeling caused by a stress difference with the underlying film can be suppressed.

(アフターパージ・大気圧復帰)
成膜ステップが終了したら、バルブ514,524を開き、ガス供給管310,320のそれぞれからNガスを処理室201内へ供給し、排気管231から排気する。Nガスはパージガスとして作用し、処理室201内に残留するガスや副生成物が処理室201内から除去される(アフターパージ)。その後、処理室201内の雰囲気がNガスに置換され(Nガス置換)、処理室201内の圧力は常圧に復帰される(大気圧復帰)。
(After-purge, return to atmospheric pressure)
After the film forming step is completed, the valves 514 and 524 are opened to supply N 2 gas into the processing chamber 201 from the gas supply pipes 310 and 320 respectively and exhaust the gas from the exhaust pipe 231 . The N 2 gas acts as a purge gas to remove residual gas and by-products from the processing chamber 201 (afterpurge). After that, the atmosphere in the processing chamber 201 is replaced with N 2 gas (N 2 gas replacement), and the pressure in the processing chamber 201 is returned to normal pressure (atmospheric pressure recovery).

(ボートアンロード・ウエハディスチャージ)
その後、ボートエレベータ115によりシールキャップ219が下降され、マニホールド209の下端が開口されるとともに、処理済のウエハ200が、ボート217に支持された状態でマニホールド209の下端から反応管203の外部に搬出(ボートアンロード)される。処理済のウエハ200は、反応管203の外部に搬出された後、ボート217より取り出される(ウエハディスチャージ)。
(Boat unload/wafer discharge)
After that, the seal cap 219 is lowered by the boat elevator 115 to open the lower end of the manifold 209 , and the processed wafers 200 are carried out from the lower end of the manifold 209 while being supported by the boat 217 to the outside of the reaction tube 203 . (boat unload). The processed wafers 200 are carried out of the reaction tube 203 and then taken out from the boat 217 (wafer discharge).

上述の実施形態では、反応ガスを供給するステップにて、処理室内の圧力が安定化していない状態で処理室内の基板に対して反応ガスを供給する反応ガス供給サブステップと、処理室内の反応ガスを排気する反応ガス排気サブステップと、を所定回数繰り返して行う。これにより、処理室内の圧力が安定した平衡状態でないため、流速が大きい反応ガスを処理室内の基板に供給できる。さらに、処理室内の圧力が安定化していない状態で、反応ガスの供給を分割し、かつ繰り返し行っている。その結果、従来の半導体装置の製造方法と比較して、1サイクルあたりの反応ガスの平均流速を大きくすることができるため、処理室内の基板表面の中央部により多くの反応ガスが到達する。これにより、基板表面の端部と中央部との膜厚の差をより小さくできるため、基板上に形成される膜の面内膜厚均一性を高めることができる。 In the above-described embodiments, in the step of supplying the reactive gas, the reactive gas supply substep of supplying the reactive gas to the substrate in the processing chamber while the pressure in the processing chamber is not stabilized; is repeated a predetermined number of times. Accordingly, since the pressure in the processing chamber is not in a stable equilibrium state, the reaction gas having a high flow rate can be supplied to the substrate in the processing chamber. Furthermore, the reaction gas is divided and repeatedly supplied while the pressure in the processing chamber is not stabilized. As a result, the average flow velocity of the reaction gas per cycle can be increased as compared with the conventional method of manufacturing a semiconductor device, so that more reaction gas reaches the central portion of the substrate surface in the processing chamber. As a result, the film thickness difference between the edge portion and the central portion of the substrate surface can be made smaller, so that the in-plane film thickness uniformity of the film formed on the substrate can be improved.

例えば、上述の実施形態では、Al含有ガスとしてTMAガスを用いる例について説明したが、これに限らず、例えば、塩化アルミニウム(AlCl)等を用いてもよい。O含有ガスとしては、Oガスを用いる例について説明したが、これに限らず、例えば、酸素(O)、水(HO)、過酸化水素(H)、Oプラズマと水素(H)プラズマの組合せ等も適用可能である。不活性ガスとしては、Nガスを用いる例について説明したが、これに限らず、例えば、Arガス、Heガス、Neガス、Xeガス等の希ガスを用いてもよい。For example, in the above-described embodiments, an example of using TMA gas as the Al-containing gas has been described, but the gas is not limited to this, and for example, aluminum chloride (AlCl 3 ) or the like may be used. As the O - containing gas, an example using O3 gas has been described, but the present invention is not limited to this, and examples include oxygen ( O2 ), water ( H2O ), hydrogen peroxide ( H2O2), and O2 plasma. A combination of hydrogen (H 2 ) plasma and the like can also be applied. As the inert gas, an example using N2 gas has been described, but the inert gas is not limited to this, and for example, rare gases such as Ar gas, He gas, Ne gas, and Xe gas may be used.

また、上述の実施形態では、基板上にAlO膜を形成する例について説明した。しかし、本開示はこの態様に限定されない。例えば、処理温度でノズル内にて自己分解してノズル内壁に堆積物として付着し、かつ堆積物が成膜サイクル内で剥がれてしまうような密着性を有する膜種に対して、有効である。また、原料ガスを供給する際に、同時に不活性ガス等で希釈する原料ガスを用いて膜を形成する膜種に対しても用いられ、例えば、チタン(Ti)、ジルコニウム(Zr)、ハフニウム(Hf)、タンタル(Ta)、ニオブ(Nb)、モリブデン(Mo)、タングステン(W)、イットリウム(Y)、La(ランタン)、ストロンチウム(Sr)、シリコン(Si)を含む膜であって、これらの元素の少なくとも1つを含む窒化膜、炭窒化膜、酸化膜、酸炭化膜、酸窒化膜、酸炭窒化膜、硼窒化膜、硼炭窒化膜、金属元素単体膜等にも適用可能である。 Further, in the above-described embodiments, an example of forming an AlO film on a substrate has been described. However, the present disclosure is not limited to this aspect. For example, it is effective for a type of film that self-decomposes in the nozzle at the processing temperature, adheres to the inner wall of the nozzle as a deposit, and has such adhesiveness that the deposit is peeled off during the film formation cycle. It is also used for film types that form a film using a source gas that is simultaneously diluted with an inert gas or the like when the source gas is supplied. Hf), tantalum (Ta), niobium (Nb), molybdenum (Mo), tungsten (W), yttrium (Y), La (lanthanum), strontium (Sr), and silicon (Si). Nitride film, carbonitride film, oxide film, oxycarbide film, oxynitride film, oxycarbonitride film, boronitride film, borocarbonitride film, metal element single film, etc. containing at least one of the elements be.

成膜処理に用いられるレシピ(処理手順や処理条件等が記載されたプログラム)は、処理内容(形成、或いは、除去する膜の種類、組成比、膜質、膜厚、処理手順、処理条件等)に応じて個別に用意し、電気通信回線や外部記憶装置123を介して記憶装置121c内に格納しておくことが好ましい。そして、処理を開始する際、CPU121aが、記憶装置121c内に格納された複数のレシピの中から、処理内容に応じて適正なレシピを適宜選択することが好ましい。これにより、1台の基板処理装置で様々な膜種、組成比、膜質、膜厚の膜を、再現性よく形成することができるようになり、それぞれの場合に適正な処理を行うことができるようになる。また、オペレータの負担(処理手順や処理条件等の入力負担等)を低減でき、操作ミスを回避しつつ、処理を迅速に開始できるようになる。 Recipes (programs describing processing procedures, processing conditions, etc.) used for film formation processing include processing details (types of films to be formed or removed, composition ratios, film quality, film thickness, processing procedures, processing conditions, etc.). It is preferable to individually prepare them according to the situation and store them in the storage device 121c via an electric communication line or the external storage device 123. FIG. Then, when starting the process, it is preferable that the CPU 121a appropriately selects an appropriate recipe from among the plurality of recipes stored in the storage device 121c according to the content of the process. As a result, a single substrate processing apparatus can form films of various types, composition ratios, film qualities, and film thicknesses with good reproducibility, and appropriate processing can be performed in each case. become. In addition, the operator's burden (such as the burden of inputting processing procedures, processing conditions, etc.) can be reduced, and processing can be started quickly while avoiding operational errors.

上述のレシピは、新たに作成する場合に限らず、例えば、基板処理装置に既にインストールされていた既存のレシピを変更することで用意してもよい。レシピを変更する場合は、変更後のレシピを、電気通信回線や当該レシピを記録した記録媒体を介して、基板処理装置にインストールしてもよい。また、既存の基板処理装置が備える入出力装置122を操作し、基板処理装置に既にインストールされていた既存のレシピを直接変更するようにしてもよい。 The above-described recipe is not limited to the case of newly creating the recipe, but may be prepared by modifying an existing recipe that has already been installed in the substrate processing apparatus, for example. When changing the recipe, the changed recipe may be installed in the substrate processing apparatus via an electric communication line or a recording medium recording the recipe. Alternatively, an existing recipe already installed in the substrate processing apparatus may be directly changed by operating the input/output device 122 provided in the existing substrate processing apparatus.

また、上述の実施形態や変形例等は、適宜組み合わせて用いることができる。また、このときの処理手順、処理条件は、上述の実施形態や変形例等の処理手順、処理条件と同様とすることができる。 Also, the above-described embodiments, modifications, and the like can be used in combination as appropriate. Further, the processing procedure and processing conditions at this time can be the same as those of the above-described embodiment, modifications, and the like.

また、本明細書に記載された全ての文献、特許出願、および技術規格は、個々の文献、特許出願、および技術規格が参照により取り込まれることが具体的かつ個々に記された場合と同程度に、本明細書中に参照により取り込まれる。 In addition, all publications, patent applications, and technical standards mentioned herein are to the same extent as if each individual publication, patent application, or technical standard were specifically and individually noted to be incorporated by reference. , incorporated herein by reference.

10:基板処理装置
121:コントローラ
200:ウエハ(基板)
201:処理室
410:ノズル(第1のノズル)
420:ノズル(第2のノズル)
10: Substrate processing apparatus 121: Controller 200: Wafer (substrate)
201: Processing chamber 410: Nozzle (first nozzle)
420: Nozzle (second nozzle)

Claims (11)

処理室内の基板に対して原料ガスを供給する工程と、
前記処理室内の前記基板に対して前記原料ガスとは分子構造が異なる反応ガスを供給する工程と、
を非同時に所定回数行うことで、前記基板上に膜を形成する工程を有し、
前記反応ガスを供給する工程では、前記処理室内の前記基板に対して前記反応ガス供給するステップと、前記処理室内の圧力が安定化せずに上昇している状態で前記処理室内の圧力が一定化する前に前記反応ガスの供給を停止するステップと、前記処理室内からの前記反応ガス排気するステップと、を所定回数繰り返して行う半導体装置の製造方法。
a step of supplying a source gas to the substrate in the processing chamber;
a step of supplying a reaction gas having a molecular structure different from that of the source gas to the substrate in the processing chamber;
a predetermined number of times non-simultaneously to form a film on the substrate,
In the step of supplying the reactive gas, the step of supplying the reactive gas to the substrate in the processing chamber; A method of manufacturing a semiconductor device , wherein a step of stopping the supply of the reaction gas before the pressure is stabilized and a step of exhausting the reaction gas from the processing chamber are repeated a predetermined number of times.
前記反応ガスの供給では、前記処理室内に前記反応ガスを0.01秒以上5秒以下の範囲内で供給する請求項1に記載の半導体装置の製造方法。 2. The method of manufacturing a semiconductor device according to claim 1, wherein in the supply of the reaction gas, the reaction gas is supplied into the processing chamber for 0.01 seconds or more and 5 seconds or less. 前記反応ガスの排気では、前記処理室内を0.05秒以上9秒以下の範囲内で排気する請求項1に記載の半導体装置の製造方法。 2. The method of manufacturing a semiconductor device according to claim 1, wherein the evacuation of the reaction gas is carried out within the range of 0.05 seconds to 9 seconds. 前記処理室内の圧力が一定化する前に前記反応ガスの供給を停止する請求項1に記載の半導体装置の製造方法。 2. The method of manufacturing a semiconductor device according to claim 1, wherein the supply of said reaction gas is stopped before the pressure in said processing chamber becomes constant. 前記反応ガスを供給する時間と前記処理室内を排気する時間との割合を、1:2~1:5の割合とする請求項1に記載の半導体装置の製造方法。 2. The method of manufacturing a semiconductor device according to claim 1, wherein the ratio of the time for supplying the reaction gas to the time for exhausting the inside of the processing chamber is set to a ratio of 1:2 to 1:5. 前記反応ガスを供給する工程では、前記反応ガスを供給するノズル内の圧力と前記処理室内との圧力差がある請求項1に記載の半導体装置の製造方法。 2. The method of manufacturing a semiconductor device according to claim 1, wherein in the step of supplying the reaction gas, there is a pressure difference between a pressure inside a nozzle for supplying the reaction gas and a pressure inside the processing chamber. 前記原料ガスが金属含有ガスであり、前記反応ガスが酸素含有ガスである請求項1に記載の半導体装置の製造方法。 2. The method of manufacturing a semiconductor device according to claim 1, wherein said raw material gas is a metal-containing gas, and said reaction gas is an oxygen-containing gas. 前記原料ガスがアルミニウムを含む金属含有ガスである請求項7に記載の半導体装置の製造方法。 8. The method of manufacturing a semiconductor device according to claim 7, wherein said raw material gas is a metal-containing gas containing aluminum. 処理室内の基板に対して原料ガスを供給する工程と、
前記処理室内の前記基板に対して前記原料ガスとは分子構造が異なる反応ガスを供給する工程と、
を非同時に所定回数行うことで、前記基板上に膜を形成する工程を有し、
前記反応ガスを供給する工程では、前記処理室内の前記基板に対して前記反応ガス供給するステップと、前記処理室内の圧力が安定化せずに上昇している状態で前記処理室内の圧力が一定化する前に前記反応ガスの供給を停止するステップと、前記処理室内からの前記反応ガス排気するステップと、を所定回数繰り返して行う基板処理方法。
a step of supplying a source gas to the substrate in the processing chamber;
a step of supplying a reaction gas having a molecular structure different from that of the source gas to the substrate in the processing chamber;
a predetermined number of times non-simultaneously to form a film on the substrate,
In the step of supplying the reactive gas, the step of supplying the reactive gas to the substrate in the processing chamber; A substrate processing method in which a step of stopping the supply of the reaction gas before the pressure is stabilized and a step of exhausting the reaction gas from the processing chamber are repeated a predetermined number of times.
基板を処理する処理室と、
前記処理室内の前記基板に対して原料ガスを供給する原料ガス供給系と、
前記処理室内の前記基板に対して前記原料ガスとは分子構造が異なる反応ガスを供給する反応ガス供給系と、
前記処理室内を排気する排気系と、
前記処理室内の前記基板に対して前記原料ガスを供給する処理と、前記処理室内の前記基板に対して前記反応ガスを供給する処理と、を非同時に所定回数行うことで、前記基板上に膜を形成する処理を行わせ、前記反応ガスを供給する処理において、前記処理室内の前記基板に対して前記反応ガス供給するステップと、前記処理室内の圧力が安定化せずに上昇している状態で前記処理室内の圧力が一定化する前に前記反応ガスの供給を停止するステップと、前記処理室内からの前記反応ガス排気するステップと、を所定回数繰り返し行わせるように、前記原料ガス供給系、前記反応ガス供給系、および前記排気系を制御することが可能なよう構成される制御部と、
を有する基板処理装置。
a processing chamber for processing substrates;
a raw material gas supply system for supplying a raw material gas to the substrate in the processing chamber;
a reactive gas supply system that supplies a reactive gas having a molecular structure different from that of the source gas to the substrate in the processing chamber;
an exhaust system for exhausting the inside of the processing chamber;
A process of supplying the source gas to the substrate in the processing chamber and a process of supplying the reaction gas to the substrate in the processing chamber are performed non-simultaneously a predetermined number of times to form a film on the substrate. in the step of supplying the reaction gas to the substrate in the processing chamber and the step of supplying the reaction gas to the substrate in the processing chamber; The step of stopping the supply of the reaction gas before the pressure in the processing chamber becomes constant and the step of exhausting the reaction gas from the processing chamber are repeated a predetermined number of times. a control unit configured to be able to control the raw material gas supply system, the reaction gas supply system, and the exhaust system;
A substrate processing apparatus having
基板処理装置の処理室内の基板に対して原料ガスを供給する手順と、
前記処理室内の前記基板に対して前記原料ガスとは分子構造が異なる反応ガスを供給する手順と、
を非同時に所定回数行うことで、前記基板上に膜を形成する手順と、
前記反応ガスを供給する手順において、前記処理室内の基板に対して前記反応ガス供給するステップと、前記処理室内の圧力が安定化せずに上昇している状態で前記処理室内の圧力が一定化する前に前記反応ガスの供給を停止するステップと、前記処理室内からの前記反応ガス排気するステップと、を所定回数繰り返して行う手順と、
をコンピュータによって前記基板処理装置に実行させるプログラム。
a procedure for supplying a raw material gas to a substrate in a processing chamber of a substrate processing apparatus;
a step of supplying a reactive gas having a molecular structure different from that of the source gas to the substrate in the processing chamber;
a predetermined number of times non-simultaneously to form a film on the substrate;
In the procedure for supplying the reactive gas , supplying the reactive gas to the substrate in the processing chamber; a step of stopping the supply of the reaction gas before becoming constant; and a step of exhausting the reaction gas from the processing chamber.
A program that causes the substrate processing apparatus to execute by a computer.
JP2021506817A 2019-03-15 2019-03-15 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program Active JP7179962B2 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2019/010951 WO2020188654A1 (en) 2019-03-15 2019-03-15 Semiconductor device manufacturing method, substrate processing device, and program

Publications (2)

Publication Number Publication Date
JPWO2020188654A1 JPWO2020188654A1 (en) 2020-09-24
JP7179962B2 true JP7179962B2 (en) 2022-11-29

Family

ID=72520588

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021506817A Active JP7179962B2 (en) 2019-03-15 2019-03-15 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program

Country Status (4)

Country Link
JP (1) JP7179962B2 (en)
KR (1) KR20210119489A (en)
CN (1) CN113574640B (en)
WO (1) WO2020188654A1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008143024A1 (en) 2007-05-23 2008-11-27 Canon Anelva Corporation Thin film forming apparatus
JP2010084192A (en) 2008-09-30 2010-04-15 Tokyo Electron Ltd Film deposition system
JP2014208883A (en) 2013-03-28 2014-11-06 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing device, and program
JP2016072260A5 (en) 2014-09-26 2017-06-22

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6101113B2 (en) * 2012-03-30 2017-03-22 株式会社日立国際電気 Semiconductor device manufacturing method, cleaning method, substrate processing apparatus, and program
JP6147480B2 (en) 2012-09-26 2017-06-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
CN104823268B (en) * 2012-11-26 2017-11-21 株式会社日立国际电气 Manufacture method, lining processor and the recording medium of semiconductor devices
JP6415215B2 (en) * 2014-09-26 2018-10-31 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and program

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008143024A1 (en) 2007-05-23 2008-11-27 Canon Anelva Corporation Thin film forming apparatus
JP2010084192A (en) 2008-09-30 2010-04-15 Tokyo Electron Ltd Film deposition system
JP2014208883A (en) 2013-03-28 2014-11-06 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing device, and program
JP2016072260A5 (en) 2014-09-26 2017-06-22

Also Published As

Publication number Publication date
CN113574640A (en) 2021-10-29
JPWO2020188654A1 (en) 2020-09-24
KR20210119489A (en) 2021-10-05
CN113574640B (en) 2024-04-12
WO2020188654A1 (en) 2020-09-24

Similar Documents

Publication Publication Date Title
JP6538582B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and program
JP6994483B2 (en) Semiconductor device manufacturing methods, programs, and substrate processing devices
WO2020189205A1 (en) Substrate treatment device, production method for semiconductor device, and nozzle
JP7198908B2 (en) Substrate processing apparatus, reaction vessel, semiconductor device manufacturing method and program
JP7064577B2 (en) Substrate processing equipment, semiconductor equipment manufacturing methods and programs
JP7179962B2 (en) Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US20200411330A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP7372336B2 (en) Substrate processing method, program, substrate processing apparatus, and semiconductor device manufacturing method
JP7079340B2 (en) Semiconductor device manufacturing methods, substrate processing devices, and programs
WO2022064549A1 (en) Semiconductor device manufacturing method, recording medium, and substrate processing device
TWI830089B (en) Substrate processing method, semiconductor device manufacturing method, program and substrate processing device
WO2023042386A1 (en) Semiconductor device manufacturing method, substrate processing apparatus, program, and coating method
JP7110468B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, program, and substrate processing method.
WO2023175740A1 (en) A substrate processing device, a substrate processing method, a semiconductor device manufacturing method, a program, and a gas supply unit
WO2018061109A1 (en) Method for producing semiconductor device
JP7179806B2 (en) Substrate processing method, semiconductor device manufacturing method, program, and substrate processing apparatus
JP7273168B2 (en) Substrate processing method, semiconductor device manufacturing method, program, and substrate processing apparatus
WO2020066701A1 (en) Substrate processing apparatus, method for producing semiconductor device, and program
JP2023046964A (en) Substrate processing method, substrate processing apparatus, method for manufacturing semiconductor device and program
JP2023023351A (en) Method for manufacturing semiconductor device, substrate treatment apparatus, program, and substrate treatment method
JP2023005410A (en) Method for manufacturing semiconductor device, substrate processing apparatus, program and etching method

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210907

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210907

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220809

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221007

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221025

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221116

R150 Certificate of patent or registration of utility model

Ref document number: 7179962

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150