JP7117461B2 - 異性体富化高級シランの製造方法 - Google Patents

異性体富化高級シランの製造方法 Download PDF

Info

Publication number
JP7117461B2
JP7117461B2 JP2021542092A JP2021542092A JP7117461B2 JP 7117461 B2 JP7117461 B2 JP 7117461B2 JP 2021542092 A JP2021542092 A JP 2021542092A JP 2021542092 A JP2021542092 A JP 2021542092A JP 7117461 B2 JP7117461 B2 JP 7117461B2
Authority
JP
Japan
Prior art keywords
catalyst
approximately
mixture
reactor
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021542092A
Other languages
English (en)
Other versions
JP2022501523A (ja
Inventor
ニキフォロフ、グリゴリー
イトフ、ジェナディ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/158,071 external-priority patent/US20200115238A1/en
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of JP2022501523A publication Critical patent/JP2022501523A/ja
Application granted granted Critical
Publication of JP7117461B2 publication Critical patent/JP7117461B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/04Hydrides of silicon
    • C01B33/046Purification
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D3/00Distillation or related exchange processes in which liquids are contacted with gaseous media, e.g. stripping
    • B01D3/009Distillation or related exchange processes in which liquids are contacted with gaseous media, e.g. stripping in combination with chemical reactions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D3/00Distillation or related exchange processes in which liquids are contacted with gaseous media, e.g. stripping
    • B01D3/14Fractional distillation or use of a fractionation or rectification column
    • B01D3/143Fractional distillation or use of a fractionation or rectification column by two or more of a fractionation, separation or rectification step
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J21/00Catalysts comprising the elements, oxides, or hydroxides of magnesium, boron, aluminium, carbon, silicon, titanium, zirconium, or hafnium
    • B01J21/06Silicon, titanium, zirconium or hafnium; Oxides or hydroxides thereof
    • B01J21/08Silica
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/0272Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255
    • B01J31/0275Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255 also containing elements or functional groups covered by B01J31/0201 - B01J31/0269
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/22Organic complexes
    • B01J31/2204Organic complexes the ligands containing oxygen or sulfur as complexing atoms
    • B01J31/2208Oxygen, e.g. acetylacetonates
    • B01J31/2213At least two complexing oxygen atoms present in an at least bidentate or bridging ligand
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/04Hydrides of silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/0201Oxygen-containing compounds
    • B01J31/0211Oxygen-containing compounds with a metal-oxygen link
    • B01J31/0212Alkoxylates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/0272Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255
    • B01J31/0274Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255 containing silicon
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/80Compositional purity
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/88Isotope composition differing from the natural occurrence
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/10Process efficiency

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

n-テトラシランを選択的に合成する方法が開示される。n-テトラシランは、シラン(SiH)、ジシラン(Si)、トリシラン(Si)、又はそれらの混合物の触媒反応によって調製される。より特に、開示される合成方法は、n-テトラシラン:i-テトラシラン異性体比を調整し、最適化する。異性体比は、温度及び出発化合物の相対量などの、プロセスパラメータの選択、並びに適切な触媒の選択によって最適化され得る。開示される合成方法は、n-テトラシランの容易な調製を可能にする。
ポリシランは、様々な業界において使用されている。
ポリシランを使用するケイ素含有フィルムの蒸着は、とりわけ、セイコーエプソン株式会社に付与される特開平3-185817号公報;Kanohら,Japanese Journal of Applied Physics,Part 1:Regular Papers,Short Notes&Review Papers 1993,32(6A),2613-2619;昭和電工株式会社に付与される日本特許第3,484,815号公報;及び昭和電工株式会社に付与される特開2000-031066号公報によって開示されている。
Si、SiGe、SiC、SiN、及びSiOなどの、エピタキシャルSi含有フィルムは、とりわけ、Hazbunら,Journal of Crystal Growth 2016,444,21-27;Yi-Chiau Huangらに付与される米国特許出願公開第2017/018427号明細書;Dubeらに付与される米国特許出願公開第2016/126093号明細書;及びHartら,Thin Solid Films 2016,604,23-27によって開示されているようにポリシランを使用して成長させられている。
ポリシランはまた、より大きいポリマーを形成するために使用されてきた。例えば、Forschungzentrum Juelich Gmbhに付与される米国特許出願公開第2016/0297997号明細書を参照されたい。
高級シランへの低級シランの転化は、研究のため及び商業目的のための両方で広範囲にわたって研究されてきた。触媒反応が研究されてきた。例えば、Berrisに付与された米国特許第5,047,569号明細書;Coreyら,Organometallics,1991,10,924-930;Boudjoukら,J.Chem.Soc.Chem.Comm.1991 245-246;Tilleyらに付与された米国特許第5,087,719号明細書;Wooら,J.Am.Chem.Soc.1992,114,7047-7055;Ohshitaら,Organometallics 1994,13,5002-5012;Bourgら,Organometallics,1995,14,564-566;Bourgら,Organometallics 1995,14,564-566;Ikaiらに付与された米国特許第5,700,400号明細書;Wooら,Mol.Cryst.Liq.Cryst.Sci.Technol.,Sect.A,2000,349,87;Rosenbergら,J.Am.Chem.Soc.2001,123,5120-5121;Fontaineら,Organometallics 2002,21,401-408;Kimら,Organometallics 2002,21,2796;Coreyら,Adv.in Org.Chem.2004,51,pp.1-52;Fontaineら,J.Am.Chem.Soc.2004,126,8786-8794;Karshtedtらに付与される米国特許出願公開第2008/085373号明細書;Itazakiら,Angew.Chem.Int.Ed.2009,48,3313-3316;Evonik Degussa GMBHに付与されるPCT公開国際公開第2010/003729号パンフレット;Smithら,Organometallics 2010,29,6527-6533;SPAWNT PRIVATE S.A.R.L.に付与されるPCT公開国際公開第2012/001180号パンフレット;Kovio,Inc.に付与されるPCT公開国際公開第2013/019208号パンフレット;Feiglら,Chem.Eur.J.2013,19,12526-12536;Tanabeら,Organometallics 2013,32,1037-1043;Brauschらに付与された米国特許第8,709,369号明細書;Schmidtら,Dalton Trans.2014,43,10816-10827;及びMatsushitaらに付与された米国特許第9,567,228号明細書を参照されたい。
全てのこれらの開示にもかかわらず、ポリシランの商業的利用は、とらえどころがないままである。
n-テトラシランを選択的に合成する方法が開示される。液体Si(2n+2)反応剤(式中、n=1~3である)が不均一系触媒の存在下に変換されておよそ5:1~およそ15:1の範囲の比率を有するn-Si10:i-Si10混合物を産生する。或いはまた、およそ5:1~およそ15:1の範囲の比率を有するn-Si10:i-Si10混合物は、液体Si(2n+2)反応剤(式中、n=1~3である)を触媒によって転化させることによって産生され得る。別の代替策では、液体Si(2n+2)反応剤(式中、n=1~3である)は、不均一系触媒で反応しておよそ5:1~およそ15:1の範囲の比率を有するn-Si10:i-Si10混合物を産生する。その上別の代替策では、液体Si(2n+2)反応剤(式中、n=1~3である)は、不均一系触媒と接触しておよそ5:1~およそ15:1の範囲の比率を有するn-Si10:i-Si10混合物を産生する。これらの開示された方法のいずれも、以下の態様の1つ以上を含み得る:
・ Hを利用しない方法;
・ 液体であるSi(2n+2)反応剤;
・ 液体とガスとの混合物であるSi(2n+2)反応剤;
・ SiであるSi(2n+2)反応剤;
・ 液体SiであるSi(2n+2)反応剤;
・ SiとSiとの混合物であるSi(2n+2)反応剤;
・ SiとSiとの液体混合物であるSi(2n+2)反応剤;
・ ガス状Siと液体Siとの混合物であるSi(2n+2)反応剤;
・ およそ0.1%w/w~およそ60%w/wのSiとおよそ40%w/w~99.9%w/wのSiとを含む混合物;
・ およそ0.1%w/w~およそ25%w/wのSiとおよそ75%w/w~99.9%w/wのSiを含む混合物;
・ およそ0.1%w/w~およそ10%w/wのSiとおよそ90%w/w~99.9%w/wのSiとを含む混合物;
・ Si(2n+2)反応剤のおよそ20%w/w~およそ60%w/wを転化させること;
・ 触媒と混合する前にSi(2n+2)反応剤を加熱すること;
・ Si(2n+2)反応剤と触媒とを混合して反応剤-触媒混合物を形成すること;
・ およそ1時間~およそ24時間の範囲の期間の間Si(2n+2)反応剤と触媒とを混合して反応剤-触媒混合物を形成すること;
・ 反応剤-触媒混合物をおよそ30℃~およそ55℃の範囲の温度に加熱すること;
・ 反応剤-触媒混合物をおよそ室温~およそ53℃の範囲の温度で混合すること;
・ 反応剤-触媒混合物をおよそ15℃~およそ50℃の範囲の温度で混合すること;
・ 反応剤-触媒混合物をおよそ15℃~およそ30℃の範囲の温度で混合すること;
・ 反応剤-触媒混合物を濾過して結果として生じたSi(2a+2)混合物(式中、n=1~6である)からいかなる固形分をも分離すること;
・ 触媒を含有する反応器を通して流す前にSi(2n+2)反応剤を加熱すること;
・ 触媒を通して流す前にSi(2n+2)反応剤を加熱すること;
・ 触媒を含有する反応器を通してSi(2n+2)反応剤を流すこと;
・ ガラスウール上に触媒を含有する反応器を通してSi(2n+2)反応剤を流すこと;
・ 触媒ペレットを含有する反応器を通してSi(2n+2)反応剤を流すこと;
・ 触媒を含有する反応器を通してSi(2n+2)反応剤を流してSi(2a+2)混合物(式中a=1~6である)を産生すること;
・ およそ200秒~およそ600秒の範囲の反応器での滞留時間を有するSi(2n+2)反応剤;
・ およそ15℃~およそ170℃の範囲の温度に反応器を加熱すること;
・ およそ15℃~およそ150℃の範囲の温度に反応器を加熱すること;
・ およそ15℃~およそ100℃の範囲の温度に反応器を加熱すること;
・ およそ15℃~およそ50℃の範囲の温度に反応器を加熱すること;
・ およそ20℃~およそ150℃の範囲の温度に反応器を加熱すること;
・ およそ50℃~およそ100℃の範囲の温度に反応器を加熱すること;
・ およそ40℃~およそ150℃の範囲の温度に反応器を加熱すること;
・ およそ10psig(69kPa)~およそ50psig(345kPa)の範囲の圧力に反応器を維持すること;
・ クエンチング剤を必要としない方法;
・ 未反応Si(2n+2)反応剤をリサイクルすること;
・ Si(2n+2)混合物(式中、n=1~6である)からn-Si10:i-Si10混合物を単離すること;
・ およそ7:1~およそ15:1の範囲のn-Si10:i-Si10の比率;
・ n-Si10:i-Si10混合物を分別蒸留しておよそ90%w/w~およそ100%w/wのn-Si10を産生すること;
・ n-Si10:i-Si10混合物を分別蒸留しておよそ95%w/w~およそ100%w/wのn-Si10を産生すること;
・ n-Si10:i-Si10混合物を分別蒸留しておよそ98%w/w~およそ100%w/wのn-Si10を産生すること;
・ 周期表の第I、第II、及び第III族からなる群から選択される元素を含む不均一系触媒;
・ 周期表の第I族から選択される元素を含む不均一系触媒;
・ Naを含む不均一系触媒;
・ Kを含む不均一系触媒;
・ 周期表の第III族から選択される元素を含む不均一系触媒;
・ Alを含む不均一系触媒;
・ 周期表の第I族から選択される元素及び第III族からの元素を両方とも含む不均一系触媒;
・ Na及びAlを含む不均一系触媒;
・ Li及びAlを含む不均一系触媒;
・ 第I、第II若しくは第III族元素又はそれらの酸化物、アルキル、水素化物、シラニド、若しくはシリルアミドから選択される不均一系触媒;
・ 酸化物触媒である不均一系触媒;
・ 第I族金属酸化物触媒である不均一系触媒;
・ NaOである不均一系触媒;
・ KOである不均一系触媒;
・ K,KO、又はそれらの組み合わせである不均一系触媒;
・ Na、NaO、又はそれらの組み合わせである不均一系触媒;
・ 水素化物触媒である不均一系触媒;
・ NaH、KH、RbH、CsH、MgH、CaH、SrH、BaH、{AlH、AlH(L)、{GaH、GaH(L)、及びそれらの組み合わせ(式中、nは、1、2、又は3であり、Lは、各Rが独立してC2m+1であり、m=1~10である状態で、NRである)からなる群から選択される水素化物触媒;
・ NaHである水素化物触媒;
・ KHである水素化物触媒;
・ RbHである水素化物触媒;
・ CsHである水素化物触媒;
・ MgHである水素化物触媒;
・ CaHである水素化物触媒;
・ SrHである水素化物触媒;
・ BaHである水素化物触媒;
・ {AlH(式中、nは、1、2、又は3である)である水素化物触媒;
・ {AlH}である水素化物触媒;
・ {AlHである水素化物触媒;
・ {AlHである水素化物触媒;
・ AlH(L)(式中、Lは、各Rが独立してC2m+1であり、m=1~10である状態で、NRである)である水素化物触媒;
・ AlH(L)(式中、Lは、置換エチレンジアミンである)である水素化物触媒;
・ AlH(L)(式中、Lは、N,N,N’,N’-テトラメチル-エチレンジアミンである)である水素化物触媒;
・ AlH(L)(式中、Lは、N,N,N’,N’-テトラメチル-プロパンジアミンである)である水素化物触媒;
・ {GaH(式中、nは、1、2、又は3である)である水素化物触媒;
・ {GaH}である水素化物触媒;
・ {GaHである水素化物触媒;
・ {GaHである水素化物触媒;
・ GaH(L)(式中、Lは、各Rが独立してC2m+1であり、m=1~10である状態で、NRである)である水素化物触媒;
・ GaH(L)(式中、Lは、置換エチレンジアミンである)である水素化物触媒;
・ GaH(L)(式中、Lは、N,N,N’,N’-テトラメチル-エチレンジアミンである)である水素化物触媒;
・ GaH(L)(式中、Lは、N,N,N’,N’-テトラメチル-プロパンジアミンである)である水素化物触媒;
・ 周期表の第I、第II若しくは第III族からの2つの元素を含む水素化物触媒;
・ LiAlH、LiAlH4-n、NaAlH4-n、KAlH4-n、RbAlH4-n、CsAlH4-n、及びそれらの組み合わせ(式中、n=1、2、又は3であり、各Rは、独立して、m=1~10のC2m+1又は酸素若しくは窒素原子を有する脂肪族基である)からなる群から選択される水素化物触媒;
・ LiAlHである水素化物触媒;
・ LiAlH4-n(式中、n=1、2、又は3であり、各Rは、独立して、m=1~10のC2m+1又は酸素若しくは窒素原子を有する脂肪族基である)である水素化物触媒;
・ NaAlH4-n(式中、n=1、2、又は3であり、各Rは、独立して、m=1~10のC2m+1又は酸素若しくは窒素原子を有する脂肪族基である)からなる群から選択される水素化物触媒;
・ KAlH4-n(式中、n=1、2、又は3であり、各Rは、独立して、m=1~10のC2m+1又は酸素若しくは窒素原子を有する脂肪族基である)からなる群から選択される水素化物触媒;
・ RbAlH4-n(式中、n=1、2、又は3であり、各Rは、独立して、m=1~10のC2m+1又は酸素若しくは窒素原子を有する脂肪族基である)からなる群から選択される水素化物触媒;
・ CsAlH4-n(式中、n=1、2、又は3であり、各Rは、独立して、m=1~10のC2m+1又は酸素若しくは窒素原子を有する脂肪族基である)からなる群から選択される水素化物触媒;
・ -CHOMe、-CHCHOMe、-OCHCHCHOMe、-CHCHNMeからなる群から選択される酸素若しくは窒素原子を有する脂肪族基、芳香族基、及びそれらの組み合わせ;
・ 水素化ビス(2-メトキシエトキシ)アルミニウムナトリウム[Na(-O(Me)-C-O-)AlH]である不均一系触媒;
・ フェニル又は置換フェニルである芳香族基;
・ シリルアミド触媒である不均一系触媒;
・ 式M[N(SiR(式中、MがLi、Na、又はKである場合x=1であり;M=Mg、Ca、Sr、又はBaである場合x=2であり;M=Al又はGaである場合x=3であり;各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシリルアミド触媒;
・ 式Li[N(SiR](式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシリルアミド触媒;
・ 式Na[N(SiR](式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシリルアミド触媒;
・ 式Na[N(SiR](式中、各Rは、独立して、m=1~4のC2m+1である)を有するシリルアミド触媒;
・ ナトリウムビス(トリメチルシリル)アミドであるシリルアミド触媒;
・ 式K[N(SiR](式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシリルアミド触媒;
・ 式K[N(SiR](式中、各Rは、独立して、m=1~4のC2m+1である)を有するシリルアミド触媒;
・ カリウムビス(トリメチルシリル)アミドであるシリルアミド触媒;
・ 式Mg[N(SiR(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシリルアミド触媒;
・ 式Ca[N(SiR(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシリルアミド触媒;
・ 式Sr[N(SiR(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシリルアミド触媒;
・ 式Ba[N(SiR(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシリルアミド触媒;
・ 式Al[N(SiR(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシリルアミド触媒;
・ 式Ga[N(SiR(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシリルアミド触媒;
・ シラニド触媒である不均一系触媒;
・ 式Na[Al(SiH)(Si)(OCHCHOMe)]を有するシラニド触媒;
・ 式M(Si2n-1又はM(SiR(式中、n=1~4であり、MがLi、Na、K、Rb、又はCsである場合x=1であり;M=Mg、Ca、Sr、又はBaである場合x=2であり;M=Al又はGaである場合x=3であり;各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシラニド触媒;
・ 式M(Si2n-1(式中、n=1~4であり、MがLi、Na、K、Rb、又はCsである場合x=1であり;M=Mg、Ca、Sr、又はBaである場合x=2であり;M=Al又はGaである場合x=3である)を有するシラニド触媒;
・ 式LiSiHを有するシラニド触媒;
・ 式NaSiHを有するシラニド触媒;
・ 式KSiHを有するシラニド触媒;
・ 式RbSiHを有するシラニド触媒;
・ 式CsSiHを有するシラニド触媒;
・ 式LiSiPhを有する金属シラニド触媒;
・ 式NaSiPhを有する金属シラニド触媒;
・ 式KSiPhを有する金属シラニド触媒;
・ 式RbSiPhを有する金属シラニド触媒;
・ 式CsSiPhを有する金属シラニド触媒;
・ 式LiSiを有するシラニド触媒;
・ 式NaSiを有するシラニド触媒;
・ 式KSiを有するシラニド触媒;
・ 式RbSiを有するシラニド触媒;
・ 式CsSiを有するシラニド触媒;
・ 式Mg(SiHを有するシラニド触媒;
・ 式Ca(SiHを有するシラニド触媒;
・ 式Sr(SiHを有するシラニド触媒;
・ 式Ba(SiHを有するシラニド触媒;
・ 式Al(SiHを有するシラニド触媒;
・ 式Ga(SiHを有するシラニド触媒;
・ 式M(SiR(式中、MがLi、Na、K、Rb、又はCsである場合x=1であり;M=Mg、Ca、Sr、又はBaである場合x=2であり;M=Al又はGaである場合x=3であり;各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシラニド触媒;
・ 式Li(SiR)(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシラニド触媒;
・ 式Na(SiR)(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシラニド触媒;
・ 式K(SiR)(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシラニド触媒;
・ 式Rb(SiR)(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシラニド触媒;
・ 式Cs(SiR)(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシラニド触媒;
・ 式Mg(SiR(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシラニド触媒;
・ 式Ca(SiR(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシラニド触媒;
・ 式Sr(SiR(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシラニド触媒;
・ 式Ba(SiR(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシラニド触媒;
・ 式Al(SiR(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシラニド触媒;
・ 式Ga(SiR(式中、各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有するシラニド触媒;
・ 不均一系触媒が担体上にある;
・ 担体に物理的に結合している触媒;
・ 担体に化学的に結合している触媒;
・ 担体に物理的に及び化学的にの両方で結合している触媒;
・ アルミナ(Al)、シリカ(SiO)、又はそれらの組み合わせである担体;
・ アルミナ(Al)である担体;
・ シリカ(SiO)である担体;
・ ペレットの形態にある不均一系触媒;
・ およそ0.1%w/w~およそ70%w/wの不均一系触媒及び担体組み合わせを含む不均一系触媒;
・ およそ1%w/w~およそ50%w/wの不均一系触媒及び担体組み合わせを含む不均一系触媒;及び/又は
・ およそ1%w/w~およそ5%w/wの不均一系触媒及び担体組み合わせを含む不均一系触媒。
上に開示された方法のいずれかによって製造されるSi含有フィルム形成組成物がまた開示される。開示される組成物は、以下の態様の1つ以上を更に含み得る:
・ およそ0ppmw~およそ100ppmwのハライド汚染物質を含むSi含有フィルム形成組成物;
・ およそ0ppmw~およそ25ppmwのハライド汚染物質を含むSi含有フィルム形成組成物;
・ およそ0ppmw~およそ5ppmwのハライド汚染物質を含むSi含有フィルム形成組成物;
・ およそ90%w/w~およそ100%w/wのn-Si10を含むSi含有フィルム形成組成物;
・ およそ95%w/w~およそ100%w/wのn-Si10を含むSi含有フィルム形成組成物;
・ およそ97%w/w~およそ100%w/wのn-Si10を含むSi含有フィルム形成組成物;
・ およそ0%w/w~およそ10%w/wのi-Si10を含むSi含有フィルム形成組成物;
・ およそ0%w/w~およそ5%w/wのi-Si10を含むSi含有フィルム形成組成物;及び/又は
・ およそ0%w/w~およそ3%w/wのi-Si10含むSi含有フィルム形成組成物。
蒸着プロセスの間中テトラシランの蒸気圧を維持する方法がまた開示される。蒸着プロセスは、上に開示されたSi含有フィルム形成組成物のいずれかを使用する。Si含有フィルム形成組成物は、気化温度に維持される。開示される方法は、以下の態様の1つ以上を更に含み得る:
・ およそ90%w/w~およそ100%w/wのn-Si10を含むSi含有フィルム形成組成物;
・ およそ95%w/w~およそ100%w/wのn-Si10を含むSi含有フィルム形成組成物;
・ およそ97%w/w~およそ100%w/wのn-Si10を含むSi含有フィルム形成組成物;
・ およそ0%w/w~およそ10%w/wのi-Si10を含むSi含有フィルム形成組成物;
・ およそ0%w/w~およそ5%w/wのi-Si10を含むSi含有フィルム形成組成物;
・ およそ0%w/w~およそ3%w/wのi-Si10を含むSi含有フィルム形成組成物;
・ 気化温度で初期蒸気圧を有するSi含有フィルム形成組成物;
・ およそ0℃~およそ50℃の範囲の気化温度;
・ およそ20℃~およそ25℃の範囲の気化温度;
・ Si含有フィルム形成組成物のおよそ95%w/wが消費されるまで、気化温度でSi含有フィルム形成組成物の初期蒸気圧のおよそ80%を維持すること;及び/又は
・ Si含有フィルム形成組成物のおよそ95%w/wが消費されるまで、気化温度でSi含有フィルム形成組成物の初期蒸気圧のおよそ90%を維持すること;
・ Si含有フィルム形成組成物のおよそ95%w/wが消費されるまで、気化温度でSi含有フィルム形成組成物の初期蒸気圧のおよそ95%を維持すること。
重合中に分岐状ポリシランの形成を低減する方法がまた開示される。重合プロセスは、上に開示されたSi含有フィルム形成組成物のいずれかを使用する。開示される方法は、以下の態様の1つ以上を更に含み得る:
・ およそ90%w/w~およそ100%w/wのn-Si10を含むSi含有フィルム形成組成物;
・ およそ95%w/w~およそ100%w/wのn-Si10を含むSi含有フィルム形成組成物;
・ およそ97%w/w~およそ100%w/wのn-Si10を含むSi含有フィルム形成組成物;
・ およそ0%w/w~およそ10%w/wのi-Si10を含むSi含有フィルム形成組成物;
・ およそ0%w/w~およそ5%w/wのi-Si10を含むSi含有フィルム形成組成物;
・ およそ0%w/w~およそ3%w/wのi-Si10を含むSi含有フィルム形成組成物。
表記法及び命名法
ある種の省略形、記号、及び用語が、以下の説明及び特許請求の範囲の全体にわたって用いられ、下記が含まれる:
本明細書で用いるところでは、不定冠詞「a」又は「an」は、1つ以上を意味する。
本明細書で用いるところでは、用語「およそ」又は「約」は、述べられる値の±10%を意味する。
本明細書で用いるところでは、用語「含む(comprising)」は、包括的であるか又は終わりがなく、追加の、非列挙の材料又は方法ステップを排除せず;用語「から本質的になる」は、クレームの範囲を、明記される材料又はステップ並びに請求項に係る発明の基本的な及び新規の特性に実質的に影響を及ぼさない追加の材料又はステップに限定し;用語「からなる」は、クレームに明記されないいかなる追加の材料又は方法ステップをも排除する。
本明細書で用いるところでは、用語「高級シラン」は、Si2a+2(式中、a=4~100である)を意味し、用語「低級シラン」は、n=1~3のSi2n+2を意味する。高級シランは、線状若しくは分岐状であり得る。
本明細書で用いるところでは、用語「触媒」は、反応における全体標準ギブスエネルギー変化を変更することなしに反応の速度を上げる物質を意味する。本明細書で用いるところでは、用語「触媒」には、いかなる恒久的な化学変化をも受けない物質並びに受けるものが含まれる(後者は、「プレ触媒」と言われることがある)。
本明細書で用いるところでは、用語「不均一系触媒」は、反応剤とは異なる相で存在する触媒(例えば、固体触媒対液体反応剤;又は液体反応剤と混合することができない液体触媒)を意味する。不均一系触媒は、本来不活性であるか又は触媒よりも活性ではない、担体上にあっても、担体とブレンドされていてもよい。
本明細書で用いるところでは、用語「クエンチング剤」は、反応を不活性化する物質を意味する。
本明細書で用いるところでは、用語「滞留時間」は、低級シラン反応剤がフロースルー反応器で費やす時間量を意味する。
本明細書で用いるところでは、省略形「RT」は、およそ18℃~およそ25℃の範囲の温度である、室温を意味する。
本明細書で用いるところでは、用語「ヒドロカルビル基」は、炭素及び水素を含有する官能基を指し;用語「アルキル基」は、もっぱら炭素及び水素原子を含有する飽和の官能基を指す。ヒドロカルビル基は、飽和であっても不飽和であってもよい。どちらの用語も、線状、分岐状、又は環状基を指す。線状アルキル基の例としては、限定なしに、メチル基、エチル基、プロピル基、ブチル基等が挙げられる。分岐状アルキル基の例としては、限定なしに、t-ブチルが挙げられる。環状アルキル基の例としては、限定なしに、シクロプロピル基、シクロペンチル基、シクロヘキシル基等が挙げられる。
本明細書で用いるところでは、省略形「Me」はメチル基を指し;省略形「Et」はエチル基を指し;省略形「Pr」はプロピル基を指し;省略形「nPr」は「ノルマル」つまり線状プロピル基を指し;省略形「iPr」はイソプロピル基を指し;省略形「Bu」はブチル基を指し;省略形「nBu」は「ノルマル」つまり線状ブチル基を指し;省略形「tBu」は、1,1-ジメチルエチルとしても知られる、tert-ブチル基を指し;省略形「sBu」は、1-メチルプロピルとしても知られる、sec-ブチル基を指し;省略形「iBu」は、2-メチルプロピルとしても知られる、イソ-ブチル基を指し;用語「ハライド」は、ハロゲンアニオンF、Cl、Br、及びIを指し;省略形「TMS」はトリメチルシリルつまり-SiMeを指す。
本明細書で用いるところでは、用語「芳香族基」は、同じセットの原子の他の幾何学的又は結合配置よりも大きい安定性を示す共鳴結合の環を持った環状の、平面分子を指す。例示的な芳香族基には、置換若しくは非置換フェニル基(すなわち、C、ここで、各Rは、独立して、H又はヒドロカルビル基である)が含まれる。
本明細書で用いるところでは、用語「独立して」は、R基の記載との関連で用いられる場合、主題のR基が、同じ若しくは異なる下付き文字若しくは上付き文字を有する他のR基に対して独立して選択されるのみならず、その同じR基のいかなる追加の化学種に対しても独立して選択されることを意味すると理解されるべきである。例えば、式MR (NR(4-x)(式中、xは、2又は3である)において、2つ又は3つのR基は、互いに又はRと若しくはRと同一であってもよいが、同一である必要はない。更に、特に明記しない限り、R基の値は、異なる式に用いられる場合に互いに独立していることが理解されるべきである。
元素の周期表からの元素の一般的な省略形が本明細書では用いられる。元素は、これらの省略形によって言及され得る(例えば、Siはケイ素を指し、Cは炭素を指し、Hは水素を指す、等)ことが理解されるべきである。
本明細書で用いるところでは、周期表は、化学元素の表形式の配置を指し;周期表の第I族は、H、Li、Na、K、Rb、Cs、及びFrを指す。周期表の第II族は、Be、Mg、Ca、Sr、Ba、及びRaを指す。周期表の第III族は、B、Al、Ga、In、Tl、及びNhを指す。
本明細書で列記される任意の及び全ての範囲は、用語「包括的に」が用いられるかどうかにかかわらず、それらの終点を含む(すなわち、x=1~4又は1~4のx範囲は、x=1、x=4、及びx=その間のいかなる数をも含む)。
本発明の本質及び目的の更なる理解のために、参照番号が一様に全体にわたって用いられている添付の図面と併用されて、以下の詳細な説明に言及されるべきであり、ここで:
開示される合成方法が行われ得るバッチ装置の略図であり; 開示される合成方法が行われ得るフロースルー装置の略図であり; 図2のフロースルー装置の一実施形態の略図であり; 図3の反応器の一実施形態の略図であり; シリカ上のVitrideTM触媒を通っての液体Siのワンパス後のドライアイストラップからの揮発性液体生成物のガスクロマトグラムであり(実施例4); KN(SiMe触媒を通っての液体Siのワンパス後のドライアイストラップから蒸留された揮発性液体生成物のガスクロマトグラムであり(実施例8); 周囲温度での経時的なn-Si10:i-Si10比のグラフであり; 室温(三角形)又は35℃(正方形)での経時的な1.2Lステンレス鋼バブラーでのn-Si10:i-Si10比のグラフである。
n-テトラシランを選択的に合成する方法が開示される。テトラシランの異性体は、沸点の差を示す。80~90%のn-Si10の沸点は、Gelestからのオンラインカタログによれば107℃である。対照的に、i-Si10についての沸点は、101.7℃である。Feherら,Inorg.Nucl.Chem.Lett.,1973,9,931。
Figure 0007117461000001
異なる蒸気沸点を有することに加えて、異性体はまた、少なくとも上に示された異なる立体幾何学的配置のために、異なる蒸発挙動及び熱安定性を有し得る。これらの差は、1つの異性体が経時的に富化する場合に任意の蒸気プロセスにおけるドリフトを生み出し得る。この影響は、他のタイプの異性体で実証されている(例えば、Mehwash Zia and Muhammad Zia-ul-Haq,Journal of Contemporary Research in Chemistry(2016)1(1):34-41を参照されたい)。
結果として、1つの異性体で富化された、1つの異性体から本質的になる、又は固定した異性体比を有するテトラシラン前駆体の供給が、蒸着プロセスにおけるサイクル当たりのフィルム成長の再現可能な速度を有するために重要である。
同様に、異なる異性体を使用する重合は、異なる重合生成物を産生し得る。言い換えれば、イソ-テトラシランは、n-テトラシランによって産生されるものよりも多い分岐を有するポリマーを産生し得る。
出願人らは、テトラシラン異性体比を調整し、最適化する方法を発見した。純粋な異性体又は異性体富化混合物は、シラン(SiH)、ジシラン(Si)、トリシラン(Si)、又はそれらの混合物の不均一系触媒反応によって調製される。低級シラン反応剤(すなわち、n=1~3の、Si2n+2)は、商業的入手可能性のために魅力的な出発原料を提供する。様々なプロセスパラメータが所望の異性体比を生み出すために調節され得る。例示的なプロセスパラメータには、出発化合物の相対量及び触媒選択が含まれる。バッチプロセスについての温度及び反応時間又はフロースループロセスでの滞留時間もまた、異性体収率に影響を及ぼし得る。結果として生じる高級シラン生成物は、異性体含量特異的であり、高純度である。当業者は、これらの反応剤及び生成物を扱う仕事をする場合に安全プロトコルが必要とされることを認めるであろう。
テトラシランは、Si(2n+2)反応剤(式中、n=1~3である)を不均一系触媒で反応させることによって合成される。Si(2n+2)反応剤は、SiH、Si、Si3、又はそれらの組み合わせであり得る。これらの反応剤は市販されている。これらの反応剤は、ガス状若しくは液体形態で又は、混合物については、組み合わせとして開示されるプロセスに使用され得る。例えば、反応剤は、ガス状Si及び液体Siであり得る。
以下の実施例において、Si(2n+2)反応剤は、ガス状若しくは液体Si又は液体Siと液体Siとの混合物である。実施例は、液体Siの使用が、ガス状Siの使用と比べてより良好なn-Si10/i-Si10選択性を生み出すことを実証している。実施例4は、Si/Si反応混合物が重質ポリシラン(Si≧6)の数を低減することを実証している。結果として、所望のポリシランの合成は、適切なSi(2a+2)反応剤を選択することによって最適化され得る。より重質のポリシランの数を低減するであろういくつかの任意選択の反応剤組み合わせには、およそ0.1%w/w~およそ60%w/wのSi及びおよそ40%w/w~99.9%w/wのSi;およそ0.1%w/w~およそ25%w/wのSi及びおよそ75%w/w~99.9%w/wのSi;又はおよそ0.1%w/w~およそ10%w/wのSi及びおよそ90%w/w~99.9%w/wのSiが含まれる。
不均一系触媒は、周期表からの第I、第II若しくは第III族元素又は、それらの酸化物、アルキル、水素化物、シラニド、若しくはシリルアミドから、好ましくは第I族及び/若しくは第III族、より好ましくはK及び/若しくはAlから選択され、およそ5:1~およそ15:1の範囲の比率を有するn-Si10:i-Si10混合物を産生するのに好適である。開示される触媒反応は、不均一系触媒が、バッチプロセスにおいて濾過を用いて反応剤及び生成物から分離され得るのでクエンチング剤の使用を必要としない。或いはまた、Si(2a+2)反応剤の触媒転化を可能にするための好適な滞留時間を有するフロースルー反応器が設計され得る。
不均一系触媒は、第I、第II、又は第III族元素であり得る。例示的な触媒には、K又はNaが含まれる。これらの触媒は、市販されているか又は当技術分野において公知の方法によって合成され得る。例えば、30~40%のNa/シリカは、SiGNa Chemistry,Inc.によって商標ActiveGelTMで販売されている。
不均一系触媒は、金属酸化物触媒であり得る。例示的な酸化物触媒には。NaO又はKOが含まれる。これらの触媒は、市販されているか又は当技術分野において公知の方法によって合成され得る。
不均一系触媒は、R=C1~C4炭化水素の、金属アルキル触媒MRであり得る。例示的な、金属アルキル触媒には、BuLiが含まれる。これらの触媒は、市販されているか又は当技術分野において公知の方法によって合成され得る。
不均一系触媒は、金属水素化物触媒であり得る。例示的な金属水素化物には、NaH、KH、RbH、CsH、MgH、CaH、SrH、BaH、{AlH}、{AlH、{AlH3、AlH(L)、{GaH}、{GaH、{GaH、GaH(L)、及びそれらの組み合わせ(式中、nは、1、2、又は3であり、Lは、各Rが独立してC2m+1であり、m=1~10である状態で、NRである)が含まれる。例えば、Lは、置換エチレンジアミンであり得る。例示的なエチレンジアミン含有金属水素化物触媒には、AlH(N,N,N’,N’-テトラメチル-エチレンジアミン)、AlH(N,N,N’,N’-テトラメチル-プロパンジアミン)、GaH(N,N,N’,N’-テトラメチル-エチレンジアミン)、及び/又はGaH(N,N,N’,N’-テトラメチル-プロパンジアミン)が含まれる。これらの触媒は、市販されているか又は当技術分野において公知の方法によって合成され得る。
金属水素化物触媒は、混合金属水素化物触媒であり得る。例示的な混合金属水素化物触媒には、LiAlH、LiAlH4-n、NaAlH4-n、KAlH4-n、RbAlH4-n、CsAlH4-n、及びそれらの組み合わせ(式中、n=1、2、又は3であり、各Rは、独立して、m=1~10のC2m+1、酸素若しくは窒素原子を有する脂肪族基、又は芳香族基である)が含まれる。酸素若しくは窒素原子を有する例示的な脂肪族基には、-CHOMe、-CHCHOMe、-OCHCHCHOMe、-CHCHNMe、芳香族基、及びそれらの組み合わせが含まれる。例示的な芳香族基には、フェニル及び置換フェニルが含まれる。これらの触媒は、市販されているか又は当技術分野において公知の方法によって合成され得る。特に好ましい混合金属水素化物触媒は、水素化ビス(2-メトキシエトキシ)アルミニウムナトリウム[Na(-O(Me)-C-O-)AlH]である。
不均一系触媒は、金属シリルアミド触媒であり得る。金属シリルアミド触媒は、式M[N(SiR(式中、MがLi、Na、又はKである場合x=1であり;M=Mg、Ca、Sr、又はBaである場合x=2であり;M=Al又はGaである場合x=3であり;各Rは、独立して、m=1~10、好ましくはm=1~4のC2m+1又は芳香族基である)を有し得る。Rは、Me、Et、nPr、iPr、nBu、iBu、tBu、Ph、MePh、又は他の置換フェニルであり得る。これらの触媒は、市販されているか又は当技術分野において公知の方法によって合成され得る。特に好ましい金属シリルアミド触媒には、ナトリウムビス(トリメチルシリル)アミド[NaN(SiMe]及びカリウムビス(トリメチルシリル)アミド[KN(SiMe]が含まれる。以下の実施例において示されるように、KN(SiMeを使用する液体Siの触媒反応は、室温及び41℃の両方で高いn-Si10:i-Si10選択性を生み出す。出願人は、NaN(SiMeが類似の結果を生み出すであろうと考える。
不均一系触媒は、金属シラニド触媒であり得る、金属シラニド触媒は、式a[Al(SiH)(Si)(OCHCHOMe)]、M(Si2n-1、又はM(SiR(式中、n=1~4であり、MがLi、Na、K、Rb、又はCsである場合x=1であり;M=Mg、Ca、Sr、又はBaである場合x=2であり;M=Al又はGaである場合x=3であり;各Rは、独立して、m=1~10のC2m+1又は芳香族基である)を有し得る。Rは、Me、Et、nPr、iPr、nBu、iBu、tBu、Ph、MePh、又は他の置換フェニルであり得る。現在、これらの前駆体は、市販されておらず、それらが自然発火性固体であるので合成するのが困難である。しかしながら、GC及びFT-IRデータに基づき、出願人らは、金属シリルアミド触媒、金属アルキル触媒、又はVertellus Holdings LLCによって商標VitrideTM又はSigma-Aldrich Biotechnology LPによってRed-Al(登録商標)で販売されるNa[AlH(OCHCHOMe)]がプレ触媒として働き、金属シラニド触媒に変化し得ると考える。より具体的には、出願人らは、次の反応が起こり得ると考える:
Si+KN(SiMe→KSi+HSiN(SiMe
Si+LiBu→LiSi+HSiBu;又は
2Si+Na[AlH(OCHCHOMe)]=Si+SiH
Na[Al(SiH)(Si)(OCHCHOMe)
結果として、固体KSi、LiSi、又はNa[Al(SiH)(Si)(OCHCHOMe)]触媒は、Si2n+2反応剤を、およそ5:1~およそ15:1の範囲の比率を有するn-Si10:i-Si10混合物を含有するSi(2a+2)混合物(式中、a=1~6である)に触媒によって変換する。
不均一系触媒は、2つ以上の触媒の混合物であり得る。例示的な触媒混合物には、K及びKO又はNa及びNaOが含まれる。これらの触媒は、市販されているか又は当技術分野において公知の方法によって合成され得る。例えば、12~15%のNaO/0~3%のNa/85~90%のAlは、SiGNa Chemistry,Inc.によって商標ActivelsomTMで販売されている。
上に開示された不均一系触媒のいずれも、担体上に置かれ得る。例示的な担体には、アルミナ(Al)、シリカ(SiO)、又はそれらの組み合わせが含まれる。当業者は、触媒が担体に物理的に及び/又は化学的に結合し得ることを認めるであろう。例えば、触媒は、シリカ又はアルミナ担体上の-OH基と化学的に反応し得る。或いはまた、触媒と担体とは、化学反応が起こることなく単に物理的に混ぜ合わせられ得る。別の代替策では、触媒と担体との物理的混合は、物理的及び化学的結合の両方をもたらし得る。不均一系触媒は、およそ0.1%w/w~およそ70%w/wの全不均一系触媒及び担体組み合わせを含み得る。或いはまた、不均一系触媒は、およそ1%w/w~およそ50%w/wの全不均一系触媒及び担体組み合わせを含み得る。
別の代替策では、不均一系触媒は、ペレット形態で商業的に供給され得る。
以下の実施例において示されるように、請求項に係る不均一系触媒は、第IV族(Ti、Zr、Hf)、第VIII族(Ru)、第IX族(Co、Rh、Ir)、及び第X族(Ni、Pd、Pt)並びにランタニド類(Nd)の先行技術遷移金属よりも重合プロセスのより多い制御を可能にする。更に、先行技術均一系触媒とは違って、請求項に係る不均一系触媒はまた、反応混合物から容易に分離され得る。結果として、反応は、商業的な量に拡大するのがより容易であり、反応生成物は、半導体許容レベル(すなわち、非常に純粋な)まで精製するのがより容易である。
低級シラン反応剤(すなわち、n=1~3の、Si2n+2)の触媒反応は、低級シラン反応剤が不均一系触媒と接触するときに起こる。反応は、バッチ反応器又はフロースルー反応器で起こり得る。低級シラン反応剤と触媒とは、バッチ反応器で混合されて混合物を形成し得る。反応剤及び触媒に依存して、混合物は、およそ1時間~およそ24時間の範囲の期間の間混合され得る。
バッチ反応は、およそ室温~およそ53℃の範囲の温度で行われ得る。或いはまた、反応は、およそ15℃~およそ50℃の範囲の温度で行われ得る。別の代替策では、反応は、およそ15℃~およそ30℃の範囲の温度で行われ得る。当業者は、反応温度が、選択された触媒並びに所望のSi(2n+2)生成物に応じて変わることを認めるであろう。実施例1の表1に示されるように、より高い温度は、より重質のポリシラン(Si≧6)を産生する傾向がある。Si(2n+2)生成物は、触媒及び/又は任意の固体Si(2n+2)生成物などの、固形分を除去するために濾過され得る。
フロー反応器では、Si(2a+2)反応剤は、触媒ペレット又はガラスウール上に支持された触媒を含有する反応器を通って流れ得る。Si(2a+2)反応剤は、およそ200秒~およそ600秒の範囲の反応器での滞留時間を有し得る。反応器における圧力は、およそ10psig(69kPa)~およそ50psig(345kPa)の範囲であり得る。
フロー反応は、およそ15℃~およそ170℃の範囲の温度で行われ得る。或いはまた、反応は、およそ15℃~およそ150℃の範囲の温度で行われ得る。別の代替策では、反応は、およそ15℃~およそ100℃の範囲の温度で行われ得る。別の代替策では、反応は、およそ15℃~およそ50℃の範囲の温度で行われ得る。別の代替策では、反応は、およそ20℃~およそ150℃の範囲の温度で行われ得る。別の代替策では、反応は、およそ50℃~およそ100℃の範囲の温度で行われ得る。当業者は、反応温度が、選択された触媒並びに所望のSi(2n+2)生成物に応じて変わることを認めるであろう。実施例1の表1に示されるように、より高い温度は、より重質のポリシラン(Si≧6)を産生する傾向がある。
触媒は、低級シラン反応剤をSi(2a+2)混合物(式中、a=1~6である)に変換する。n-Si10:i-Si10混合物は、Si(2a+2)混合物から単離される。n-Si10:i-Si10混合物は、およそ5:1~およそ15:1の範囲の比率を有する。n-Si10:i-Si10混合物は、分別蒸留されておよそ95%w/w~およそ100%w/wのn-Si10、好ましくはおよそ98%w/w~およそ100%w/wのn-Si10を含むSi含有フィルム形成組成物を産生する。
当業者は、低級シラン反応剤が置換されているか置換されていないかどうかに応じて反応速度及び生成物収率が変わることを認めるであろう。請求項に係る非置換低級シラン(すなわち、n=1~3の、Si(2n+2))によって産生される反応生成物は、1つ以上の有機基を含有する置換シラン(すなわち、Rが有機基であり、xが1以上である、Si(2n+2-x))によって産生されるものとは異なるであろう。日本石油株式会社に付与された米国特許第5,700,400号明細書は、Ru及びRh触媒の使用を開示しているけれども、Ru/C及びRh/Cが、それぞれ、非置換の液体若しくはガス状トリシランの変換に対して活性ではないことを実証する、比較例1及び2を参照されたい。
触媒反応は、H、N、Ar又はHeなどの、非反応性ガスの存在下又は不在下で行われ得る。非反応性ガスは、不活性雰囲気を維持するために使用され得る。非反応性ガスはまた、反応混合物を希釈するためにも使用され得る。非反応性ガスはまた、反応混合物のフローを所望の範囲内に、例えばおよそ0.1~およそ1,000mL/分、或いはまた、およそ1~およそ10mL/分に維持するのを助けるためにも使用され得る。もちろん、これらの非反応性ガスの添加は、反応生成物からのそれらの除去を更に必要とする。それ故、別の代替策では及び以下の実施例において実証されるように、触媒反応は、反応剤の蒸気圧下で行われ得る。
図1は、およそ5:1~およそ15:1の範囲の比率を有するn-Si10:i-Si10混合物への低級シラン反応剤の触媒転化のための例示的なバッチプロセスシステムの略図である。図1において、トリシラン10及び任意選択のジシラン11が低級シラン反応剤として使用される。触媒反応は、N、希ガス(すなわち、He、Ne、Ar、Kr、Xe)、又はそれらの混合物などの、不活性雰囲気下で行われ得る。任意の及び全ての空気が、真空及び/又は不活性ガスサイクルを適用することによってシステムの様々な部分(例えば、反応器20、蒸留ユニット40、蒸留ユニット50等)から除去されなければならない。不活性ガスはまた、反応器20への反応剤のデリバリーを支援するためにトリシラン10及び任意選択のジシラン11を加圧するのに役立ち得る。液体窒素、冷凍エタノール、アセトン/ドライアイス混合物、又はモノエチレングリコール(MEG)などの熱伝導剤若しくはDow Corning Corp.によって商標SYLTHERMTMで販売される熱伝導流体が、システムの様々な部分(例えば、蒸留セットアップ40、蒸留セットアップ50)を冷却するために使用され得る。
Si反応剤10及び任意選択のSi反応剤11は、それぞれ、ライン12及び13を通って反応器20に加えられる。反応器20は、触媒(示されていない)を含有する。反応器20はまた、パドルミキサー又はホモジナイザーなどの、撹拌メカニズム(示されていない)を含む。反応器20はまた、多数の「注入ポート」、圧力ゲージ、ダイアフラムバルブ(示されていない)を備え得る。
反応器20と、トリシラン10及び任意選択のジシラン11反応剤並びに任意の生成物及び副生成物と接触する任意の及び全ての構成要素(「接触構成要素」)とは、意図されない反応及び/又はテトラシラン生成物45の汚染を防ぐために、きれいでなければならず、且つ、空気及び水分を含んではならない。反応器20及び他の接触構成要素は、シランと反応し得る又はシランを汚染し得るいかなる不純物をも含んではならない。反応器20及び他の接触構成要素はまた、トリシラン10及び任意選択のジシラン11反応剤並びに生成物及び副生成物と相溶性でなければならない。
例示的な反応器20には、低い表面粗さ及び鏡面仕上げを有するステンレス鋼キャニスターが含まれる。低い表面粗さ及び鏡面仕上げは、機械研磨によって及び/又は電気研磨によって得られ得る。高純度は、(a)希酸(HF、HNO)又は塩基(KOH、NaOH)を使用するクリーニングステップ;引き続く(b)痕跡の酸又は塩基の完全除去を確実にするための高純度脱イオン水でのリンス;引き続く(c)反応器20の乾燥を含むが、それらに限定されない処理によって得られ得る。脱イオン水(DIW)リンス(ステップb)の完了は、リンス水の導電率が100μS/cm、好ましくは25μS/cmよりも下に達したときに示され得る。
乾燥ステップには、He、N、Ar(好ましくはN又はAr)などの不活性ガスでのパージング;表面からのガス抜けを加速するための反応器20若しくは他の接触構成要素における圧力の低減;反応器20若しくは他の接触構成要素の加熱、又はそれらの任意の組み合わせが含まれ得る。乾燥ステップは、その間中一定流量の不活性ガスが容器を通して流される、パージと、真空化ステップとの交互シーケンスを含み得る。或いはまた、乾燥ステップは、反応器20若しくは他の接触構成要素において低圧を維持しながらパージガスを絶えず流すことによって実施され得る。乾燥効率及び終点は、反応器20若しくは他の接触構成要素から出てくるガス中の痕跡HOレベルを測定することによって評価され得る。10ppb未満のHOを有する入口ガスで、出口ガスは、およそ0ppm~およそ10ppmの範囲の、好ましくはおよそ0ppm~およそ1ppmの範囲の、より好ましくはおよそ0ppb~およそ200ppbの範囲の水分含量を有するべきである。パージステップ及び真空ステップの間中の、反応器20若しくは他の接触構成要素の加熱は、乾燥時間を短縮することが知られている。反応器20は、典型的には、乾燥の間中およそ40℃~およそ150℃の範囲の温度に維持される。
いったんきれいにされ、乾燥されると、反応器20は、1×10-6標準cm/秒よりも下、好ましくは1×10-8標準cm/秒未満の全漏出速度を持たなければならない。
触媒反応のためのシステムを準備するために又は触媒反応プロセスの間中に使用されるいかなるガスも、半導体グレード(すなわち、痕跡水分及び酸素などの汚染物質を含まない(1ppm未満、好ましくは10ppb未満)、並びに粒子を含まない(0.5μmで1リットル当たり5粒子未満))のものでなければならない。
反応器20、トリシラン10及び任意選択のジシラン11のソース容器、テトラシラン生成物容器、並びに任意の他の接触構成要素はまた、反応前にシラン、ジシラン、又はトリシランなどのシリル化剤への暴露によって不動態化され得る。不動態化は、低級又は高級シランと不動態化されている材料との反応を最小限にするのに役立つ。
図1に示されるように、Si反応剤10と任意選択のSi反応剤11とは、空気及び水分を含まない反応器20に導入される前にライン14で混合され得る。或いはまた、Si反応剤10及び任意選択のSi反応剤11は、ライン12及び13(示されていない)を通って反応器20に直接導入され得る。Si反応剤10及び任意選択のSi反応剤11は、ダイアフラムポンプ、蠕動ポンプ、又はシリンジポンプなどの、液体計量供給ポンプ(示されていない)によって反応器20に加えられ得る。
Si反応剤10及び任意選択のSi反応剤11の添加の完了時に、反応器20は、およそ25℃~およそ150℃又は或いはまたおよそ15℃~およそ100℃の範囲の温度に加熱され得る。反応器20は、ジャケット(示されていない)によって所望の温度に維持され得る。ジャケットは、入口及び出口(示されていない)を有し得る。入口及び出口は、加熱又は冷却流体の再循環を提供するために熱交換器/チラー(示されていない)及び/又はポンプ(示されていない)に接続され得る。或いはまた、反応器20の温度は、加熱体が温度制御ユニット(示されていない)に接続された状態で、加熱テープ(示されていない)又は加熱マントル(示されていない)を用いて維持され得る。温度センサー(示されていない)が、反応器20の内容物の温度をモニターするために使用され得る。
低級シラン反応剤及び触媒は、およそ0.1時間~およそ72時間、或いはまたおよそ1時間~およそ30時間の範囲の期間の間撹拌され得る。混合は、ほぼ大気圧で行われ得る。反応の進行は、例えば、ガスクロマトグラフィーを用いてモニターされ得る。主たる反応生成物は、SiH、Si10、Si12等である。
反応の完了時に、反応器20は、およそ室温に冷却される。反応器20がジャケットを付けている場合、任意の加熱流体は、反応器20及びその内容物の冷却を支援するために冷却流体で置き換えられ得る。液体窒素、冷凍エタノール、アセトン/ドライアイス混合物、又は熱伝導剤が、反応器20を冷却するために使用され得る。或いはまた、加熱テープ又は加熱マントルなどの、任意の加熱メカニズムはスイッチを切られ得、自然冷却が起こり得る。いかなる重質液体非揮発性シラン23も、触媒及び固体の反応副生成物から濾過され、ライン22を通って反応器20から取り出される。揮発性シラン21は、圧力差によって反応器20からストリップされる。
揮発性シラン21は、Si(2a+2)混合物31(式中、a=1~6である)を得るために1つ以上のトラップ30に集められ得る。例示的なトラップ30には、ドライアイス/イソプロパノール、ドライアイス/アセトン、冷凍エタノール、及び/又は液体窒素トラップが含まれる。Si(2a+2)混合物31は、1つ以上の容器に集められ、次のプロセスステップの実施の前に新たな場所に輸送され得る。或いはまた、混合物31は直ちに、あらゆる反応剤及び反応副生成物から反応生成物を更に単離するために蒸留ユニット40に導かれ得る。蒸留ユニット40は、SiH反応副生成物43、n≧5の揮発性Si2n+2反応副生成物44、並びに任意の未反応Si反応剤41及び未反応の任意選択のSi反応剤42からSi10生成物45を分離する。未反応Si反応剤41及び未反応の任意選択のSi反応剤42は、更なるプロセスでの使用のためにリサイクルされ得る。
繰り返しになるが、Si10生成物45は、次のプロセスステップの実施の前に新たな場所に輸送され得る。或いはまた、Si10生成物45は、n-テトラシラン51をi-テトラシラン52から分離するために分別蒸留ユニット50に導かれ得る。分別蒸留は、スタティックカラム又はスピニングバンドカラムを用いて行われ得る。スピニングバンド蒸留塔の長さは、スタティックカラムのそれよりもはるかに小さく、それがより少ないスペースを取るので、混雑した施設での使用に好ましいものであり得る。およそ90%のn-テトラシランを産生するために好適なスタティックカラムは、およそ90~およそ120理論段を必要とするであろうし、高さがおよそ6~7メートルであろう。
図2は、およそ5:1~およそ15:1の範囲の比率を有するn-Si10:i-Si10混合物への低級シラン反応剤の触媒転化のためのフロープロセスの略図である。図1からの同じ参照番号が、図2における同じ構成要素について用いられている。図1におけるように、図2の接触構成要素の全ては、きれいでなければならず、且つ、空気及び水分を含んではならない。図1におけるように、図2の触媒反応は、N、希ガス(すなわち、He、Ne、Ar、Kr、Xe)、又はそれらの組み合わせなどの、不活性雰囲気下で行われ得る。
トリシラン10及び任意選択のジシラン11は、それぞれ、ライン12及び13を通ってフロー反応器25に加えられる。図1におけるように、Si反応剤10及び任意選択のSi反応剤11は、フロー反応器25への導入の前にライン14で混合され得る。或いはまた、Si反応剤10及び任意選択のSi反応剤11は、ライン12及び13(示されていない)を通ってフロー反応器25に直接導入され得る。Si反応剤10及び任意選択のSi反応剤11は、ダイアフラムポンプ、蠕動ポンプ、又はシリンジポンプなどの、液体計量供給ポンプ(示されていない)によってフロー反応器25に加えられ得る。好ましくは、混合は、ほぼ大気圧で不活性雰囲気下に行われる。
下の図4の考察において更に詳細に提供されるように、触媒(示されていない)は、フロー反応器25内に置かれる。フロー反応器25は、およそ25℃~およそ250℃、或いはまたおよそ40℃~およそ250℃又は、別の代替策では、およそ50℃~およそ100℃の範囲の温度に維持される。選択される温度は、選択される触媒、並びにターゲット反応生成物に依存するであろう。フロー反応器25は、およそ0.1気圧~およそ10気圧の範囲の圧力に維持される。トリシラン10及び任意選択のジシラン11反応剤の流量は、フロー反応器25でのおよそ0.01~およそ100分、或いはまたおよそ2分~およそ20分の滞留時間、或いはまたおよそ1秒~およそ1,000秒又は、別の代替策では、およそ100秒~およそ600秒の滞留時間を与えるように選択される。
Si(2a+2)混合物26(式中、a=1~6である)は、フロー反応器25を通過した後に受器35に集められる。受器35は、ドライアイス/イソプロパノール、ドライアイス/アセトン、冷凍エタノール、及び/又は液体窒素トラップを含むが、それらに限定されない、いかなる種類のトラップでもあり得る。
上の図1におけるように、Si(2a+2)混合物31は、1つ以上の容器に集められ、次のプロセスステップの実施の前に新たな場所に輸送され得る。或いはまた、混合物31は直ちに、あらゆる反応剤及び反応副生成物から反応生成物を更に単離するために蒸留ユニット40に導かれ得る。蒸留ユニット40は、SiH反応副生成物43、n≧5の揮発性Si2n+2反応副生成物44、並びに任意の未反応Si反応剤41及び任意選択のSi反応剤42からSi10生成物45を分離する。未反応Si反応剤41及び未反応の任意選択のSi反応剤42は、リサイクルされ得る。フィルター及び/又はその場GC分析などの、未反応Si反応剤41及び未反応の任意選択のSi反応剤42の実時間分析及び精製が、この連続合成プロセスの間中品質を維持するために提供され得る。
繰り返しになるが、Si10生成物45は、次のプロセスステップの実施の前に新たな場所に輸送され得る。或いはまた、Si10生成物45は、n-テトラシラン51をi-テトラシラン52から分離するために分別蒸留ユニット50に導かれ得る。分別蒸留は、スタティックカラム又はスピニングバンドカラムで形成され得る。スピニングバンド蒸留塔長さは、スタティックカラムのそれよりもはるかに小さく、それがより少ないスペースを取るので、混雑した施設での使用に好ましいものであり得る。およそ90%のn-テトラシランを産生するために好適なスタティックカラムは、およそ90~およそ120理論段を必要とするであろうし、高さがおよそ6~7メートルであろう。
図3は、図2のフロー反応器20の略図である。図をより容易に理解させるためにこの図にはバルブが含まれていないことにどうぞ留意されたい。
Si(2n+2)反応剤100は、ライン102を通ってフロー反応器120にSi(2n+2)反応剤を供給するために窒素で加圧される。ライン102はまた、真空110に接続されている。流量調整器101が、Si(2n+2)反応剤の流量を制御する。流量調整器101は、目盛付きニードルバルブ、電子流量計等であり得る。ゲージ103aは、圧力を測定し、流量調整器101とコミュニケーションを取って適切に調整し得る。
フロー反応器120は、2つの熱電対121及び122を含む。より多い又はより少ない熱電対が、本明細書での教示から逸脱することなく使用され得る。本明細書の教示での使用に好適な例示的熱電対には、タイプK又はタイプJ熱電対が含まれる。
Si(2a+2)反応混合物は、ライン123を通ってフロー反応器120を出る。圧力調整器104が、反応器120における圧力を設定し、Si(2a+2)反応混合物をフロー反応器120からドライアイス/イソプロパノールトラップ130に移動させる圧力差を提供する。ゲージ103bは、反応器120における圧力を示す。ドライアイス/イソプロパノールトラップ130は、およそ-78℃よりも上で凝縮するいかなるSi(2a+2)反応生成物をも捕捉する。
ドライアイス/イソプロパノールトラップで捕捉されない任意の揮発性Si(2a+2)反応混合物は、ライン131を通って液体窒素トラップ140に流れて凝縮する。
液体窒素トラップ140は、-78℃及びおよそ-196℃の間で凝縮するいかなるSi(2a+2)反応生成物をも捕捉する。ライン131はまた、真空ライン110に接続されている。圧力ゲージ103cは、ライン131における圧力を示す。SiH副生成物は、ライン150を通ってエクゾーストスクラバー(示されていない)に送られる。N105が、SiH副生成物をエクゾーストスクラバーへのその途上で希釈するために使用される。逆止め弁106が、この自然発火性副生成物の逆流を防ぐ。
図4は、図3のフロー反応器120の略図である。図4において、バルブ201は、ステンレス鋼管フロー反応器220がトラブル解決作業又は予防保全にアクセスされることを可能にする。ステンレス鋼管フロー反応器220は、2つの熱電対221及び222を含む。図3におけるように、より多い又はより少ない熱電対が、本明細書での教示から逸脱することなく使用され得る。ガラスウール202が、ステンレス鋼管フロー反応器220の始まり及び終わりに置かれる。触媒(示されていない)は、反応器の始まり及び終わりに置かれたガラスウール202の間に充填されるか、又はフロー反応器220の始まり及び終わりでのガラスウール202の間に詰められたガラスウール(示されていない)上に置かれ得る。結果として、Si(2n+2)反応剤は、それがフロー反応器220の始まりでガラスウールを通過するときに触媒反応の前に加熱され得る。当業者は、ガラスビーズ及びペレット触媒の層が、ガラスウール/触媒混合物の代わりに使用され得ることを認めるであろう。
必要である場合、加熱テープ203が、ステンレス鋼管フロー反応器220に熱を提供する。断熱体204は、ステンレス鋼管フロー反応器220の温度を維持するのに役立つ。当業者は、代替加熱手段がまた本明細書での教示から逸脱することなく用いられ得ることを認めるであろう。例えば、ステンレス鋼管フロー反応器220は、或いはまた、オーブン(示されていない)中に置かれ得る。その実施形態では、断熱体204は必要とされないであろう。
当業者は、開示される方法を実施するために用いられるシステムの設備構成要素の供給元を認めるであろう。所望の温度範囲、圧力範囲、地方条例等に基づき、構成要素のあるレベルの特注生産が必要とされ得る。例示的な設備供給業者には、Parr Instrument Companyステンレス鋼製設備及び構成要素が挙げられる。
以下の実施例において示されるように、Si単独又はSiとSiとの混合物の触媒変換は、テトラ-、ペンタ-及び高級シランの形成をもたらす。得られるテトラシランはまた、約6~15:1比、好ましくは約8~15:1のn-Si10とi-Si10との混合物として現れる
n-Si10:i-Si10混合物(図1及び2において50)の分別蒸留は、およそ90%w/w~およそ100%w/wのn-Si10、好ましくはおよそ95%w/w~およそ100%w/wのn-Si10、より好ましくはおよそ97%w/w~およそ100%w/wのn-Si10を含むSi含有フィルム形成組成物を産生する。Si含有フィルム形成組成物は、およそ0%w/w~およそ10%w/wのi-Si10、好ましくはおよそ0%w/w~およそ5%w/wのi-Si10;より好ましくはおよそ0%w/w~およそ3%w/wのi-Si10を更に含む。例えば、1cm直径及び100cm長さのスピニングバンド蒸留塔を用いるおよそ192グラムの3:1のn-Si10:i-Si10混合物の分別蒸留後に、出願人らは、およそ90%w/w~およそ95%w/wのn-テトラシランを産生することができた。当業者は、より高純度のn-テトラシランが、より高いn-Si10:i-Si10比を有する混合物及び/又はより大きい蒸留塔から得られることを認めるであろう。
Si含有フィルム形成組成物は、およそ97%mol/mol~およそ100%mol/mol、好ましくはおよそ99%mol/mol~およそ100%mol/mol、より好ましくはおよそ99.5%mol/mol~およそ100%mol/mol、更により好ましくはおよそ99.97%mol/mol~およそ100%mol/molの範囲の純度を有する。
Si含有フィルム形成組成物は、好ましくは、検出限界と100ppbwとの間の各潜在性金属汚染物質(例えば、少なくともAg、Al、Au、Ca、Cr、Cu、Fe、Mg、Mo、Ni、K、Na、Sb、Ti、Zn等)を含む。
Si含有フィルム形成組成物中のX(ここで、X=Cl、Br、又はIである)の濃度は、およそ0ppmw~およそ100ppmw、より好ましくはおよそ0ppmw~およそ10ppmwの範囲であり得る。
下の実施例において示されるように、精製生成物は、ガスクロマトグラフィー質量分析法(GCMS)によって分析され得る。生成物の構造は、H及び/又は29Si NMRによって確認され得る。
上で詳細に考察され、以下の実施例において例示されるように、Si含有フィルム形成組成物は、その純度を維持するためにそれが反応しないきれいな乾燥貯蔵容器中に貯蔵されなければならない。
開示される合成方法の利点は、次の通りである:
・ コスト及び生成物単離問題を低減するのに役立つ、熱分解プロセスと比較してより低いプロセス温度並びにテトラシラン及び高級シランのより高い収率;
・ 不均一系触媒の適用が、触媒での反応生成物の汚染に関する問題を本質的に排除し、且つ、残存触媒からの反応生成物の精製のステップを排除する;
・ 報告された均一系触媒が、ほとんど制御されないやり方で、クエンチされるまでトリシランを重合させ続けるのに対して、不均一系触媒の適用が、反応時間のより良好な制御を可能にする;
・ このプロセスが無溶媒である;
・ 蒸留のみによる精製;
・ 廃棄物発生が最小限であり、環境にやさしい;並びに
・ 出発原料の多くが安価であり、且つ、容易に入手可能でる。
上記の全てが、拡大可能な工業的プロセスを開発するという観点から有利である。結果として、反応生成物は、均一系触媒を使用する反応をクエンチするために必要とされ得る有毒な有機アミンなどの、安定剤の使用なしに半導体業界に好適な純度レベルを維持する。
開示されるSi含有フィルム形成組成物を蒸着方法のために使用する方法がまた開示される。開示される方法は、電子又は光電子デバイス又は回路の製作用の元素状ケイ素フィルムなどの、ケイ素含有フィルムの蒸着用のSi含有フィルム形成組成物の使用を提供する。開示される方法は、半導体、光起電力、LCD-TFT、又は平面パネル型デバイスの製造に有用であり得る。本方法は、開示されるSi含有フィルム形成組成物の蒸気を、基材がその中に配置されている反応器に導入する工程と、開示されるSi含有フィルム形成組成物の少なくとも一部を堆積プロセスによって基材上に堆積させてSi含有層を形成する工程とを含む。
開示される方法はまた、蒸着プロセスを用いる基材上への二元金属含有層の形成、より具体的には、SiMO又はSiMNフィルム(式中、xは、0~4であり得、Mは、Ta、Nb、V、Hf、Zr、Ti、Al、B、C、P、As、Ge、ランタニド類(Erなどの)、又はそれらの組み合わせである)の堆積を提供する。
基材上にケイ素含有層を形成する開示される方法は、半導体、光起電力、LCD-TFT、又は平面パネル型デバイスの製造に有用であり得る。開示されるSi含有フィルム形成組成物は、当技術分野において公知の任意の蒸着方法を用いてSi含有フィルムを堆積させ得る。好適な蒸着方法の例としては、化学蒸着(CVD)又は原子層堆積(ALD)が挙げられる。例示的なCVD方法には、熱CVD、プラズマ助長CVD(PECVD)、パルス状CVD(PCVD)、低圧CVD(LPCVD)、亜大気圧CVD(SACVD)、大気圧CVD(APCVD)、流動性CVD(f-CVD)、金属有機化学蒸着(MOCVD)、ホットワイヤーが堆積プロセス用のエネルギー源として役立つ、cat-CVDとしても知られる、ホットワイヤーCVD(HWCVD)、ラジカル組込み(radicals incorporated)CVD、及びそれらの組み合わせが含まれる。例示的なALD方法には、熱ALD、プラズマ助長ALD(PEALD)、空間的隔離ALD、ホットワイヤーALD(HWALD)、ラジカル組み込みALD、及びそれらの組み合わせが含まれる。超臨界流体堆積も用いられ得る。これらの中で、熱CVD堆積が、高い堆積速度、優れたフィルム一様性、及びコンフォーマルフィルム品質が必要とされるプロセスにとって好ましい。熱ALD堆積が、厳しい条件(例えば、溝、穴、又はビア)下で高い一様性を有するフィルムを形成するプロセスにとって好ましい。一代替策では、速い成長、順応性、プロセス-指向及び一方向フィルムが必要とされる場合に特に、PECVD堆積が好ましい。別の代替策では、挑戦しがいのある表面(例えば、溝、穴、及びビア)上に堆積させられるフィルムの優れた順応性が必要とされる場合に特に、PEALD堆積プロセスが好ましい。
Si含有フィルム形成組成物の蒸気は、基材を含有する反応チャンバーに導入される。反応チャンバー内の温度及び圧力並びに基材の温度は、Si含有フィルム形成組成物の少なくとも一部の基材上への蒸着に好適な条件に保持される。言い換えれば、気化した組成物のチャンバーへの導入後に、チャンバー内の条件は、気化した前駆体の少なくとも一部が基材上に堆積してケイ素含有フィルムを形成するようなものである。共反応剤がまた、Si含有層の形成に役立つために使用され得る。
反応チャンバーは、限定なしに、平行プレート型反応器、冷壁型反応器、熱壁型反応器、シングルウェハー反応器、マルチウェハー反応器、又は他のそのようなタイプの堆積システムなどの、その中で堆積方法が行われるデバイスの任意のエンクロージャー又はチャンバーであり得る。これらの例示的な反応チャンバーの全てが、ALD反応チャンバーとしての機能を果たすことができる。反応チャンバーは、約0.5mTorr~約760Torrの範囲の圧力に維持され得る。加えて、反応チャンバー内の温度は、約20℃~約700℃の範囲であり得る。当業者は、温度が、所望の結果を達成するために単なる実験によって最適化され得ることを認めるであろう。
反応器の温度は、基材ホルダーの温度を制御する及び/又は反応器壁の温度を制御するのどちらかによって制御され得る。基材を加熱するために用いられるデバイスは、当技術分野において公知である。反応器壁は、十分な成長速度で並びに所望の物理的状態及び組成で所望のフィルムを得るのに十分な温度に加熱され得る。反応器壁が加熱され得る非限定的な例示的な温度範囲には、およそ20℃~およそ700℃が含まれる。プラズマ堆積プロセスが利用される場合、堆積温度は、およそ20℃~およそ550℃の範囲であり得る。或いはまた、熱プロセスが行われる場合、堆積温度は、およそ300℃~およそ700℃の範囲であり得る。
或いはまた、基材は、十分な成長速度で並びに所望の物理的状態及び組成で所望のケイ素含有フィルムを得るのに十分な温度に加熱され得る。基材が加熱され得る非限定的な例示的な温度範囲には、150℃~700℃が含まれる。好ましくは、基材の温度は、500℃以下のままである。
その上にケイ素含有フィルムが堆積させられる基材のタイプは、意図される最終使用に応じて変わるであろう。基材は、一般に、その上でプロセスが行われる材料と定義される。基材には、半導体、光起電力、平面パネル、又はLCD-TFTデバイス製造に使用される任意の好適な基材が含まれるが、それらに限定されない。好適な基材の例としては、シリコン、シリカ、ガラス、Ge、又はGaASウェハーなどの、ウェハーが挙げられる。ウェハーは、以前の製造ステップからのその上に堆積した異なる材料の1つ以上の層を有し得る。例えば、ウェハーには、シリコン層(結晶性、非晶性、多孔性等)、酸化ケイ素層、窒化ケイ素層、オキシ窒化ケイ素層、炭素ドープ酸化ケイ素(SiCOH)層、又はそれらの組み合わせが含まれ得る。更に、ウェハーには、銅層、タングステン層又は金属層(例えば白金、パラジウム、ニッケル、ロジウム、又は金)が含まれ得る。ウェハーには、マンガン、酸化マンガン、タンタル、窒化タンタル等などの、バリア層が含まれ得る。層は、平面であってもパターン化されていてもよい。いくつかの実施形態では、基材は、パターン化フォトレジストフィルムで被覆され得る。いくつかの実施形態では、基材には、MIM、DRAM、又はFeRam技術において誘電材料(例えば、ZrOベースの材料、HfOベースの材料、TiOベースの材料、希土類酸化物ベースの材料、三元酸化物ベースの材料等)として使用される酸化物の層、又は銅と低いk層との間に電子移動バリア及び接着層として使用される窒化物ベースのフィルム(例えば、TaN)からの層を含み得る。開示されるプロセスは、ウェハー上に直接又はウェハーの最上部上の層の1つ又は2つ以上(パターン化された層が基材を形成する場合)上に直接ケイ素含有層を堆積させ得る。更に、当業者は、本明細書で用いられる用語「フィルム」又は「層」が、ある表面上に置かれた又は表面一面に広げられたある厚さのある材料を指すこと、及びその表面が、溝又はラインであり得ることを認めるであろう。本明細書及び特許請求の範囲の全体にわたって、ウェハー及びそれの上の任意の関連した層は、基材と言われる。利用される実際の基材はまた、利用される具体的な前駆体実施形態に依存し得る。しかしながら多くの場合に、利用される好ましい基材は、水素化炭素、TiN、SRO、Ru、及びポリシリコン又は結晶性シリコン基材などの、Si型基材から選択されるであろう。
基材は、高いアスペクト比を有するビア又は溝を含めるためにパターン化され得る。例えば、SiN又はSiOなどの、コンフォーマルSi含有フィルムは、およそ20:1~およそ100:1の範囲のアスペクト比を有するシリコン貫通電極(TSV)上に任意のALD技術を用いて堆積させられ得る。
Si含有フィルム形成組成物は、ニートで供給され得る。或いはまた、Si含有フィルム形成組成物は、蒸着での使用に好適な溶媒を更に含み得る。溶媒は、とりわけ、C~C16飽和若しくは不飽和炭化水素から選択され得る。
蒸着のために、Si含有フィルム形成組成物は、チュービング及び/又は流量計などの、従来の手段によって蒸気形態で反応器に導入される。蒸気形態は、直接液体注入、キャリアガスの不在下での直接蒸気取込みなどの従来の気化ステップによって、液体を通してキャリアガスをバブリングすることによって、又は液体を通してキャリアガスをバブリングすることなくキャリアガスで蒸気を掃引することによってSi含有フィルム形成組成物を気化させることにより産生され得る。Si含有フィルム形成組成物は、気化器に液体状態で供給され得(直接液体注入)、気化器でそれは気化し、キャリアガスと混合され、その後それは反応器に導入される。或いはまた、Si含有フィルム形成組成物は、組成物を含有する容器中にキャリアガスを通すことによって又は組成物にキャリアガスをバブリングすることによって気化させられ得る。キャリアガスには、Ar、He、又はN、及びそれらの混合物が含まれるが、それらに限定されない。キャリアガス及び組成物は、次いで蒸気として反応器に導入される。
必要ならば、Si含有フィルム形成組成物は、Si含有フィルム形成組成物が十分な蒸気圧を有することを可能にする温度に加熱され得る。デリバリーデバイスは、例えば、0~150℃の範囲の温度に維持され得る。当業者は、デリバリーデバイスの温度が気化するSi含有フィルム形成組成物の量を制御するために公知の方法で調整され得ることを認める。
開示される組成物に加えて、反応ガスもまた、反応器に導入され得る。反応ガスは、O;O;HO;H;NO;O・又はOH・などのラジカルを含有する酸素;NO;NO;ギ酸、酢酸、プロピオン酸などのカルボン酸;NO、NO、又はカルボン酸のラジカル種;パラホルムアルデヒド;及びそれらの混合物などの酸化剤であり得る。好ましくは、酸化剤は、O、O、HO、H、O・又はOH・などのそれらの酸素含有ラジカル、及びそれらの混合物からなる群から選択される。好ましくは、ALDプロセスが行われる場合、共反応剤は、プラズマ処理酸素、オゾン、又はそれらの組み合わせである。酸化気体が使用される場合、結果として生じるケイ素含有フィルムはまた、酸素を含有するであろう。
或いはまた、反応ガスは、H、NH、(SiHN、ヒドリドシラン(SiH、Si、Si、Si10、Si10、Si12などの)、クロロシラン及びクロロポリシラン(SiHCl、SiHCl、SiHCl、SiCl、SiHCl、SiClなどの)、アルキルシラン(MeSiH、EtSiH、MeSiH、EtSiHなどの)、ヒドラジン類(N、MeHNNH、MeHNNHMeなどの)、有機アミン(NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNHなどの)、エチレンジアミン、ジメチルエチレンジアミン、テトラメチルエチレンジアミンなどのジアミン、ピラゾリン、ピリジン、B-含有分子(B、トリメチルボラン、トリエチルボラン、ボラジン、置換ボラジン、ジアルキルアミノボランなどの)、アルキル金属(トリメチルアルミニウム、トリエチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛などの)、それらのラジカル種、又はそれらの混合物であり得る。H又は無機Si含有ガスが使用される場合、結果として生じるケイ素含有フィルムは純粋なSiであり得る。
或いはまた、反応ガスは、エチレン、アセチレン、プロピレン、イソプレン、シクロヘキサン、シクロヘキセン、シクロヘキサンジエン、ペンテン、ペンチン、シクロペンタン、ブタジエン、シクロブタン、テルピネン、オクタン、オクテン、又はそれらの組み合わせなどの、しかしそれらに限定されない、飽和若しくは不飽和の、線状の、分岐状の又は環状の、炭化水素であり得る。
反応ガスは、反応ガスをそのラジカル形態に分解するために、プラズマによって処理され得る。Nがまた、プラズマで処理される場合に還元剤として利用され得る。例えば、プラズマは、約50W~約500W、好ましくは約100W~約200Wの範囲の電力で発生させられ得る。プラズマは、反応器それ自体内で発生させられ得る又は存在し得る。或いはまた、プラズマは、一般に、反応器から離れた場所に、例えば、離して置かれたプラズマシステムにあり得る。当業者は、そのようなプラズマ処理に好適な方法及び装置を承知しているであろう。
所望のケイ素含有フィルムはまた、例えば及び限定なしに、B、P、As、Zr、Hf、Ti、Nb、V、Ta、Al、Si、又はGeなどの、別の元素を含有する。
Si含有フィルム形成組成物及び1つ以上の共反応剤が、同時に(化学蒸着)、順次(原子層堆積)、又は他の組み合わせで反応チャンバーに導入され得る。例えば、Si含有フィルム形成組成物の蒸気が1パルスで導入され得、2つの追加の金属源が別個のパルスで一緒に導入され得る(修正原子層堆積)。或いはまた、反応チャンバーは、Si含有フィルム形成組成物の導入前に共反応剤を既に含有し得る。共反応剤は、反応チャンバー内に又はそれから離れて局限されるプラズマシステムを通過させられ、ラジカルに分解させられ得る。或いはまた、Si含有フィルム形成組成物は、他の前駆体又は反応剤がパルスによって導入されながら連続的に反応チャンバーに導入され得る(パルス状化学蒸着)。別の代替策では、Si含有フィルム形成組成物及び1つ以上の共反応剤は、その下で幾つかのウェハーを保持するサセプタが回転しているシャワーヘッドから同時に噴霧され得る(空間的ALD)。
1つの非限定的な例示的な原子層体積プロセスでは、Si含有フィルム形成組成物の蒸気は、反応チャンバーに導入され、そこでそれは、好適な基材と接触する。過剰の組成物は、次いで、反応チャンバーをパージすること及び/又は排気することによって反応チャンバーから除去され得る。酸素源が反応チャンバーに導入され、そこでそれは、吸収されたSi含有フィルム形成組成物と自己限定的やり方で反応する。いかなる過剰の酸素源も、反応チャンバーをパージすること及び/又は排気することによって反応チャンバーから除去される。所望のフィルムが酸化ケイ素フィルムである場合、この2ステッププロセスは、所望のフィルム厚さを提供し得るか又は必要な厚さを有するフィルムが得られているまで繰り返され得る。
或いはまた、所望のフィルムがケイ素金属/メタロイド酸化物フィルム(すなわち、SiMO(式中、xは、0~4であり得、Mは、B、Zr、Hf、Ti、Nb、V、Ta、Al、Si、Ga、Ge、又はそれらの組み合わせである))である場合、上記の2ステッププロセスに、反応チャンバーへの金属又はメタロイド含有前駆体の蒸気の導入が続き得る。金属又はメタロイド含有前駆体は、堆積中のケイ素金属/メタロイド酸化物フィルムの本質に基づいて選択されるであろう。反応チャンバーへの導入後に、金属又はメタロイド含有前駆体は、基材と接触する。いかなる過剰の金属又はメタロイド含有前駆体も、反応チャンバーをパージすること及び/又は排気することによって反応チャンバーから除去される。繰り返しになるが、酸素源が反応チャンバーに導入されて金属又はメタロイド含有前駆体と反応し得る。過剰の酸素源は、反応チャンバーをパージすること及び/又は排気することによって反応チャンバーから除去される。所望のフィルム厚さが達成されている場合、プロセスは終了し得る。しかしながら、より厚いフィルムが望まれる場合、全体4ステッププロセスが繰り返され得る。Si含有フィルム形成組成物、金属又メタロイド含有前駆体、及び酸素源の提供を交互に行うことによって、所望の組成及び厚さのフィルムを堆積させることができる。
更に、パルスの数を変えることによって、所望の化学量論的M:Si比を有するフィルムが得られ得る。例えば、SiMOフィルムは、各パルスに酸素源のパルスが続く状態で、Si含有フィルム形成組成物の1パルスと金属又はメタロイド含有前駆体の1パルスとを有することによって得られ得る。しかしながら、当業者は、所望のフィルムを得るために必要とされるパルスの数が、結果として生じるフィルムの化学量論比と同一ではない可能性があることを認めるであろう。
上で考察されたプロセスから生じるケイ素含有フィルムは、SiO;SiC;SiN;SiON;SiOC;SiONC;SiBN;SiBCN;SiCN;SiMO、SiMN(式中、Mは、もちろんMの酸化状態に応じて、Zr、Hf、Ti、Nb、V、Ta、Al、Geから選択される)を含み得る。当業者は、適切なSi含有フィルム形成組成物及び共反応剤の公正な選択によって所望のフィルム組成が得られ得ることを認めるであろう。
所望のフィルム厚さを得たときに、フィルムは、熱アニーリング、炉アニーリング、高速熱アニーリング、UV若しくはe-ビーム硬化、及び/又はプラズマガス暴露などの、更なる処理にかけられ得る。当業者は、これらの追加の処理ステップを行うために利用されるシステム及び方法を承知している。例えば、ケイ素含有フィルムは、不活性雰囲気、H含有雰囲気、N含有雰囲気、又はそれらの組み合わせ下に、およそ0.1秒~およそ7200秒の範囲の時間、およそ200℃~およそ1000℃の範囲の温度に曝され得る。最も好ましくは、温度は、3600秒間未満で600℃である。更により好ましくは、温度は400℃未満である。アニーリングステップは、堆積プロセスが行われる同じ反応チャンバーで行われ得る。或いはまた、アニーリング/フラッシュアニーリングプロセスが別個の装置で行われる状態で、基材は、反応チャンバーから取り出され得る。上記のポスト処理方法のいずれも、しかしとりわけUV硬化は、フィルムの連結性及び架橋を高めるのに、且つ、フィルムがSiN含有フィルムである場合フィルムのH含量を低減するのに有効と分かった。典型的には、400℃未満(好ましくは約100℃~300℃)への熱アニーリングと、UV硬化との組み合わせが、最高密度のフィルムを得るために用いられる。
本発明の本質及び目的の更なる理解のために、添付の図面と併用されて、以下の詳細な説明に言及されるべきである。
以下の非限定的な実施例は、本発明の実施形態を更に例示するために提供される。しかしながら、本実施例は、包括的であることを意図せず、且つ、本明細書に記載される本発明の範囲を限定することを意図しない。
反応生成物は、生成物流の一部又は生成物のアリコートを使用するガスクロマトグラフィー(GC)によるなどの、任意の好適な手段によって分析することができる。以下の実施例において、GC分析は、熱伝導度検出器(TCD)を備えたAgilent 7890A及びAgilent 6890 Gas Chromatographで行った。注入ポートは、不活性(N又はAr)雰囲気下にあった。
代表的な方法:カラム:Rtx-1(クロスボンドジメチルポリシロキサン)105m×0.53mm×5μm。検出器T=250℃;基準流量:20mL/分;メークアップ流量:5mL/分;キャリアガス:5mL/分(ヘリウム);オーブン:35℃、8分、ランプ 20℃/分、200℃、13分;注入器:200℃;スプリットレスモード;サンプルサイズ:1.0μL。
実施例1:請求項に係る触媒のまとめ
出願人らは、意外にも、表1及び2にまとめられるように、周期表の第I、第II、及び/又は第III族の元素を含む不均一系触媒の選択的触媒活性を発見した:
Figure 0007117461000002
Figure 0007117461000003
適用条件で、n-Si10への選択性:KH>KN(SiMe≒KSiPh>LiAlH>VitrideTM/シリカ>ActivelsomTM>ActiveGelTM>MgH>BuLi
液体Siの変換での活性:VitrideTM/シリカ>KSiPh>KN(SiMe≒ActivelsomTM>ActiveGelTM>n-BuLi>MgH>KH>LiAlH
Figure 0007117461000004
n-Si10/i-Si10異性体比は、液相反応についてはるかにより高い。
追加の合成詳細は、以下の実施例において提供する。
比較例1:液体Siを使った先行技術触媒についての結果のまとめ
先行技術の均一系触媒CpZrCl/BuLi、CpZrCl/LiNMe、RuCl(p-シメン)、及びNi(COD)(COD=シクロペンタジエニルである)を使用する液体Siの触媒反応を行った[Joyce Y.Corey,「Dehydrocoupling of Hydrosilanes to Polysilanes and Silicon Oligomers:A 30 Year Overview」,Advances in Organometallic Chemistry,Volume 51,2004 Elsevier Inc.からの触媒]。先行技術の不均一系触媒Ru(5%)/C及びRh(5%)/Cを使用する液体Siの触媒反応をまた行った[「Method for Producing a Semiconductor Material」,Keizo Ikai;Masaki Minami;Mitsuo Matsuno,日本石油株式会社,米国特許第5,700,400 A号明細書,1995年8月14日からの触媒]。シリカ上の及びMMAO(MMAO=修飾メチルアミノシロキサン、式[(CH0.95(n-C170.05AlO])と組み合わせたFeClをまた試験した。
Figure 0007117461000005
CpTiCl及びCpZrCl均一系触媒は、制御不能なようにトリシランを非揮発性の固体に重合させた。結果として、これらの触媒は、異性体的に富化したテトラシラン又は液体高級シランの制御可能な合成に有用ではない。
RuCl(p-シメン)、Ni(COD)、及びFeCl均一系触媒並びにRu(5%)/C及びRh(5%)/C不均一系触媒は、高級シランへの非置換液体トリシランの変換のために活性ではない。
比較例2:ガス状Siを使った先行技術触媒についての結果のまとめ
Si蒸気(1.8~2.3g)を、0.1g/分の流量で明記される温度においてフロー反応器に通した。反応器は、0.15gのRu/C及び0.25gのガラスウール又は0.17gのRh/C及び0.37gのガラスウールを含有する1/4インチ直径×5インチ長さのステンレス鋼管であった。実験の前にRu/C、Rh/C及びガラスウールを、26時間動的真空下で240℃に保って水分を除去した。集められた生成物をGCによって分析した。下の表4及び5の結果を参照されたい。
Figure 0007117461000006
Figure 0007117461000007
Ru(5%)/C及びRh(5%)/C不均一系触媒は、高級シランへの非置換ガス状トリシランの変換のために活性ではない。
実施例2:液体Si及びシリカ上のNa[AlH(OCHCHOMe)](35%)
シリカ上の35%w/wのNa[AlH(OCHCHOMe)]触媒を、300℃において真空中であらかじめ乾燥させた、シリカをNa[AlH(OCHCHOMe)]の65%w/wトルエン溶液(Vertellus Holdings LLCによって商標VitrideTM又はSigma-Aldrich Biotechnology LPによってRed-Al(登録商標)で販売される)と混合することによって調製した。混合物を室温で12時間撹拌した。全ての揮発性物質を動的真空下で除去した。残った固体を触媒として利用した。
液体Si(3.1g、33.6mmol)及び触媒(0.18g、Na[AlH(OCHCHOMe)]0.063g、0.32mmol)を、グローブボックス中のバイアル中で室温において3時間撹拌した。撹拌を3時間後に止めた。あらゆる固体を沈降させ、無色透明上澄みのアリコートをGC分析用に集めた。無色透明溶液のGC分析は、44.8%のSi;2.0%のイソ-Si10及び19.3%のn-Si10、合計10.8%のSi12並びに合計で14.1%の6個以上のケイ素原子のシランを含有するSi~Siシランの混合物を明らかにした。
シリカ上のVitrideTMは、ポット反応で液体トリシランを、n-Si10への優れた選択性[n-Si10:i-Si10=9.7:1]でシランの混合物に変換した。工業的用途向けへの本方法の適用性を例示するために、フロー反応を、ガス状及び液体トリシランを使って行った。
実施例3:ガス状Si及びNa[AlH(OCHCHOMe)
Si蒸気(1.22~2.25g、純度99.8%w/w)を、0.1g/分の流量で明記される温度において加熱管(4.7インチL×0.25インチID)に通した。反応器は、実施例2において調製されたシリカ上の50wt%のNa[AlH(OCHCHOMe)](35%w/w)触媒と、50重量%のガラスウールとを含有した。液体窒素トラップ中に集められた生成物をGCによって分析した。結果を表6に示す。
Figure 0007117461000008
実施例2におけるように、シリカ上のVitrideTM触媒は、ガス状トリシランへの著しい活性を示した。
比較して、6個以上のケイ素原子の重質シランの相対量は、GCによれば、液体反応におけるよりもガス状反応において低い。加えて、固体のポリメリックシランは、ガスフロープロセスにおいて生じなかった。
しかしながら、n-テトラシランへのガス状プロセスの選択性は低い。
選択性及び重質シランの相対量が調整され得ることを例示するために、液体トリシランを、シリカ上のVitrideTMを使ったフロー反応器に通した。次の実施例を参照されたい。
実施例4.液体Si及びNa[AlH(OCHCHOMe)]、フロープロセス
液体Siの3つの別個のサンプルが、流量1.1±0.1g/分で、52.0±0.7℃及び31.1±0.6psigで同じ反応器(20.9cmL×1cmID)を通過した。反応器は、3.0gのガラスウール上にシリカ上の7.9gの46.8%w/wVitrideTM(3.7gの活性成分)を含有した。流出物をドライアイストラップ、引き続き液体窒素トラップ中に集めた。トラップの内容物をGCによって分析した。ドライアイストラップの内容物を蒸留し、4.4gの非蒸留液体を提供した。非蒸留液体は、6個以上のケイ素原子のシランの混合物であった[GC]。留出物は、1~8個のケイ素原子の揮発性シランの混合物である。図5は、シリカ上のVitrideTM触媒を通っての液体Siのワンパス後のドライアイストラップからの揮発性液体生成物のガスクロマトグラムである。結果を下の表7及び8にまとめる。
Figure 0007117461000009
Figure 0007117461000010
実施例5.液体Si/Si混合物及びNa[AlH(OCHCHOMe)]、フロープロセス
液体Si(42.5%w/w)-Si(57.5%w/w)(174.0g)が、滞留時間442±77秒に対応する、流量1.4±0.3g/分で、51.9±3.5℃及び30.6±0.4psigで反応器(20.9cmL×1cmID)を通過した。反応器は、2.6gのガラスウール上にシリカ上の7.0gの46.8%w/wVitrideTM(3.3gの活性成分)を含有した。流出物をドライアイストラップ、引き続き液体窒素トラップ中に集めた。トラップの内容物をGCによって分析した。ドライアイストラップの内容物を蒸留し、2.0gの非蒸留液体を提供した。非蒸留液体は、6個以上のケイ素原子のシランの混合物であった[GC]。留出物は、1~8個のケイ素原子の揮発性シランの混合物である。結果を下の表9及び10にまとめる。
Figure 0007117461000011
Figure 0007117461000012
表から理解されるように、より重質のシラン(Si≧6)の相対量は、Si-Siの混合物についてより低い。
実施例6:Si及びKN(SiMe
液体Si(28.8g、純度99.8%w/w)及び固体KN(SiMe(0.3g、1.5mmol)を、グローブボックス中の熱電対を備えた反応器中に装入した。2つのトラップを反応器の後に設置した。凝縮器後の第1トラップは、反応中に室温で空のままである。第2トラップは、反応中にSiH及びSiをトラップするために液体窒素で冷却する。反応器を多岐管に接続し、N雰囲気をHe雰囲気で置き換えた。凝縮器をドライアイスで満たした。1気圧のヘリウム下の反応混合物を、41~50℃に加熱し、3時間撹拌した。3時間後に、加熱を止め、反応混合物を室温に冷却した。ドライアイスを凝縮器から除去した。第1トラップをドライアイスで冷却し、第2トラップを液体Nで冷却したままにした。反応生成物を動的真空下でドライアイストラップ[20.2gの液体、GC:74.2%のSi;1.3%のイソ-Si10及び15.3%のn-Si10]及び液体窒素トラップ[4.7g、GC:45.9%のSiH、49.1%のSi、5.0%のSi]にストリップした。ポット中に残る非蒸留反応生成物[3.2g]を濾過し、及びまたGCによって分析した[Si~Si12シランの混合物]。
KN(SiMeは、液体トリシランをポット反応において、n-Si10への優れた選択性でシランの混合物に変換した[n-Si10:i-Si10=11.8:1]。工業的用途向けへの本方法の適用性を例示するために、フロー反応を、ガス状及び液体トリシランを使って行った。
実施例7:ガス状Si及びKN(SiMe
実験を実施例3におけるのと類似のセットアップで行った。実験の結果を表11に提供する。フロー反応を異なる温度で行って触媒の性能をチェックした。
Figure 0007117461000013
触媒活性は、温度の上昇と共に増加する。同時に、n-Si10への選択性は、温度の上昇と共に低下する。
触媒と共に管に残っている非揮発性シランの相対量は、温度の上昇と共に増加し、63℃及び42℃で低い。
選択性及び重質シランの相対量がフロープロセスにおいて調整され得ることを例示するために、液体シランが約80℃でKN(SiMeを使ったフロー反応器を通過した。次の実施例を参照されたい。
実施例8:液体Si及び固体KN(SiMe触媒を使ったフロープロセス
Si液体(178.2g)が、滞留時間467±106秒に対応する、流量1.2±0.3g/分で、73.2±1.8℃及び圧力27.2±0.5psigで反応器を通過した。反応器は、3.6gのガラスウール上に3.6gのKN(SiMeを含有する1cm内径、20.8cm長さのステンレス鋼管である。フロー反応器を通っての液体トリシランの通過後に、生成物をドライアイストラップ(175.5g)、引き続き液体窒素トラップ(2.7g)中に集めた。トラップの気相及び液相をGCによって分析した。ドライアイストラップの内容物を蒸留した。1.7gの非揮発性液体をドライアイストラップから得た。非揮発性液体は、6個以上のケイ素原子のシランの混合物であった[GC]。173.8gの揮発性シランをドライアイストラップから得た。揮発性シランは、シランSi2n+2(n=1~8である)の混合物であった。非揮発性液体は、6個以上のケイ素原子のシランの混合物であった[GC]。図6は、KN(SiMe触媒を通っての液体Siのワンパス後のドライアイストラップから蒸留された揮発性液体生成物のガスクロマトグラムである。結果を下の表12及び13にまとめる。
Figure 0007117461000014
Figure 0007117461000015
KN(SiMeは、液体トリシランを使ったフロー反応において著しく活性が高く、低い量の非揮発性シランを産生する。
本実施例は、拡大の可能性を例示する。
実施例9:ガス状Si及びシリカ上のNa(35%)
実験を実施例3におけるのと類似のセットアップで行った。実験の結果を表14に提供する。
Figure 0007117461000016
これらの結果は、シリカ上のVitrideTM又はKN(SiMeを使用して得られた結果ほどにn-Si10に対して選択性的ではないが、それらは、比較例1及び2の先行技術触媒から得られた結果よりも依然として良好のままである。
実施例10:ガス状Si及びアルミナ上のNa
実験を実施例3におけるのと類似のセットアップで行った。実験の結果を表15に提供する。
Figure 0007117461000017
これらの結果は、シリカ上のVitrideTM又はKN(SiMeを使用して得られた結果ほどにn-Si10に対して選択性的ではないが、それらは、比較例1及び2の先行技術触媒から得られた結果のほとんどよりも依然として良好のままである。
実施例11:ガス状Si及びKH
実験を実施例3におけるのと類似のセットアップで行った。実験の結果を表16に提供する。
Figure 0007117461000018
実施例12:テトラシラン保存可能期間研究
保存可能期間研究を、2つのテトラシラン異性体の一定比率が室温及び35℃で安定したままであることを検証するために行った。熱分解プロセスから得られたテトラシランを、多様な容器中に室温で貯蔵した。別の容器を35℃で貯蔵した。液体内容物を経時的にGCによって測定した。
6Lのアルミニウムシリンダーを52℃及び4.4×10-6Torrで真空ベークした。50mLのステンレス鋼容器をおよそ200℃及び50mTorrで真空ベークした。1.2Lのステンレス鋼バブラーをおよそ150℃及び85mTorrで真空ベークした。研究中の容器のリスト及びそれら中のテトラシランの量を表17に示す。
Figure 0007117461000019
図7は、周囲温度で経時的なn-Si10:i-Si10比のグラフである。
図8は、室温(三角形)又は35℃(正方形)で経時的な1.2Lステンレス鋼バブラーでのn-Si10:i-Si10比のグラフである。
異性体の比率は、400日内で全ての実験において類似しており、逸脱は、2%よりも下であり、n-Si10:i-Si10比の最高逸脱は、55ccの非被覆容器についての1.9%であり、蒸着のための経時的な組成物の安定性を実証している。
安定性試験のための組成物は、70~79%w/wのn-Si10と21~29%のi-Si10とを含有した。90~95%w/wのn-Si10の組成物は、報告される組成での差が10~20%のn-Si10であるので、同様に挙動するであろうと予想される。
比較例3:市販のn-テトラシランのハライド濃度
確立された商業的n-テトラシラン供給業者のカタログからの市販のn-テトラシランをGCによって分析した。市販の製品は、34.3%w/wのi-Si10と64.1%w/wのn-Si10とを含有し、Si10の総量が98.4%w/wであり、それは、Si0.1%w/w、Si 0.5%w/w、Si12 0.6%w/w、Si14 0.3%w/w、Si16 0.1%w/wを含有する。比n-Si10:i-Si10=1.9:1である。低級及び高級シランと一緒のそのような組成物i-Si10/n-Si10は、i-Si10及びn-Si10、低級及び高級シランの異なる沸点のために経時的に蒸気圧ドリフトを生み出し得る。これは、蒸着プロセスにとって許容できない、サイクル速度当たり再生不可能な成長をもたらし得る。
本発明の実施形態が示され、記載されてきたが、それの修正は、本発明の主旨又は教示から逸脱することなく当業者によって行うことができる。本明細書に記載される実施形態は、例示的であるにすぎず、限定的ではない。組成物及び方法の多くの変形及び修正が可能であり、本発明の範囲内である。したがって、保護の範囲は、本明細書に記載される実施形態に限定されず、以下のクレームによって限定されるにすぎず、クレームの範囲は、クレームの主題の全ての同等物を包含するものとする。

Claims (19)

  1. n-テトラシランを選択的に合成する方法であって、Si(2n+2)反応剤(式中、n=1~3である)を、周期表からの第I、第II若しくは第III族元素又はそれの酸化物、アルキル、水素化物、シラニド、若しくはシリルアミドから選択される不均一系触媒と反応させることによっておよそ5:1~およそ15:1の範囲のn-Si10:i-Si10比を有するシラン混合物を産生する工程を含む方法。
  2. 前記Si(2n+2)反応剤がSiである、請求項1に記載の方法。
  3. 前記Si(2n+2)反応剤が液体Siである、請求項2に記載の方法。
  4. 前記Si(2n+2)反応剤が、SiとSiとの混合物である、請求項1に記載の方法。
  5. 前記不均一系触媒が、LiAlH、LiAlH4-n、NaAlH4-n、KAlH4-n、RbAlH4-n、CsAlH4-n、及びそれらの組み合わせ(式中、n=1、2、又は3であり、各Rは、独立して、m=1~10のC2m+1又は酸素若しくは窒素原子を有する脂肪族基である)からなる群から選択される、請求項1に記載の方法。
  6. 前記不均一系触媒が水素化ビス(2-メトキシエトキシ)アルミニウムナトリウムである、請求項5に記載の方法。
  7. n-Si10:i-Si10の前記比がおよそ8:1~およそ15:1の範囲である、請求項6に記載の方法。
  8. 前記不均一系触媒が第I族金属及び第I族金属酸化物である、請求項1に記載の方法。
  9. 前記不均一系触媒が金属シリルアミド触媒である、請求項1に記載の方法。
  10. 前記金属シリルアミド触媒がナトリウムビス(トリメチルシリル)アミドである、請求項9に記載の方法。
  11. 前記金属シリルアミド触媒がカリウムビス(トリメチルシリル)アミドである、請求項9に記載の方法。
  12. n-Si10:i-Si10の前記比がおよそ8:1~およそ15:1の範囲である、請求項11に記載の方法。
  13. 前記不均一系触媒が金属シラニド触媒である、請求項1に記載の方法。
  14. 前記金属シラニド触媒がKSiPhである、請求項13に記載の方法。
  15. Si(2a+2)混合物(式中、a=1~6である)から前記n-Si10:i-Si10混合物を単離する工程を更に含む、請求項1に記載の方法。
  16. 前記n-Si10:i-Si10シラン混合物を分別蒸留して、およそ95%w/w~およそ100%w/wのn-Si10を含むSi含有フィルム形成組成物を産生する工程を更に含む、請求項1に記載の方法。
  17. n-テトラシランを選択的に合成する方法であって、
    ナトリウム、酸化ナトリウム、水素化ビス(2-メトキシエトキシ)アルミニウムナトリウム、カリウムビス(トリメチルシリル)アミド(KN(SiMe)、水素化リチウムアルミニウム(LiAlH)、カリウムトリフェニルケイ素(KSiPh)、水素化カリウム(KH)、及びそれらの混合物からなる群から選択される触媒を使用して液体Siを触媒することによって、およそ5:1~およそ12:1の範囲の比率を有するn-Si10:i-Si10シラン混合物を産生する工程を含む方法。
  18. Si(2a+2)混合物(式中、a=1~6である)から前記n-Si10:i-Si10混合物を単離する工程を更に含む、請求項16に記載の方法。
  19. 前記n-Si10:i-Si10シラン混合物を分別蒸留して、およそ95%w/w~およそ100%w/wのn-Si10を含むSi含有フィルム形成組成物を産生する工程を更に含む、請求項17に記載の方法。
JP2021542092A 2018-10-11 2019-10-11 異性体富化高級シランの製造方法 Active JP7117461B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US16/158,071 US20200115238A1 (en) 2018-10-11 2018-10-11 Process for producing isomer enriched higher silanes
US16/158,071 2018-10-11
US16/409,326 US11401166B2 (en) 2018-10-11 2019-05-10 Process for producing isomer enriched higher silanes
US16/409,326 2019-05-10
PCT/US2019/055792 WO2020077182A1 (en) 2018-10-11 2019-10-11 Process for producing isomer enriched higher silanes

Publications (2)

Publication Number Publication Date
JP2022501523A JP2022501523A (ja) 2022-01-06
JP7117461B2 true JP7117461B2 (ja) 2022-08-12

Family

ID=70159880

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021542092A Active JP7117461B2 (ja) 2018-10-11 2019-10-11 異性体富化高級シランの製造方法

Country Status (6)

Country Link
US (1) US11401166B2 (ja)
EP (1) EP3863970A4 (ja)
JP (1) JP7117461B2 (ja)
KR (1) KR102603851B1 (ja)
CN (1) CN112839902B (ja)
WO (1) WO2020077182A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10752507B2 (en) * 2018-10-11 2020-08-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing liquid polysilanes and isomer enriched higher silanes
DE102020211833A1 (de) * 2020-09-22 2022-03-24 Evonik Operations Gmbh Verfahren zur Herstellung oligomerer Hydridosilane aus SiH4
CN112158847A (zh) * 2020-11-14 2021-01-01 深圳市博纯半导体材料有限公司 乙硅烷的生产提纯工艺

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013506541A (ja) 2009-10-02 2013-02-28 エボニック デグサ ゲーエムベーハー 高度に水素化されたシランの製造方法
JP2016522140A (ja) 2013-04-24 2016-07-28 エボニック デグサ ゲーエムベーハーEvonik Degussa GmbH ポリシランの製造方法および製造装置

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4610859A (en) 1983-12-29 1986-09-09 Mitsui Toatsu Chemicals, Inc. Process for producing silicon hydrides
US5047569A (en) 1990-07-03 1991-09-10 Ethyl Corporation Method of producing polysilane compounds
US5087719A (en) 1990-09-27 1992-02-11 The United States Of America As Represented By The Secretary Of The Air Force Dehydrogenative polymerization of silanes to polysilanes by catalysts of transition-metal silyl derivatives
JP3185817B2 (ja) 1992-06-16 2001-07-11 東洋紡績株式会社 感熱記録用空洞含有ポリエステル系フィルム
JPH06191821A (ja) 1992-12-22 1994-07-12 Showa Denko Kk シリコン膜形成用の高次シラン含有溶液
US5700400A (en) 1993-06-15 1997-12-23 Nippon Oil Co., Ltd. Method for producing a semiconducting material
JP3484815B2 (ja) 1994-05-09 2004-01-06 昭和電工株式会社 薄膜トランジスタの製造方法
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
JP2000031066A (ja) 1998-07-10 2000-01-28 Sharp Corp シリコン膜の形成方法及び太陽電池の製造方法
EP2069368A4 (en) 2006-10-06 2011-06-22 Kovio Inc SILICON POLYMERS, METHODS FOR POLYMERIZING SILICON COMPOUNDS, AND METHODS FOR FORMING THIN FILMS OF SILICON POLYMERS
JP2008305974A (ja) 2007-06-07 2008-12-18 Elpida Memory Inc 酸化膜形成用塗布組成物およびそれを用いた半導体装置の製造方法
KR100946374B1 (ko) 2008-04-29 2010-03-08 삼성전기주식회사 인쇄회로기판 및 그 제조방법
EP2135844A1 (de) 2008-06-17 2009-12-23 Evonik Degussa GmbH Verfahren zur Herstellung höherer Hydridosilane
KR20110051182A (ko) 2008-07-11 2011-05-17 도꾸리쯔교세이호징 가가꾸 기쥬쯔 신꼬 기꼬 폴리실란의 제조 방법
DE102008043422B3 (de) * 2008-11-03 2010-01-07 Evonik Degussa Gmbh Verfahren zur Aufreinigung niedermolekularer Hydridosilane
JP2010206161A (ja) 2009-02-04 2010-09-16 Sony Corp 成膜方法および半導体装置の製造方法
DE102009027169A1 (de) 2009-06-24 2010-12-30 Wacker Chemie Ag Verfahren zur Herstellung von Polysilanen
DE102010025948A1 (de) 2010-07-02 2012-01-05 Spawnt Private S.À.R.L. Polysilane mittlerer Kettenlänge und Verfahren zu deren Herstellung
DE102010062984A1 (de) 2010-12-14 2012-06-14 Evonik Degussa Gmbh Verfahren zur Herstellung höherer Halogen- und Hydridosilane
US8900654B2 (en) 2011-07-29 2014-12-02 Thin Film Electronics, Inc. Methods of polymerizing silanes and cyclosilanes using N-heterocyclic carbenes, metal complexes having N-heterocyclic carbene ligands, and lanthanide compounds
KR101231370B1 (ko) 2012-06-13 2013-02-07 오씨아이머티리얼즈 주식회사 모노실란의 열분해에 의한 디실란의 제조방법 및 제조장치
DE102013010101A1 (de) * 2013-06-18 2014-12-18 Evonik Industries Ag Formulierungen umfassend Hydridosilane und Hydridosilan-Oligomere, Verfahren zu ihrer Herstellung und ihrer Verwendung
JP3185817U (ja) 2013-06-24 2013-09-05 和泉化成株式会社 額縁
TWI634073B (zh) 2013-09-05 2018-09-01 道康寧公司 2,2,4,4-四矽基五矽烷及其組成物、方法及用途
EP3061524B1 (en) 2013-10-21 2020-12-02 Mitsui Chemicals, Inc. Use of a catalyst for producing higher silane and method for producing higher silane
DE102013020518A1 (de) 2013-12-11 2015-06-11 Forschungszentrum Jülich GmbH Fachbereich Patente Verfahren und Vorrichtung zur Polymerisation einer Zusammensetzung enthaltend Hydridosilane und anschließenden Verwendung der Polymerisate zur Herstellung von siliziumhaltigen Schichten
CN107546108A (zh) 2014-10-30 2018-01-05 应用材料公司 在低温下生长薄外延膜的方法
US20170018427A1 (en) 2015-07-15 2017-01-19 Applied Materials, Inc. Method of selective epitaxy
KR101733051B1 (ko) * 2015-07-27 2017-05-08 에스케이머티리얼즈 주식회사 고차실란의 선택도 조절방법 및 이를 이용한 고차실란의 생성방법
US20190256361A1 (en) 2016-06-10 2019-08-22 Showa Denko K.K. Method for producing oligosilane
CN109923067A (zh) 2016-10-27 2019-06-21 昭和电工株式会社 低聚硅烷的制造方法和低聚硅烷的制造装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013506541A (ja) 2009-10-02 2013-02-28 エボニック デグサ ゲーエムベーハー 高度に水素化されたシランの製造方法
JP2016522140A (ja) 2013-04-24 2016-07-28 エボニック デグサ ゲーエムベーハーEvonik Degussa GmbH ポリシランの製造方法および製造装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Kim, Myoung-Hee et al.,Dehydrogenative Polymerization of Hydrosilanes to Silicon Polymers,Journal of the Chosun Natural Science,2010年06月30日,Vol. 3, No. 2,pp. 61 - 71

Also Published As

Publication number Publication date
CN112839902B (zh) 2023-12-19
KR20210057825A (ko) 2021-05-21
US11401166B2 (en) 2022-08-02
US20200115243A1 (en) 2020-04-16
JP2022501523A (ja) 2022-01-06
EP3863970A4 (en) 2022-07-06
KR102603851B1 (ko) 2023-11-17
WO2020077182A1 (en) 2020-04-16
EP3863970A1 (en) 2021-08-18
CN112839902A (zh) 2021-05-25

Similar Documents

Publication Publication Date Title
US9920077B2 (en) Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
EP3277699B1 (en) Catalyst dehydrogenative coupling of carbosilanes with amidines
JP7117461B2 (ja) 異性体富化高級シランの製造方法
US11377359B2 (en) Process for producing liquid polysilanes and isomer enriched higher silanes
US20200115238A1 (en) Process for producing isomer enriched higher silanes
US11230474B2 (en) Process for producing isomer enriched higher silanes
JP7113136B2 (ja) 液体ポリシラン及び異性体エンリッチド高級シランを製造するためのプロセス
US20200115241A1 (en) Process for producing isomer enriched higher silanes

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210331

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20210729

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20210729

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210811

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220322

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220616

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220726

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220801

R150 Certificate of patent or registration of utility model

Ref document number: 7117461

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150