JP6951903B2 - 半導体素子のための拡張領域 - Google Patents

半導体素子のための拡張領域 Download PDF

Info

Publication number
JP6951903B2
JP6951903B2 JP2017153919A JP2017153919A JP6951903B2 JP 6951903 B2 JP6951903 B2 JP 6951903B2 JP 2017153919 A JP2017153919 A JP 2017153919A JP 2017153919 A JP2017153919 A JP 2017153919A JP 6951903 B2 JP6951903 B2 JP 6951903B2
Authority
JP
Japan
Prior art keywords
region
channel
expansion
gate
nanowires
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017153919A
Other languages
English (en)
Other versions
JP2018026565A (ja
Inventor
タピリー カンダバラ
タピリー カンダバラ
スミス ジェフリー
スミス ジェフリー
モハンティ ニハール
モハンティ ニハール
デヴィリアーズ アントン
デヴィリアーズ アントン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2018026565A publication Critical patent/JP2018026565A/ja
Application granted granted Critical
Publication of JP6951903B2 publication Critical patent/JP6951903B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66015Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene
    • H01L29/66037Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66045Field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Description

関連出願についてのクロス・リファレンス
本願は2016年8月10日に出願の米国仮出願番号第62/373,164号に基づく優先権を主張するものであり、その全内容は参照により本明細書に含まれる。
この開示は、半導体デバイスへの改良全般に関する。より具体的には、本開示は、集積回路などの半導体デバイスの製造方法、及び集積回路用のトランジスタ及びトランジスタ部品に関する改良に関する。
(特に微視的スケールの)半導体デバイスの製造は、基板上に所望の半導体デバイスを形成するために繰り返し実行される、膜形成堆積、エッチングマスク作成パターニング、材料エッチング及び除去、さらに、ドーピング処理などの種々の製造プロセスを含む。歴史的には、微細加工では、トランジスタはその平面の上に形成されたワイヤリング/メタリゼーションによって、1つの平面内に形成されており、2次元(2D)回路又は2D製造として特徴付けられる。スケーリングへの努力により、2D回路の単位面積あたりのトランジスタ数が大幅に増加しており、今や、スケーリングが1桁ナノメートル半導体デバイス製造ノードに移行するにつれて、スケーリングへの努力がより大きな課題になっている。半導体デバイス製造業者は、トランジスタが互いに積層された三次元(3D)半導体デバイスに対する要望を表明している。
改良された高性能の半導体デバイスのスケーリング、及び対応する製造プロセスの必要性が依然として求められている。
本開示の一実施形態によれば、チャネルと、チャネルに結合されたソース・ドレインとを有する半導体デバイスを形成する方法が提供される。方法は、チャネル領域を取り囲むゲート構造内に、チャネル領域の端部が凹部を形成するように、チャネル領域をエッチングする、エッチングステップを含む。拡張領域は、チャネル領域と接触して形成され、凹部を少なくとも部分的に満たす。チャネル領域内に歪みが生じるように、拡張領域の拡張材料はチャネル領域のチャネル材料と異なる組成を有する。ソース・ドレイン領域は、拡張領域と接触し、前記ゲート構造に隣接する。
別の態様は、ゲートスペーサを含むゲート構造と、チャネルの端部がゲートスペーサの凹部内に陥没されるようにゲート構造を介して延在するチャネルと、を有する半導体デバイスを含む。拡張領域は凹部内でチャネルの端部と接触し、拡張領域は、チャネル内に歪みが生じるように、チャネルのチャネル材料と異なる組成を有する拡張材料で形成されている。ソース・ドレインコンタクトは、拡張領域に接触し、ゲート構造に隣接する。
さらに別の態様では、半導体デバイスは、ゲートスペーサ内の第1開口部を介する第1接続構造によって、p型ソース・ドレイン領域に結合されたp型横方向ナノワイヤを含む。n型横方向ナノワイヤは、p型ナノワイヤに関して垂直に積層されて設けられ、ゲートスペーサ内の第2開口を介する第2接続構造によって、n型ソース・ドレイン領域に結合されている。電極構造は、p型ソース・ドレインと接触しているp型電極、及びn型ソース・ドレインと接触しているn型電極を含み、n型電極が誘電体によって、p型電極から電気的に絶縁されている。第1及び第2接続構造の少なくとも1つは、ゲートスペーサのそれぞれの開口の中で、拡張ドープ領域を含む。さらに、本開示の一実施形態によれば、半導体デバイスが提供される。半導体デバイスは、凹部を含むナノワイヤと、ナノワイヤに取り囲まれナノワイヤ内の凹部へのアクセスを提供するチャネルを含むゲートスペーサと、を含む。そこで、凹部とチャネルとはシリコン・ゲルマニウムの拡張ドープを含む。凹部の深さは、ゲートスペーサの幅より少ない。
例示的な実施形態及び以下の詳細な説明の前述の一般的な説明は、本開示の教示の例示的な態様に過ぎず、限定的なものではない。
添付の図面は、本明細書に組み込まれ、明細書の一部を構成し、1つ以上の実施形態を示し、説明と共に、これらの実施形態を説明する。添付の図面は、必ずしも縮尺通りに描かれていない。添付の図に示されている値の寸法は、説明目的のみのためのものであり、実際の値又は好ましい値又は寸法を表す場合もあれば、そうでない場合もある。該当する場合、基礎となる機能の説明を補助するために、一部又はすべての機能を図示していない場合がある。図面の説明は以下の通りである:
本開示の特定の態様による拡張領域を形成するための製造工程のフローチャートである。 本開示の特定の態様による半導体デバイスの断面を示す図である。 本開示の特定の態様による拡張ドーピングのための製造工程のフローチャートである。 本開示の特定の態様による図1のデバイス製造のための開始構造の実施例の断面を示す図である。 本開示の特定の態様による図4Aの実施例構造の三次元表現である。 本開示の特定の態様による図3の製造工程の第1ステップ完了後の半導体の断面を示す図である。 本開示の特定の態様による図3の製造工程の第2ステップ完了後の半導体の断面を示す図である。 本開示の特定の態様による図3の製造工程の第3ステップの完了後の半導体の断面を示す図である。 本開示の特定の態様による図3の製造工程の第4ステップの完了後の半導体の断面を示す図である。 本開示の特定の態様による図3の製造工程の第5ステップの完了後の半導体の断面を示す図である。 本開示の特定の態様による図3の製造工程の第6ステップの完了後の半導体の断面を示す図である。 本開示の特定の態様による図3の製造工程の第7ステップの完了後の半導体の断面を示す図である。 本開示の特定の態様による図3の製造工程の第8ステップの完了後の半導体の断面を示す図である。 本開示の特定の態様による第2半導体デバイスの断面を示す図である。
添付の図面に関連して以下に述べる説明は、開示された内容の各種実施形態の説明を意図しており、必ずしも唯一の実施形態を表すことを目的とするというわけではない。特定の例において、開示された実施形態を理解するために、説明は、具体的な詳細を含む。しかしながら、開示された実施形態がそれらの具体的な詳細なしで実施されることができることは、当業者にとって明らかである。いくつかの例では、周知の構造及びコンポーネントはブロック図の形で示されて、開示された内容の概念をわかりにくくすることを回避できる。
本明細書を通じて、「一実施形態」又は「実施形態」は、実施形態に関連して説明される特定の特徴、構造、又は特性は、開示される主題の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書の様々な箇所における「一実施形態では」又は「実施形態で」という表現の出現は、必ずしも同じ実施形態を指しているとは限らない。さらに、特定の特徴、構造又は特性は、1つ又は複数の実施形態において、任意の適切な方法で組み合わせることができる。さらに、開示される主題の実施形態は、その変形及び変更を含むことを意図する。
単数形「1つ(”a”、”an”)」及び「その又は前記(the)」は、文脈がそうでないことを明示的に指示しない限り、複数の指示対象を含むことに留意されたい。すなわち、特に明記しない限り、本明細書で使用する「1つ」、「その」、「前記」などの語は、「1つ以上」のような意味を有する。さらに、使用され得る「上」、「側」、「高さ」、「幅」、「上」、「下」、「内」、「内」などの用語は、参照のポイントを単に記載するだけであり、必ずしも本開示の実施形態を任意の特定の向き又は構成に限定するものではない。さらに、「第1」、「第2」、「第3」などの用語は、本明細書に開示されているような多くの部分、構成要素、ステップ、操作、機能、及び/又は参照点の1つを特定するだけであり、同様に 必ずしも本開示の実施形態を任意の特定の構成又は方向に限定するものではない。
さらに、用語「約」、「略」、「わずか」及び類似の用語は、特定の実施形態では20%、10%又は好ましくは5%のマージン内の識別された値及びそれらの間の任意の値を含む範囲を指す。
本明細書で使用する「基板」又は「ターゲット基板」という用語は、一般に、本開示に従って処理される物体を指す。基板は、デバイスの、特に半導体又は他のエレクトロニクスデバイスの、いかなる材料部分又は構造をも含むことができ、例えば、半導体ウェハ、レチクルのようなベース基板構造であるか、又は、ベース基板構造上の若しくはベース基板構造を覆う薄膜のような層でありうる。したがって、基板は、パターニングされた又はパターニングされていない、任意の特定のベース構造、下地層又はオーバーレイ層に限定されず、任意のそのような層又はベース構造、及び、層及び/又はベース構造の任意の組み合わせを含む。説明は、特定のタイプの基板を参照できるが、説明の目的のみである。
本明細書における技術は、ゲート及びソース・ドレイン(S/D)領域を有する半導体デバイス用の拡張領域を生成するための集積化及びハードウェア方法を含む。図1は、本願明細書における実施態様による拡張領域を有する半導体デバイスを形成するための製造工程の一実施例のフローチャートである。プロセスは、S/D領域の形成に先立ち、内部にチャネル領域を有するゲート構造を含む中間デバイス構造から始まる。方法100は、S101において、チャネル領域の端部をゲート構造内への凹部形成によって、凹部を形成することにより開始される。凹部は、ゲート構造内に拡張領域を形成するためのスペースを提供するのに十分な深さであることが好ましいが、ゲート構造材料(例えば、置換ゲート材料)のソース・ドレイン領域処理への曝露を避けるのに、また、ソース・ドレイン領域材料及び/又は拡張領域材料のゲート処理(例ば、置換ゲートの除去)への曝露を避けるのに十分浅いことが好ましい。凹部は、以下の特定の例に関連してさらに説明するように、チャネル材料のエッチングによって、形成できる。
S103に示すように、凹部がデバイスのゲート構造内に形成されると、凹部内に少なくとも部分的に拡張領域が形成される。一つの実施例において、ステップS101により形成される凹部において、露出するチャンネルの端部材料から、拡張領域はエピタキシャル成長により形成されることができる。これに関して、凹部は、エピタキシャル成長のためのトンネルのような「ガイド」構造を提供して、最終的な拡張領域が凹部の形状(例えば、除去されたチャネル材料の形状)と適合するようにすることができる。拡張領域はチャネル材料と異なる材料組成物を有し、半導体デバイスの性能を強化するためのチャネル領域内で歪みを引き起こす。例えば、チャネル領域がシリコン(Si)である場合、拡張領域は(SiGe)とすることができ、その逆も同様であり、SiGeチャネル及びSi拡張を有することもできる。拡張材料は、半導体素子の動作特性に影響を及ぼすために強化又は濃縮(enriched)されることができ及び/又はドーピングされることができる。例えば、拡張材料がSiGeである場合、ゲルマニウムの相対量を増加させるエンリッチメントプロセスに曝され得る。半導体ドーパント、又はカーボン若しくは他の中性種のような他の材料等の添加物を、デバイス特性に影響を及ぼすために拡張領域に供給できる。そのような材料は、エピタキシャル成長、拡散又は他の半導体プロセスによって、その場で(in-situ)添加できる。一実施形態において、コンタクト金属仕事関数をソース及びドレインへシフトするために、B、Ga、ZnO、TiOのような材料が用いられることが可能である。
ステップS105に見られるように、チャネルの端部に拡張領域が形成されると、ゲート構造に隣接するデバイスのS/D領域内にドープされたS/Dコンタクトが形成される。従って、拡張領域は、S/Dコンタクトとチャネルの端部の間に位置し、その結果、拡張領域は、ゲートスペーサのようなゲート構造の一部を介してチャネルをS/Dコンタクトに接続する。種々のドーパント材料をS/Dコンタクト材料(及び拡張材料)に使用できる。例えば、S/Dコンタクトは、pチャネルデバイスの場合はボロンでドープされ、nチャネルデバイスの場合はリンでドープされる。これらのドーパントは、S/Dコンタクトの形成及び/又はイオン注入のような後続の処理によって、その場で提供できる。S/Dコンタクトから拡張領域へドーパントを拡散するため及び/又は形成され拡張領域内に存在するドーパントの拡散プロファイルをさらに調整するために、スパイクアニーリングプロセスを任意に実行できる。アニーリングプロセスは、ドーパントを元のチャネル材料に拡散させることもできる。
S/Dコンタクトが形成されると、以下でさらに説明するように、置換ゲートへの開口形成(opening)、チャネル材料のリリース、チャネルのゲートメタリゼーション、S/Dメタリゼーションなどの従来の処理を行うことができる。
拡張領域を提供するための本明細書の技術は、ナノワイヤ電界効果トランジスタ(FET)及び/又は積層相補型FETデバイス内にナノワイヤ又はナノシートを組み込んだゲートオールアラウンド処理を使用するデバイス製造に関する。ゲートオールアラウンド(GAA)は、金属ゲートがシリコン又はシリコン/ゲルマニウムナノワイヤの周りを物理的に包むFETデバイスを特定する。GAAは、ゲートがシリコン又はシリコン/ゲルマニウムフィンに巻き付けられたトリゲートプロセスのさらなる拡張である。フィンFETでは、ゲートは4つの側面のうちの3つを包み、GAA FETデバイスでは、ゲートは(与えられたチャネルが長方形又は円形の断面を有するかどうかにかかわらず)与えられたチャネルのすべての側面を包む。ナノワイヤFETはGAA FETの一種である。
ナノワイヤFETデバイスでは、電流はナノワイヤ又はナノシートチャネルを介してS/Dコンタクトを経由して供給され、ドープされた半導体材料(例えば、SiGe又はSi)は、デバイスの上部相互接続及び/又はメタリゼーション層に接続された金属S/D電極を経由してチャージされる。エピタキシャル成長により形成されたドーピングされた半導体材料は、例えば、FETを通る駆動電流を増大させるための歪み(straining)のための機構をさらに提供できる。本発明者らは、例えば、デバイスの性能又は設計仕様を満たすために、ナノワイヤ又はナノシート半導体デバイス内にS/Dエピタキシャル拡張部を生成できることを認識した。本開示によれば、そのような拡張部は、例えば、駆動電流を増大させるために、ナノワイヤチャネルの端部での歪みを増加させることができる。
図2は、本開示の実施態様による拡張領域を有するマルチチャネルFETデバイスの横断面を示す図である。デバイス200は、ゲート構造と、ゲート構造に結合されナノワイヤFETデバイスを形成するソース・ドレイン領域とを含む。図示の実施形態では、ゲート構造は、デバイス200(すなわち、マルチチャネルデバイス)のための電流チャネルとして機能するナノワイヤ110を含む。ゲート構造はまた、金属充填部160と、仕事関数材料(WFM)170と、ナノワイヤ110を取り囲む高k誘電材料180と、これらの材料を覆うゲートキャップ125とを含む。図1の実施態様において、ゲートスペーサ120もゲート構造の一部を形成すると考えられ、ナノワイヤ110の相対向する端部領域の周りを包む。
デバイス200のS/D領域は、ゲートスペーサ120に隣接するゲート構造の両側に形成されたS/Dコンタクト112a及び112bを含む。S/Dコンタクト112a及び112bは、S/D領域内のS/D電極(S/Dバーとも呼ばれる)を形成するS/Dメタル130によって、取り囲まれている。図1では、S/Dコンタクト112a及び112bは互いに接続又は結合され、金属130はS/Dコンタクト112a及び112bの両方に対して単一のS/D電極を提供する。代替的に又は付加的に、いくつかの実施形態では、S/D領域112a及び112bは分離され、図5に関して述べられるように、各ナノワイヤ110のための別個のコンタクトを提供できる。エッチストップ層(ESL)140及びシャロートレンチアイソレーション(STI)酸化物膜150も、デバイス100に示されている。
構造200はまた、ナノワイヤ110の端部でゲートスペーサ120のノッチ(又は凹部)内から生じるナノワイヤ拡張領域111a、111bを含む。したがって、図2の実施形態では、拡張領域111a及び111bは、ナノワイヤ110を、ゲートスペーサ120の開口部を介してS/Dコンタクト112a及び112bに接続する。拡張領域111a及び111bは、デバイス性能を改善するために、ドープされることができ及び/又はナノワイヤ110の端部に増大した歪みを提供できる。拡張領域111a及び111bは、S/Dコンタクトの材料と異なる組成を有する拡張材料で形成されている。
図3は、図2の構造200を有する特定のデバイスを製造する例示的プロセスのフローチャートである。図4A乃至4Jは、図3のプロセス中の種々のステージにおける構造を示す図である。周知のように、ナノワイヤ又はナノシートは、Si及びSiGeなどの交互半導体材料の「フィン」構造から形成できる。シリコンナノワイヤの形成は、フィン内のSiGeの等方性エッチングと、ゲート構造の両端のシリコンワイヤの端部で終端するゲートスペーサ材料の形成とによって、達成できる。同様に、SiGeナノワイヤは、SiGeに対してフィン内のSiを選択的にエッチングすることによって、形成できる。本明細書の技術は、Si及びSiGeナノワイヤ又はナノシート、並びに他の同様の半導体構造に適用可能である。本明細書の実施形態を説明する上での便宜のために、図3及び4A乃至4Jは、シリコンナノワイヤが製造されるプロセスを対象とする。したがって、図3及び4A乃至4Jは半導体デバイスのシリコンナノワイヤのための拡張領域を生成するための集積化及びハードウェア方法を開示している。
図3の方法300は、図4Aに示された例示的な構造400Aのような半導体構造から始めることができる。構造400Aは、デバイス処理の中間段階のゲート構造を示している。構造400Aは、ポリシリコン材料417と同様に、フィン構造のSiナノワイヤ410及びSiGe領域415を含む。SiGe415及びポリシリコン417は、プロセス中の後にナノワイヤ410をリリースするために除去される犠牲層である。SiGe領域415は、約20%のゲルマニウム(Ge)を有することができるが、他の化学量論組成が用いられてもよい。構造400Aにおいて、ナノワイヤ410を含むフィン構造は、SiOなどのライナー418により保護され、ポリシリコンは、キャップ層425により保護される。構造400Aは、「置換ゲート」と呼ぶことができる。
構造400Aはまた、置換ゲートの相対向する側でナノワイヤ410の端部領域の周りを取り囲むゲートスペーサ420を含む。ゲートスペーサ420は、ゲート領域を端部デバイスのS / D領域から電気的に絶縁する。ESL(エッチストップ層)440及びSTI(シリコントレンチアイソレーション)酸化物450も示されている。
構造400Aの断面の3次元(3D)描写が図4Bに示されている。図4Bにおいて、Si/SiGeフィンがポリシリコン417の置換ゲートを通り、ナノワイヤ410がゲートスペーサ420を通って延在することがより明白である。
図3に戻ると、プロセスのステップS301で、Siナノワイヤ410は、凹部を形成するためにゲートスペーサ420内で選択的にエッチングされる。図4CにステップS301の結果の一例を示す。図示のように、例えば、典型的には30乃至100オングストロームの厚さ又は幅WGを有するゲートスペーサ420内で、凹部410a及び410bは深さDrを有する。ナノワイヤ410の材料は、ゲートスペーサ420の幅WG全体にわたって除去されない。凹部の深さDrは、数オングストロームから、ゲートスペーサ420の厚さ又は幅WG未満の任意の深さに及ぶことができる。深さDrは、形成されるべき拡張領域のためのゲートスペーサ420内の領域を提供するのに十分であるべきであるが、ゲートスペーサ420を通る凹部410a及び410bの突き抜けを防止するように制限されるべきである。完全な材料除去(すなわち、ゲートスペーサ420の幅を越える)は、フィン内のSiGe領域415をS/D領域の下流の処理(例えばエッチング)に曝し、同様にS/D材料をゲート処理に曝すことがあり、望ましくない場合がある。したがって、いくつかの実施形態では、目標凹部深さDrは、基板の下流処理ステップのプロセス制御リミット及び製造ばらつきを考慮して、突き抜けが発生しないことを確実にするように設定されるべきである。
いくつかの実施形態では、ある程度のSiGeが凹部形成されたワイヤの端部から成長できるように、及び、シリコン凹部エッチングによって、ゲートスペーサ内に形成されたトンネルがワイヤの端部からの一定のワイヤ形状のエピタキシャル成長を維持するのを助けることができるように、凹部深さDrが制御されている。いくつかの実施形態では、最大深さは、低kゲートスペーサの厚さの関数であり、ゲートスペーサの厚さに比例する。凹部が低kスペーサーを完全に貫通してはならないことに留意すべきである。いくつかの例では、低kスペーサーは、技術に応じて幅40Aから80Aのいずれかでありえ、凹部の深さは、10Aから20A、又は低kスペーサーの厚さのおよそ20から25%であり得る。
凹部410a及び410bの特定の深さDrは、原子層エッチング(ALE)、準ALE、又は選択的気相エッチングによって、制御できる。3つのプロセスはいずれもシリコン・ゲルマニウムに対するシリコンの優れた選択性を有するだけでなく、Siに対するSiGeの逆の選択性を有する。さらに、選択性は、ゲートスペーサ材料(例えば、低k値を有する材料)と関連できる。本開示のいくつかの例では、低kゲートスペーサについて、例えばSiGeワイヤ又はGeワイヤを有するPMOS及びSiワイヤを有するNMOSにおいて、SiとSiGeとの間の選択性が望ましい場合がある。凹部410a及び410bを形成するために他の方法を使用してもよい。一実施例では、凹部エッチングは、例えば東京エレクトロン株式会社製のCERTASツールを用いて、等方性蒸気相エッチングプロセスによって、達成できる。CERTASツールエッチングは、例えば、シリコンワイヤとゲートスペーサ材料との間で100:1を超える選択性を達成できる。代替的に、オングストロームスケールの寸法でシリコンワイヤに選択的に凹部形成するために、原子層エッチング(ALE)又は準原子層エッチング(準ALE)を介して凹部エッチングを行ってもよい。
図3のステップS303において、露出した凹部内のシリコンナノワイヤ410上にSiGeのエピタキシャル成長を行い、拡張領域411a、411b(以下、拡張部411と称する。)を形成する。一実施形態では、図4Dに示すように、拡張ドーピング411は、凹状形成されたナノワイヤ410からS/D領域内へと延在する、典型的なS/Dエピタキシャル成長として成長させることができる。しかしながら、拡張領域411の成長は、凹部内に限定されてもよい。
S301で形成された凹部410a、410bは、SiGe415又はポリシリコン417のいずれもゲート構造から(ゲートスペーサ420の内側に)露出させないので、拡張領域におけるSiGeのエピタキシャル成長は、ナノワイヤの端部410からのみ生じ、SiGe415などのゲート構造材料からは生じない。p型ワイヤ(すなわちp型チャネル)又はn型ワイヤ(すなわちn型チャネル)デバイスに基づいて、エピタキシャルSiGe又はエピタキシャルSiのいずれかを成長させることができる。図3及び4A乃至4Jの実施例において、拡張領域411は、SiGeエピタキシーである。これはSiナノワイヤ410との格子不整合をもたらし、ナノワイヤチャネル内にデバイス特性に影響を及ぼす歪みを引き起こす。
いくつかの実施態様では、拡張領域411は、ドーパント材料でドープすることができる。様々なドーパント材料を、製造されるデバイスのタイプに応じて使用できる。ドーピングは、拡張領域411のエピタキシャル成長中に、又は拡張領域の成長後の後続の処理によって、その場で行うことができる。図3及び図4A乃至4Jの例示的なプロセスでは、SiGe拡張領域411は、Siナノワイヤ410の端部からのSiGe:Bのエピタキシャル成長によって、その場でホウ素によってドープされる。SiGe:Bの組成は、20%Ge(フィン内のSiGe415のGe含有量に一致する)から100%の範囲内のいずれでもあり得る。いくつかの実施形態では、好ましくは、20%から50%のGeを有する拡張領域を出発点として使用し、より高いGe%からあるいは純粋な(100%)Geを得るようにさらに濃縮又は強化できる。いくつかの実施形態では、Ge%<50%について欠陥のより少ないエピタキシャル成長が観察され得る。エピタキシャル成長による比較的高いGe%は、SiとGeとの間の格子不整合を克服するために添加されるバッファ層/勾配層によって、達成できる。
図3のステップS305において、ゲートスペーサ420(又は凹部410)の外側のエピタキシャル成長は除去される。図4EにステップS305の結果の一例を示す。エピタキシャルSiGe:B材料は、材料がナノワイヤ410の端部とゲートスペーサ420の外縁との間の領域にほぼ残るようにエッチングすることができる。したがって、図4Eに示されるように、ドーピングされた拡張領域411xは、凹部410内に保存される。SiGe:Bの除去は、気相エッチングにより行うことができ、SiとSiGeとの間の(ゲートスペーサ材料420に対する)選択性は、100:1を超える比を、東京エレクトロン株式会社製のCERTASシステムを使用して達成できるか、又は、ゲートキャップ425及びゲートスペーサ420がエッチングされることができる拡張領域411の材料の部分と残存できる部分とを画定するストレートダウン異方性エッチングを使用して達成できる。
過剰なエピタキシャル成長(例えば、ゲートスペーサ420の外側)が除去されると、図3のステップS307において、凹部410a及び410b内の残りの材料を強化できる。図4Fに示すように、ドーピングされた拡張領域411xは、強化されドーピングされた拡張領域411xrになる。記載された例では、ドープされた拡張領域411xのSiGe:Bは、強化されドープされた拡張領域411xrがエピタキシャル成長されたSiGe:Bに対してより大きい量のGeを有するSiGe:Bを提供するように、ゲルマニウムで強化される。一つの実施例において、エピタキシャル成長したSiGe:Bは、20乃至70%のGeを含み、強化されたSiGe:Bは、幾分増加したGe含有量のパーセンテージを提供する。いくつかの実施例において、エピタキシャルSiGeは、Si(80%)Ge(20%)からSi(50%)Ge(50%)まで範囲であり得る。
ステップS307の強化プロセス(enrichment process)は、例えば、プラズマ凝縮処理であってもよく、例えば、東京エレクトロン(株)製のSPA表面波プラズマ装置により行うことができる。SPAプロセスは、SiGeの場合には、Siを除去し、ナノワイヤ410の界面でよりゲルマニウムに富む材料を残す。他の強化プロセスが、用いられることもできる。拡張領域411内のより高濃度のゲルマニウムは、拡張領域から再成長されるナノワイヤ410とS/Dコンタクトとの間の界面における増加したドーピング濃度を提供するだけでなく、例えばS/Dコンタクトからナノワイヤを通るより良好な駆動電流を可能にする追加の歪みを提供する。ナノワイヤ410がゲートスペーサ420を介して完全に嵌め込まれていないので、SPA処理は、置換ゲート420内の材料をリリースする前に、置換ゲート420内のSi又はSiGeに影響を与えない。
拡張領域411がナノワイヤ410の端部に形成されると、S/Dコンタクトを形成するために、ドープされた半導体材料のエピタキシャル成長を行うことができる。したがって、拡張領域411は、ナノワイヤ410の端部とS/Dコンタクト412との間に位置する。説明した実施形態では、ステップ309において、S/Dコンタクト412が、効果的にS/Dコンタクトのドーピング拡張領域となる濃縮拡張領域411xrからエピタキシャル成長される。これらのS/Dコンタクトは、拡張領域411xrのものと一致するゲルマニウム含有量を有することができる。したがって、S/DコンタクトSiGe:Bは、20%〜70%のGeであってもよい。
S/Dコンタクト412を形成した後、任意にスパイクアニール処理を行うことができる。S/Dスパイクアニールプロセスは、S / Dコンタクト412から拡張領域411xr及びシリコンワイヤ410の端部にボロンドーパントをドライブするために行うことができる。ステップS309の結果の一例が、図4Gに図示される。
S/ コンタクト412が完成すると、従来のナノワイヤ又はナノシート処理を行うことができる。具体的には、スパイクアニール後、S311に示すように、ゲートキャップ及びポリシリコンを除去できる。置換ゲート上のゲートキャップ425は、選択的エッチングプロセスにより開口されることができ、ポリシリコン417は、湿式プロセス又は気相エッチングプロセスのいずれかによって、等方的に除去できる。結果は、図4Hに示される。Si/SiGeフィン(すなわちSiナノワイヤ410及びSiGe領域415)は、置換ゲートモジュールを形成する前に、フィンの上に堆積された選択的なライナー418によって、このポリシリコン除去エッチングプロセスから保護される。S/Dコンタクト412内のドープされたSiGeは、ゲートキャップの除去の前にゲートキャップ425と同じ高さまで磨き落されることができる流動可能な酸化物(ここには図示せず)のような選択材料をS/D領域に充填することによって、エッチングから保護される。
図3のステップS313において、Siナノワイヤ410は、図4Iの構造を提供するためにリリースされる。より詳しくは、ポリシリコン417が置換ゲート420内でから除去されたあと、置換ゲート内でSi/SiGeフィン(すなわちSiナノワイヤ410及びSiGe415)を保護しているライナー418を、除去できる。S/Dコンタクト415のドーピングされたSiGe材料は、流動可能なSiOのような非選択的膜内に埋め込まれることによって、再び保護される。SiGe領域415は、純粋に等方性な、リリースされるべきSiナノワイヤ410に対して100:1を超える選択性を有する気相エッチングを介して除去されることができる。そのような選択的なエッチングプロセスは、例えば、東京エレクトロンのCERTASシステムによって、容易にされる。図4Iに見られるように、置換ゲート420内のSiGeが除去されると、物理的にゲートスペーサ420の内部に残っているものは、ゲートモジュール生成プロセスの初期段階でナノワイヤ410の周りを包んでいたゲートスペーサ420によって、ゲートの両端に支持されたナノワイヤ410である。
ステップS315において、高k材料、仕事関数材料、及び金属充填部材料を、ナノワイヤ410の周囲及びゲートスペーサ420の内部に堆積させることができ、一般にゲートオールアラウンド(GAA)と呼ばれるものを形成することができ、ゲートスペーサ420の終端にあるナノワイヤ410の端部において、ドーピング拡張部411が維持される。ステップS315の結果の一例は、図4Jに図示される。図4Jにおいて、ゲートスペーサ420内の高k部480、仕事関数金属部470及び金属充填部460は、凹部に設けられることができ、誘電体キャップ425で覆われることができる。その後、S/D領域(SiOはこの画像には示されていない)からSiOを除去するために自己整合コンタクト(SAC)エッチングを実施することができ、次いで金属S/D電極を形成するためにS/Dバーを金属で充填できる。結果の一例は、前に述べられる図2に図示される。
拡張領域は、図2の多チャネル・ナノワイヤFETデバイス以外のGAAデバイスにおいて、用いられることもできる。GAA又はナノワイヤFETの利点の1つは、デバイスを相補的にできることであり、nFET及びpFET(n型FET材料及びp型FET材料)ワイヤは、論理デバイスの重要な面積スケーリングを提供するように、互いの上に積層されることができる。本発明者らは、拡張ドーピングを見出し、図2に示すようなマルチチャネルFETデバイスと比較して、ソース/ドレインコンタクトサイズの減少を補償するために拡張ドーピングを使用でき、S/Depiを成長させることができ、上部及び下部ワイヤの間に物理的に接触できることを見出した。相補型FETの適用のために、上部及び下部ワイヤは、nFET又はpFETに個別に対応し、誘電体膜によって、S/Dバー領域内で分離され、共通ゲート又は変更された積層PMOS/NMOSゲートのいずれかを通過する。相補型FETデバイス製造プロセスにおけるフィンのアスペクト比のサイズ要件が与えられると、相補型デバイスのS/Dコンタクトサイズは、各チャネルを個別に分離する必要があるため、従来のマルチチャネルデバイスに比べて実質的に小さくなり得る。 本発明者らは、このようなS/D接触面積の減少を補償するために、拡張領域を見出し、拡張領域がデバイス性能を改善できることを見出した。
図5は、開示の実施態様による拡張領域を有する積層ナノワイヤ相補型FETデバイスの横断面を示す図である。相補型デバイス500は、下部デバイスとしてのナノワイヤpFETデバイス上に設けられた上部デバイスとしてのナノワイヤnFETデバイスを含む。図示のように、デバイス500は、相補型ナノワイヤFETデバイスを形成するためにゲート構造及びS/D領域を含む。図示の実施形態では、ゲート構造は、ナノワイヤ210及び210’、金属充填材260、仕事関数材料(WFM)270、及びナノワイヤ210,210’を取り囲む高k誘電材料280、並びにこれらの材料を覆うゲートキャップ225を含む。ゲートスペーサ220はまた、ゲート構造の一部を形成すると考えられ、ナノワイヤ210及び210’の対向する領域の周りを包む。デバイス500には、エッチストップ層(ESL)240及びシャロートレンチアイソレーション(STI)酸化物コーティング250も示されている。
デバイス500のS/D領域は、ゲートスペーサ220に隣接するゲート構造の両側に形成されたS/Dコンタクト212a及び212bを含む。S/Dコンタクト212a、212bは、それぞれS/D領域にS/D電極235及び230を形成するS/Dメタルで囲まれている。nFETワイヤ210は上部S/Dメタル235(上部金属電極とも呼ばれる)に結合され、pFETワイヤ210’は下部S/Dメタル230(下部金属電極とも呼ばれる)に結合される。上部金属及び下部金属は、互いにより高くそびえるように(overtop)積層され、誘電体233により分離され、nFET及びpFETは独立したデバイスである。すなわち、図5に示すように、S/Dコンタクト212a及び212bは、各ナノワイヤ210,210’に対して別個のコンタクトを提供するように分離されており、図2のマルチチャネルデバイスと比較してより小さい電極接触面積という結果を生じることができる。
ナノワイヤ拡張領域211a、211bは、ナノワイヤ210の端部におけるゲートスペーサ220内のノッチ(又は、凹部)内部から始まる。したがって、図5の実施形態では、拡張領域211a及び211bは、ナノワイヤ210及び210’をゲートスペーサ220のそれぞれの開口を通じてS / Dコンタクト212a、212bに接続する。拡張領域211a及び211bは、ナノワイヤ210,210’の端部で増加した歪みを提供することができ、低減された電極接触面積を補償し得る改善されたデバイス性能を提供できる。例えば、拡張領域は、図3及び図4A乃至4Jに関して上述したように、ナノワイヤチャネル領域に歪みを与えるために、ドーピング材料を強化及び/又はドープすることができる。強化及びドープ材料は、通常、デバイスの導電型に依存する。
上記の説明において、本明細書に記載された異なるステップの説明の順序は、明瞭にするために提示されている。一般に、これらのステップは、任意の適切な順序で実行できる。さらに、本明細書における異なる特徴、技術、構成などの各々は、本開示の異なる場所で論じることができるが、それぞれの概念を互いに独立して、又は互いに組み合わせて実行することが意図される。したがって、本開示は多くの異なる方法で具体化及び見られることが可能である。
特定の実施形態について説明してきたが、これらの実施形態は単なる一例として提示されたものであり、本開示の範囲を限定するものではない。実際、本明細書に記載の新規の方法、装置及びシステムは、様々な他の形態で実施できる。さらに、本開示の趣旨から逸脱することなく、本明細書に記載の方法、装置及びシステムの形態における様々な省略、置換及び変更を行うことができる。添付の特許請求の範囲及びそれらの等価物は、本開示の範囲及び精神に含まれるようなそのような形態又は改変を包含するように意図されている。例えば、この技術は、ネットワークを介して複数の装置間で1つの機能を共用して処理するクラウドコンピューティングとして構成できる。

Claims (20)

  1. チャネル及び該チャネルと結合されたソース・ドレインを有する半導体素子を形成する方法であって、
    チャネル領域をエッチングするステップであって、前記チャネル領域の端部が前記チャネル領域を取り囲むゲート構造内に凹部を形成するようにする、エッチングステップと、
    前記チャネル領域と接触し、前記凹部を少なくとも部分的に充填する拡張領域を形成するステップであって、前記拡張領域の拡張材料は、前記チャネル領域に歪みが生じるように、前記チャネル領域のチャネル材料とは異なる組成を有する、拡張領域形成ステップと、
    前記拡張領域と接触し、前記ゲート構造に隣接するソース・ドレイン領域を形成するソース・ドレイン領域形成ステップと、
    を含む、方法。
  2. 前記チャネル領域はナノワイヤを含み、
    前記ゲート構造は、前記ナノワイヤの端部を取り囲むゲートスペーサを含み、
    前記エッチングステップは、前記ゲートスペーサ内に凹部を形成するために前記ナノワイヤの端部を選択的にエッチングするステップを含む
    請求項1記載の方法。
  3. 前記ナノワイヤを前記選択的にエッチングするステップは、前記ゲートスペーサの厚さより少ない深さまで前記ナノワイヤをエッチングするステップを含む
    請求項2記載の方法。
  4. 前記拡張領域を形成するステップは、ナノワイヤ材料から前記拡張材料をエピタキシャル成長させるステップを含む
    請求項1記載の方法。
  5. 前記拡張領域を形成するステップは、前記拡張材料をドーピングするステップを含む。
    請求項4記載の方法。
  6. 前記拡張材料をドーピングするステップは、前記拡張材料のエピタキシャル成長の間に、その場ドーピングするステップを含む
    請求項5記載の方法。
  7. 前記拡張材料をドーピングするステップは、前記ソース・ドレインのコンタクトから前記拡張領域内へドーパントを拡散させるようにアニーリングするステップを含む
    請求項5記載の方法。
  8. 前記拡張領域形成ステップは、
    前記拡張材料が、前記凹部の外側から前記ゲート構造の表面を超える、付加的な拡張材料を含む、前記拡張材料をエピタキシャル成長させるステップと、
    拡張ドーピング材料が前記凹部を充填し、前記ゲート構造の表面と実質的に同一平面になるように、前記付加的な拡張材料を除去するステップと、
    を含む、請求項4記載の方法。
  9. 前記拡張領域形成ステップは、前記拡張材料を強化するステップを含む
    請求項1記載の方法。
  10. 前記ソース・ドレイン領域形成ステップは、前記の強化された拡張ドーピング材料からソース・ドレイン材料をエピタキシャル成長させるステップを含む
    請求項9記載の方法。
  11. 半導体装置であって、
    ゲートスペーサを含むゲート構造と、
    前記ゲート構造を介して延在するチャネルであって、前記チャネルの端部が前記ゲート構造内の凹部に凹状形成された、チャネルと、
    前記凹部内で前記チャネルの前記端部と接触する拡張領域であって、該拡張領域は、前記チャネルに歪みが生じるように、前記チャネルのチャネル材料と異なる組成を有する拡張材料で形成されている、拡張領域と、
    前記拡張領域に接触し、前記ゲート構造に隣接する、ソース・ドレインコンタクトと、
    を含む、半導体装置。
  12. 前記チャネルはナノワイヤを含み、該ナノワイヤの端部には、前記ゲートスペーサの厚さより薄い深さで凹状形成されている、
    請求項11記載の半導体装置。
  13. 前記ゲートスペーサは、30〜100オングストロームの厚さを有する、
    請求項12記載の半導体装置。
  14. 前記ナノワイヤはシリコンを含む
    請求項13記載の半導体装置。
  15. 前記拡張領域はSiGeを含む
    請求項13記載の半導体装置。
  16. 前記拡張領域はGeで強化されている、
    請求項15記載の半導体装置。
  17. 前記拡張領域は、20%から70%の範囲のゲルマニウムを含む強化されたSiGeを含む
    請求項16記載の半導体装置。
  18. ゲートスペーサ内の第1開口部を介して第1接続構造によって、p型ソース・ドレイン領域に結合された横方向のp型ナノワイヤと、
    前記p型ナノワイヤに関して垂直に積層されて設けられ、前記ゲートスペーサ内の第2開口部を介する第2接続構造によって、n型ソース・ドレイン領域に結合された、横方向のn型ナノワイヤと、
    前記p型ソース・ドレイン領域を備えるp型電極及び前記n型ソース・ドレイン領域を備えるn型電極を含む電極構造であって、前記n型電極は、誘電体によって、前記p型電極から電気的に絶縁されており、前記第1接続構造及び第2接続構造のうちの少なくとも1つの接続構造は、前記ゲートスペーサの各開口内に拡張ドープ領域を含み、前記拡張ドープ領域はチャネル領域に歪みを与える材料で形成されており、前記チャネル領域は前記p型ナノワイヤ及びn型ナノワイヤを含む、電極構造と、
    を有する半導体装置。
  19. 前記第1接続構造は、強化されたSiGeを含む
    請求項18記載の半導体装置。
  20. 前記強化されたSiGeは20%から70%のゲルマニウムを含む
    請求項19記載の半導体装置。
JP2017153919A 2016-08-10 2017-08-09 半導体素子のための拡張領域 Active JP6951903B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662373164P 2016-08-10 2016-08-10
US62/373,164 2016-08-10

Publications (2)

Publication Number Publication Date
JP2018026565A JP2018026565A (ja) 2018-02-15
JP6951903B2 true JP6951903B2 (ja) 2021-10-20

Family

ID=61159344

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017153919A Active JP6951903B2 (ja) 2016-08-10 2017-08-09 半導体素子のための拡張領域

Country Status (4)

Country Link
US (2) US10529830B2 (ja)
JP (1) JP6951903B2 (ja)
KR (1) KR102457881B1 (ja)
TW (1) TWI739879B (ja)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9653289B1 (en) 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages
US10833193B2 (en) 2016-09-30 2020-11-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device, method of manufacturing the same and electronic device including the device
CN106298778A (zh) 2016-09-30 2017-01-04 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US11056592B2 (en) 2017-06-30 2021-07-06 Intel Corporation Silicon substrate modification to enable formation of thin, relaxed, germanium-based layer
CN109904074B (zh) * 2017-12-11 2022-04-08 中芯国际集成电路制造(北京)有限公司 全包围栅场效应晶体管及其制造方法
US10439049B2 (en) * 2017-12-19 2019-10-08 International Business Machines Corporation Nanosheet device with close source drain proximity
US10896956B2 (en) * 2017-12-22 2021-01-19 Commissariat A L'energie Atomique Et Aux Energies Alternatives Field effect transistor with reduced contact resistance
US10304833B1 (en) * 2018-02-19 2019-05-28 Globalfoundries Inc. Method of forming complementary nano-sheet/wire transistor devices with same depth contacts
CN111699550B (zh) * 2018-03-19 2023-05-09 东京毅力科创株式会社 三维器件及其形成方法
US11062959B2 (en) * 2018-03-19 2021-07-13 International Business Machines Corporation Inner spacer and junction formation for integrating extended-gate and standard-gate nanosheet transistors
US10593673B2 (en) 2018-05-15 2020-03-17 International Business Machines Corporation Nanosheet with single epitaxial stack forming off-set dual material channels for gate-all-around CMOS
US10483166B1 (en) 2018-06-26 2019-11-19 International Business Machines Corporation Vertically stacked transistors
US10388569B1 (en) 2018-06-26 2019-08-20 International Business Machines Corporation Formation of stacked nanosheet semiconductor devices
US10615256B2 (en) 2018-06-27 2020-04-07 International Business Machines Corporation Nanosheet transistor gate structure having reduced parasitic capacitance
CN110729189B (zh) * 2018-07-17 2023-06-30 中芯国际集成电路制造(天津)有限公司 半导体器件及其制造方法
US11588052B2 (en) * 2018-08-06 2023-02-21 Intel Corporation Sub-Fin isolation schemes for gate-all-around transistor devices
US10608083B2 (en) * 2018-08-31 2020-03-31 International Business Machines Corporation Non-planar field effect transistor devices with low-resistance metallic gate structures
US11450739B2 (en) * 2018-09-14 2022-09-20 Intel Corporation Germanium-rich nanowire transistor with relaxed buffer layer
US11398474B2 (en) 2018-09-18 2022-07-26 Intel Corporation Neighboring gate-all-around integrated circuit structures having disjoined epitaxial source or drain regions
US11276691B2 (en) 2018-09-18 2022-03-15 Intel Corporation Gate-all-around integrated circuit structures having self-aligned source or drain undercut for varied widths
KR102509307B1 (ko) * 2018-09-19 2023-03-10 삼성전자주식회사 반도체 장치
US11355608B2 (en) * 2018-09-24 2022-06-07 Intel Corporation Self-aligned gate endcap (SAGE) architectures with gate-all-around devices having epitaxial source or drain structures
WO2020065732A1 (ja) 2018-09-25 2020-04-02 株式会社ソシオネクスト 半導体装置及びその製造方法
US11538806B2 (en) 2018-09-27 2022-12-27 Intel Corporation Gate-all-around integrated circuit structures having high mobility
US11469299B2 (en) * 2018-09-28 2022-10-11 Intel Corporation Gate-all-around integrated circuit structures having underlying dopant-diffusion blocking layers
US11527612B2 (en) * 2018-09-28 2022-12-13 Intel Corporation Gate-all-around integrated circuit structures having vertically discrete source or drain structures
US10741456B2 (en) 2018-10-10 2020-08-11 International Business Machines Corporation Vertically stacked nanosheet CMOS transistor
EP3660891B1 (en) 2018-11-27 2023-06-07 IMEC vzw A method for forming a semiconductor device
EP3660922A1 (en) 2018-11-27 2020-06-03 IMEC vzw A method of forming a stack of insulated cmos devices
FR3090998B1 (fr) 2018-12-21 2022-12-09 Commissariat Energie Atomique Architecture à transistors n et p superposes a structure de canal formee de nanofils
US11764263B2 (en) 2019-01-04 2023-09-19 Intel Corporation Gate-all-around integrated circuit structures having depopulated channel structures using multiple bottom-up oxidation approaches
US10991798B2 (en) * 2019-01-21 2021-04-27 International Business Machines Corporation Replacement sacrificial nanosheets having improved etch selectivity
US10832907B2 (en) 2019-02-15 2020-11-10 International Business Machines Corporation Gate-all-around field-effect transistor devices having source/drain extension contacts to channel layers for reduced parasitic resistance
US11677026B2 (en) * 2019-03-04 2023-06-13 International Business Machines Corporation Transistor having wrap-around source/drain contacts
US10985279B2 (en) * 2019-03-13 2021-04-20 International Business Machines Corporation Source and drain epitaxy and isolation for gate structures
CN111755333B (zh) * 2019-03-27 2024-02-27 芯恩(青岛)集成电路有限公司 一种纳米片场效应晶体管及其制备方法
US11769836B2 (en) * 2019-05-07 2023-09-26 Intel Corporation Gate-all-around integrated circuit structures having nanowires with tight vertical spacing
KR20200132436A (ko) * 2019-05-17 2020-11-25 삼성전자주식회사 반도체 장치
KR20200136519A (ko) 2019-05-27 2020-12-08 삼성전자주식회사 반도체 장치
WO2020255801A1 (ja) * 2019-06-17 2020-12-24 株式会社ソシオネクスト 半導体記憶装置
WO2020255256A1 (ja) 2019-06-18 2020-12-24 株式会社ソシオネクスト 半導体装置
US10903365B2 (en) 2019-06-19 2021-01-26 International Business Machines Corporation Transistors with uniform source/drain epitaxy
WO2020262248A1 (ja) * 2019-06-28 2020-12-30 株式会社ソシオネクスト 半導体記憶装置
US11942416B2 (en) * 2019-06-28 2024-03-26 Intel Corporation Sideways vias in isolation areas to contact interior layers in stacked devices
US11222964B2 (en) 2019-07-08 2022-01-11 Tokyo Electron Limited Multiple planes of transistors with different transistor architectures to enhance 3D logic and memory circuits
US10978573B2 (en) 2019-07-08 2021-04-13 International Business Machines Corporation Spacer-confined epitaxial growth
US11488947B2 (en) 2019-07-29 2022-11-01 Tokyo Electron Limited Highly regular logic design for efficient 3D integration
US11315925B2 (en) * 2019-08-28 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Uniform gate width for nanostructure devices
WO2021065590A1 (ja) * 2019-10-02 2021-04-08 株式会社ソシオネクスト 半導体集積回路装置および半導体集積回路装置の製造方法
US11735525B2 (en) 2019-10-21 2023-08-22 Tokyo Electron Limited Power delivery network for CFET with buried power rails
US11495540B2 (en) * 2019-10-22 2022-11-08 Tokyo Electron Limited Semiconductor apparatus having stacked devices and method of manufacture thereof
US11251080B2 (en) 2019-12-02 2022-02-15 Tokyo Electron Limited Method of making 3D circuits with integrated stacked 3D metal lines for high density circuits
WO2021138551A1 (en) 2019-12-31 2021-07-08 Tokyo Electron Limited Cfet sram bit cell with three stacked device decks
US11183561B2 (en) * 2020-01-07 2021-11-23 International Business Machines Corporation Nanosheet transistor with inner spacers
WO2021153169A1 (ja) * 2020-01-27 2021-08-05 株式会社ソシオネクスト 半導体記憶装置
US11581414B2 (en) * 2020-03-30 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with optimized gate spacers and gate end dielectric
US11495661B2 (en) * 2020-04-07 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including gate barrier layer
EP3905338A1 (en) * 2020-04-27 2021-11-03 Imec VZW Strained semiconductor monocrystalline nanostructure
US11411180B2 (en) 2020-04-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Phase-change memory device and method
US20220005951A1 (en) * 2020-07-05 2022-01-06 International Business Machines Corporation Strained Semiconductor FET Devices with Epitaxial Quality Improvement
US11610977B2 (en) * 2020-07-28 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming nano-sheet-based devices having inner spacer structures with different widths
US11665878B2 (en) 2020-09-30 2023-05-30 Tokyo Electron Limited CFET SRAM bit cell with two stacked device decks
US11380685B2 (en) * 2020-10-02 2022-07-05 Qualcomm Incorporated Semiconductor device with superlattice fin
US11489078B2 (en) 2020-10-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Lightly-doped channel extensions
CN113013251A (zh) * 2021-02-26 2021-06-22 中之半导体科技(东莞)有限公司 一种具有栅极填充结构的mosfet管
US11723187B2 (en) 2021-03-16 2023-08-08 Tokyo Electron Limited Three-dimensional memory cell structure
US20220320337A1 (en) * 2021-03-30 2022-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US20230012054A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and methods of formation
US20230095007A1 (en) * 2021-09-24 2023-03-30 Intel Corporation Integrated circuit structures having metal-containing source or drain structures

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100392166B1 (ko) * 2000-03-17 2003-07-22 가부시끼가이샤 도시바 반도체 장치의 제조 방법 및 반도체 장치
DE10240449B4 (de) * 2002-09-02 2009-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer dielektrischen Schicht mit geringem Leckstrom, wobei eine erhöhte kapazitive Kopplung erzeugt wird
US7566605B2 (en) * 2006-03-31 2009-07-28 Intel Corporation Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
JP4310399B2 (ja) * 2006-12-08 2009-08-05 株式会社東芝 半導体装置及びその製造方法
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
TWI562313B (en) * 2010-09-06 2016-12-11 shu lu Chen Electrical switch using a recessed channel gated resistor structure and method for three dimensional integration of semiconductor device
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
WO2013095652A1 (en) * 2011-12-23 2013-06-27 Intel Corporation Uniaxially strained nanowire structure
US8778768B1 (en) * 2013-03-12 2014-07-15 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with epitixially grown source and drain
KR102083494B1 (ko) * 2013-10-02 2020-03-02 삼성전자 주식회사 나노와이어 트랜지스터를 포함하는 반도체 소자
US9570609B2 (en) * 2013-11-01 2017-02-14 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet strained channel FETs and methods of fabricating the same
US9590037B2 (en) * 2014-03-19 2017-03-07 International Business Machines Corporation p-FET with strained silicon-germanium channel
US9490340B2 (en) * 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9502518B2 (en) * 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9647098B2 (en) * 2014-07-21 2017-05-09 Samsung Electronics Co., Ltd. Thermionically-overdriven tunnel FETs and methods of fabricating the same
US9362355B1 (en) * 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US9653289B1 (en) * 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages
US9831254B1 (en) * 2016-09-22 2017-11-28 International Business Machines Corporation Multiple breakdown point low resistance anti-fuse structure

Also Published As

Publication number Publication date
TW201818476A (zh) 2018-05-16
KR102457881B1 (ko) 2022-10-21
US20200098897A1 (en) 2020-03-26
US20180047832A1 (en) 2018-02-15
KR20180018426A (ko) 2018-02-21
TWI739879B (zh) 2021-09-21
US10930764B2 (en) 2021-02-23
US10529830B2 (en) 2020-01-07
JP2018026565A (ja) 2018-02-15

Similar Documents

Publication Publication Date Title
JP6951903B2 (ja) 半導体素子のための拡張領域
US10818661B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9373704B2 (en) Multiple-gate semiconductor device and method
US9196613B2 (en) Stress inducing contact metal in FinFET CMOS
US10090300B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9601598B2 (en) Method of manufacturing a fin-like field effect transistor (FinFET) device
US9472669B1 (en) Semiconductor Fin FET device with epitaxial source/drain
US9548362B2 (en) High mobility devices with anti-punch through layers and methods of forming same
US9548387B2 (en) Semiconductor device and method of manufacturing the same
TW201338054A (zh) 半導體的製作方法、半導體裝置及其製作方法
US9142673B2 (en) Devices and methods of forming bulk FinFETS with lateral seg for source and drain on dielectrics
US9147696B2 (en) Devices and methods of forming finFETs with self aligned fin formation
TWI713642B (zh) 鰭式場效電晶體及其製造方法
TWI518790B (zh) 半導體裝置及其製作方法
US9502561B1 (en) Semiconductor devices and methods of forming the same
CN104124165B (zh) 半导体器件及其制造方法
JP2010010382A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200615

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210430

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210601

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210727

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210831

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210927

R150 Certificate of patent or registration of utility model

Ref document number: 6951903

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150