JP6933725B2 - 測定方法、デバイス製造方法、計測装置およびリソグラフィシステム - Google Patents

測定方法、デバイス製造方法、計測装置およびリソグラフィシステム Download PDF

Info

Publication number
JP6933725B2
JP6933725B2 JP2019555830A JP2019555830A JP6933725B2 JP 6933725 B2 JP6933725 B2 JP 6933725B2 JP 2019555830 A JP2019555830 A JP 2019555830A JP 2019555830 A JP2019555830 A JP 2019555830A JP 6933725 B2 JP6933725 B2 JP 6933725B2
Authority
JP
Japan
Prior art keywords
radiation
measurement process
measurement
wavelength
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019555830A
Other languages
English (en)
Other versions
JP2020516948A (ja
Inventor
パンデイ、ニテシュ
リアン、ジン
ウル レーマン、サミー
ウル レーマン、サミー
ヤク、マルティン、ヤコブス、ヨハン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP17166691.0A external-priority patent/EP3388896A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2020516948A publication Critical patent/JP2020516948A/ja
Application granted granted Critical
Publication of JP6933725B2 publication Critical patent/JP6933725B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70566Polarisation control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70583Speckle reduction, e.g. coherence control or amplitude/wavefront splitting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

[関連出願へのクロスリファレンス]
本出願は、2017年4月14日に出願された欧州出願第17166691.0号および2018年2月15日に出願された欧州出願第18156860.1号の利益を主張し、その全体が参照により本書に援用される。
[技術分野]
本発明は、基板上に形成された複数の構造を測定するための方法および装置、デバイス製造方法、およびリソグラフィシステムに関する。
リソグラフィ装置は、所望のパターンを基板の目標部分に与える機械である。リソグラフィ装置は、例えば集積回路(IC)の製造に用いることができる。その場合、マスクまたはレチクルとも称されるパターニングデバイスがICの個々の層に対応する回路パターンを生成するために使用されうる。このパターンは、基板(例えばシリコンウエハ)上の(例えばダイの一部、一つのダイ又はいくつかのダイを備える)目標部分に転写できる。パターンの転写は、基板上に設けられる放射感受性材料(レジスト)の層への結像を典型的に介する。一般に、単一の基板は、連続的にパターン化される隣接する目標部分のネットワークを含むであろう。リソグラフィプロセスでは、例えばプロセス制御および検証のために、生成した構造の測定を行うことがしばしば望ましい。このような測定を行うための様々なツールが知られており、これには、限界寸法(CD)を測定するためにしばしば使用される走査型電子顕微鏡、およびオーバーレイ、デバイス内の2つの層のアライメントの正確さの尺度を測定するための専用ツールが含まれる。オーバーレイは、2つの層の間の位置ずれの程度に関して説明することができ、例えば、1nmの測定されたオーバーレイを参照することは、2つの層が1nmだけ位置ずれしている状況を説明することができる。
最近、リソグラフィ分野に用いるための様々な形態の散乱計が開発されている。この装置は、放射のビームをターゲットに向け、散乱された放射の一以上の特性(例えば、波長の関数としての、単一の反射角での強度、または反射角の範囲にわたる強度、一以上の波長での反射角の関数としての強度、反射角の関数としての偏光)を測定して「スペクトル」を取得する。「スペクトル」から注目するターゲットの特性を決定できる。注目する特性の決定は、様々な技術を用いて実行されてよく、例えば、厳密結合波解析や有限要素法を用いて実施される反復手法によるターゲットの再構成、ライブラリ検索、主成分分析などである。
ターゲットは、ゼロ次回折(正反射に対応する)が遮断され、高次のみが処理される暗視野スキャトロメトリを使用して測定され得る。暗視野計測の例は、国際特許出願WO2009/078708号およびWO2009/106279号に見出すことができ、これらの文献は参照によりその全体が本明細書に組み込まれる。この技術のさらなる発展は、特許公報US20110027704A、US20110043791AおよびUS20120242970Aに記載されている。これらすべての出願の内容もまた、参照により本明細書に組み込まれる。回折次数の暗視野検出を用いた回折ベースのオーバーレイは、より小さいターゲット上でのオーバーレイ測定を可能にする。これらのターゲットは照明スポットよりも小さくすることができ、ウェハ上の製品構造によって囲まれることがある。ターゲットは、1つの画像において測定することができる複数の格子を含むことができる。
所与のオーバーレイターゲットに対する異なる回折次数間の強度非対称性(例えば、−1次と+1次回折次数の間)は、ターゲットの非対称性、すなわちターゲット内の非対称性の測定を提供する。オーバーレイターゲットのこの非対称性は、オーバーレイ(2つの層の望ましくない位置合わせ不良)の指標として使用できる。
強度非対称性の強さは、異なるターゲット構造間の処理のばらつきにより、異なる基板間で異なることが観察されている。ターゲット構造内の薄膜スタックの厚さの変動は、たとえば強度の非対称性の強さに影響を与える可能性がある。照明放射の波長などの照明放射の特性を変更することにより、モデリングおよび/または測定誤差を減らすことができる。
同じ基板上の複数のターゲット構造を測定するための既存の方法および装置を改善することが望ましい。
本発明の一態様によれば、基板上に形成された複数の構造を測定する方法であって、第1の測定プロセスからデータを取得することであって、第1の測定プロセスは複数の構造のそれぞれを個別に測定して、構造の第1の特性を測定することを含む、ことと、第2の測定プロセスを使用して複数の構造のそれぞれの第2の特性を測定することであって、第2の測定プロセスは、構造に関して測定された第1の特性を使用して、その構造に対して個別に選択される放射特性を有する放射で各構造を照射する、ことと、を備える方法が提供される。
本発明の一態様によれば、基板上の複数の構造を測定するための計測装置であって、第1の測定プロセスを実行するように構成された第1の測定システムであって、第1の測定プロセスは、複数の構造のそれぞれを個別に測定して構造の第1の特性を測定することを含む、第1の測定システムと、第2の測定プロセスを実行するように構成された第2の測定システムであって、第2の測定プロセスは、複数の構造のそれぞれの第2の特性を測定することを含む第2の測定システムと、第2の測定プロセス中に各構造を照射するために使用される放射の放射特性が、構造の測定された第1の特性を使用してその構造に対して個別に選択されるように、第2の測定プロセスを制御するよう構成されたコントローラと、を備える計測装置が提供される。
本発明の実施形態は、対応する参照符号が対応する部分を示す添付の図面を参照して、例としてのみ説明される。
リソグラフィ装置を示す図である。
リソグラフィセルまたはクラスタを示す図である。
図3(a)は、第1ペアの照明アパーチャを用いてターゲットを測定する際に用いられる暗視野スキャトロメータの概略図であり、図3(b)は、所定方向の照明に対するターゲット格子の回折スペクトルの詳細図であり、図3(c)は、既知の形状の複数の格子ターゲットと、基板上の測定スポットの外郭の概略図であり、図3(d)は、図3(a)のスキャトロメータで得られる図3(c)のターゲットの像を示す概略図である。
下部格子の非対称性を備えたターゲット構造を示す図である。
非対称下部格子を2つの位相シフト対称格子に分割することによる図4のターゲット構造のモデリングを示す図である。
第1の測定システム、第2の測定システム、およびコントローラを備える計測装置を示す図である。
異なる薄膜スタック差を有するターゲット構造の測定放射の波長λに対するオーバーレイ感度Kのシミュレーション曲線(スイング曲線)を示す図である。
スイング曲線のピーク位置(最適波長)に対応する波長λと、焦点センサからの信号強度Iとの間の相関関係を示すグラフである。
固定波長を使用してすべてのターゲット構造のオーバーレイを測定するオーバーレイ測定の結果(星記号)と、波長を各ターゲット構造に個別に適合したオーバーレイ測定の結果(円記号)を比較したグラフである。
デュアル波長計測法における波長の選択を示すグラフである。
デュアル波長計測法の第1の波長で測定された感度と、第2の波長の最適値との間の相関関係を示すグラフである。
中間波長で測定された感度に基づくデュアル波長計測法の第2の波長の選択を示すグラフである。
本明細書は、本発明の特徴を組み込んだ1つ以上の実施形態を開示する。開示された実施形態は、単に本発明を例示するものである。本発明の範囲は、開示された実施形態に限定されない。本発明は、添付の特許請求の範囲によって定義される。
本明細書において「一実施形態」、「実施形態の一実施例」等は、説明した実施形態が特定のフィーチャ、構造、または特徴を含んでいてもよいことを表すが、すべての実施形態がその特定のフィーチャ、構造、または特徴を必ずしも含んでいるわけではない。さらにまた、上記のフレーズは必ずしも同じ実施形態を指すものではない。さらに、特定のフィーチャ、構造、または特徴を一実施形態に関して説明するとき、明示的に説明しようがしまいが、他の実施形態に関してそのような特定のフィーチャ、構造、または特徴を作用させることは、当業者の知識の範囲内であるとして理解すべきである。
本発明の実施形態を詳細に記述する前に、本発明の実施形態が実装されうる環境の例を示すことが有益である。
図1は、リソグラフィ装置LAを概略的に示す。この装置は、放射ビームB(例えばUV放射またはDUV放射)を調整するよう構成される照明システム(イルミネータ)ILと、パターニングデバイス(例えばマスク)MAを支持するよう構築され、特定のパラメータにしたがってパターニングデバイスを正確に位置決めするよう構成される第1位置決め装置PMに接続されるサポート構造(例えばマスクテーブル)MTと;基板(例えばレジストコートされたウェハ)Wを保持するよう構築され、特定のパラメータにしたがって基板を正確に位置決めするよう構成される第2位置決め装置PWに接続される基板テーブル(例えばウェハテーブル)WTと;パターニングデバイスMAにより放射ビームBに付与されたパターンを基板Wの(例えば一以上のダイを含む)目標部分Cに投影するよう構成される投影システム(例えば屈折型投影レンズシステム)PSと、を含む。
照明システムは、放射を方向付け、放射を成形し、または放射を制御するための屈折型、反射型、磁気型、電磁気型、静電型あるいは他の形式の光学素子といった各種光学素子またはこれらの任意の組み合わせを含んでもよい。
サポート構造は、パターニングデバイスを支持する、すなわちパターニングデバイスの重量を支える。それは、パターニングデバイスの向き、リソグラフィ装置のデザイン、および、例えばパターニングデバイスが真空環境で保持されるか否かといった他の条件に応じた方法でパターニングデバイスを保持する。サポート構造は、機械式、真空式、静電式または他の固定技術を用いてパターニングデバイスを保持できる。サポート構造は、フレームまたはテーブルであってよく、例えば必要に応じて固定式または可動式であってよい。サポート構造は、例えば投影システムに対して、パターニングデバイスが所望の位置にあることを確実にしてよい。本書での「レチクル」または「マスク」の用語の使用は、より一般的な用語である「パターニングデバイス」と同義であるとみなされてよい。
本書での「パターニングデバイス」の用語は、放射ビームの断面にパターンを付して例えば基板の目標部分にパターンを生成するために使用可能な任意のデバイスを参照するものとして広く解釈されるべきである。放射ビームに付されるパターンは、例えばパターン位相シフトフィーチャまたはいわゆるアシストフィーチャを含む場合、基板の目標部分における所望のパターンに完全に対応しなくてもよいことに留意されるべきである。たいていの場合、放射ビームに付されるパターンは、目標部分に生成される集積回路などのデバイスの特定の機能層に対応するであろう。
パターニングデバイスは、透過型であっても反射型であってもよい。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルが含まれる。マスクはリソグラフィの分野では周知であり、バイナリマスクやレベンソン型位相シフトマスク、ハーフトーン型位相シフトマスク、さらに各種のハイブリッド型マスクが含まれる。プログラマブルミラーアレイの一例は、マトリックス状に配列される小型のミラーを採用し、各ミラーは入射する放射ビームを異なる方向に反射するように個別に傾斜できる。傾斜されるミラーは、ミラーマトリックスにより反射される放射ビームにパターンを付与する。
本明細書において使用する「投影システム」という用語は、使用する露光放射、又は液浸液の使用や真空の使用などの他の要因に合わせて適宜、例えば屈折光学システム、反射光学システム、反射屈折光学システム、磁気光学システム、電磁光学システム及び静電光学システム、又はその任意の組合せを含む任意のタイプの投影システムを網羅するものとして広義に解釈されるべきである。本明細書において「投影レンズ」という用語を使用した場合、これはさらに一般的な「投影システム」という用語と同義と見なすことができる。
この実施形態では、例えば、装置は透過型である(例えば透過型マスクを用いる)。代わりに、装置が反射型であってもよい(例えば上述のような形式のプログラマブルミラーアレイを用いるか、反射型マスクを用いる)。
リソグラフィ装置は、2つ(デュアルステージ)以上の基板テーブルおよび例えば2つ以上のマスクテーブルを有するタイプのものであってもよい。このような「多段の」マシンでは、追加のテーブルを並行して使用したり、1つ以上の他のテーブルを露出に使用しながら、1つ以上のテーブルで準備ステップを実行したりできる。
リソグラフィ装置は、投影システムと基板の間の隙間を埋めるように、基板の少なくとも一部が比較的高屈折率を有する液体(例えば水)により覆われる形式の装置であってもよい。液浸液は、リソグラフィ装置の他の隙間、例えばパターニングデバイスと投影システムの間に適用されてもよい。液浸技術は、投影システムの開口数を増やすための技術として周知である。本書で用いられる「液浸」の用語は、基板などの構造が流体中に水没しなければならないこと意味するのではなく、むしろ露光中に投影システムPSと基板Wの間に流体が配置されることを意味するのみである。
図1を参照すると、イルミネータILは、放射源SOからの放射ビームを受ける。ソースおよびリソグラフィ装置は、ソースがエキシマレーザの場合、別体であってもよい。この場合、ソースがリソグラフィ装置の一部を形成するとみなされず、放射ビームがソースSOからイルミネータILに向けて、例えば適切な方向付けミラーおよび/またはビームエキスパンダを含むビームデリバリシステムBDの助けを借りて通過する。別の場合、例えばソースが水銀ランプの場合、ソースがリソグラフィ装置の一体的部分であってもよい。ソースSOおよびイルミネータILは、必要に応じてビームデリバリシステムBDとともに、放射システムと称されてもよい。
イルミネータILは、放射ビームの角度強度分布を調整するためのアジャスタADを含んでもよい。一般に、イルミネータの瞳面における強度分布の少なくとも外側半径範囲および/または内側半径範囲(通常それぞれσアウタ、σインナと呼ばれる)を調整できる。また、イルミネータILは、インテグレータINやコンデンサCOなどの様々な他の要素を含んでもよい。イルミネータは、ビーム断面における所望の均一性及び強度分布を有するように放射ビームを調整するために用いられてもよい。
放射ビームBは、サポート構造(例えばマスクテーブルMT)に保持されるパターニングデバイス(例えばマスクMA)に入射し、パターニングデバイスによりパターン化される。マスクMAの通過後、放射ビームBはビームを基板Wの目標部分Cに合焦させる投影システムPSを通過する。第2位置決め装置PWおよび位置センサIF(例えば干渉計デバイス、リニアエンコーダ、2Dエンコーダまたは静電容量センサ)の助けを借りて、放射ビームBの経路上に異なる目標部分Cが位置するように基板テーブルWTが正確に移動されることができる。同様に、第1位置決め装置PMおよび別の位置センサ(図1には明示されていない)は、例えば、マスクライブラリからの機械検索後またはスキャン中に、放射ビームBの経路に対してマスクMAを正確に位置決めするために用いることができる。一般に、マスクテーブルMTの移動は、第1位置決め装置PMの一部を形成するロングストロークモジュール(粗い位置決め)およびショートストロークモジュール(細かい位置決め)の助けにより実現することができる。同様に、基板テーブルWTの移動は、第2位置決め装置PWの一部を形成するロングストロークモジュールおよびショートストロークモジュールを使用して実現することができる。(スキャナとは対照的に)ステッパの場合、マスクテーブルMTはショートストロークアクチュエーターのみに接続されるか、または固定されてもよい。マスクMAおよび基板Wは、マスクアライメントマークM1、M2および基板アライメントマークP1、P2を使用して位置合わせすることができる。図示の基板アライメントマークは専用のターゲット部分を占めるが、それらはターゲット部分の間のスペースに配置されてもよい(これらはスクライブレーンアライメントマークとして知られている)。同様に、マスクMA上に複数のダイが提供される状況では、マスクアライメントマークはダイ間に配置されてもよい。
図示される装置は以下のモードのうち少なくとも一つで使用することができる。
1.ステップモードでは、マスクテーブルMTおよび基板テーブルWTが実質的に静止状態とされる間、放射ビームに付与されたパターンの全体が目標部分Cに一度で投影される(つまり、単一静的露光)。その後、基板テーブルWTがX方向および/またはY方向にシフトされ、その結果、異なる目標部分Cを露光できる。ステップモードにおいて、露光フィールドの最大サイズは、単一静的露光にて結像される目標部分Cのサイズを制限する。
2.スキャンモードでは、マスクテーブルMTおよび基板テーブルWTが同期してスキャンされる間、放射ビームに付与されるパターンが目標部分Cに投影される(つまり、単一動的露光)。マスクテーブルMTに対する基板テーブルWTの速度および方向は、投影システムPSの拡大(縮小)特性および像反転特性により決定されうる。スキャンモードにおいて、露光フィールドの最大サイズは、単一動的露光における目標部分の(非スキャン方向の)幅を制限する。一方で、スキャン動作の長さは、目標部分の(スキャン方向の)高さを決定する。
3.別のモードでは、マスクテーブルMTがプログラマブルパターニングデバイスを保持して実質的に静止状態を維持し、基板テーブルWTが移動またはスキャンされる間、放射ビームに付与されるパターンが目標部分Cに投影される。このモードにおいて、一般にパルス放射源が用いられ、基板テーブルWTの移動後またはスキャン中の一連の放射パルスの間に必要に応じてプログラマブルパターニングデバイスが更新される。この動作モードは、上述のタイプのプログラマブルミラーアレイなどのプログラマブルパターニングデバイスを使用するマスクレスリソグラフィに容易に適用可能である。
上記の使用モードを組み合わせて動作させてもよいし、使用モードに変更を加えて動作させてもよく、さらに全く別の使用モードを用いてもよい。
図2に示されるように、リソグラフィ装置LAは、たまにリソセルまたはクラスタとも称され、基板上での露光前および露光後プロセスを実行するための装置も含むリソグラフィセルLCの一部を形成する。従来、これらは、レジスト層を堆積させるスピンコート装置SC、露光されたレジストを現像する現像装置DE、冷却プレートCH、およびベークプレートBKを含む。基板ハンドラまたはロボットROは、基板を入力/出力ポートI/O1,I/O2から取り出し、それらを異なるプロセス装置間で基板を移動させ、その後リソグラフィ装置のローディングベイLBに基板を運ぶ。これら装置(しばしば集合的にトラックと称される)は、トラック制御ユニットTCUの制御下にあり、TCU自体は監視制御システムSCSにより制御され、SCSはリソグラフィ制御ユニットLACUを介してリソグラフィ装置も制御する。したがって、異なる装置をスループットおよびプロセス効率を最大化させるように動作させることができる。
リソグラフィ装置により露光される基板を正確かつ一貫して露光するため、露光された基板を検査して、後続層との間のオーバレイ、ライン幅、限界寸法(CD)などの特性を測定することが望ましい。もしエラーが検出されれば、特に同一バッチの他の基板がまだ露光されている程度に迅速かつ高速に検査が実行できれば、後続基板の露光に対して例えば調整がなされてもよい。また、すでに露光された基板も歩留まり向上のために剥離および再加工されてよいし、または場合によっては廃棄されてもよく、これにより不良であることが分かっている基板上で露光が実行されるのを回避できる。基板の一部のターゲット部分のみに不良がある場合、不良がないとみなされるターゲット部分に対してのみさらなる露光を実行することができる。
計測装置は、基板の特性を決定するため、具体的には、異なる基板または同じ基板の異なる層の特性が層ごとにどのように異なるのかを決定するために用いられる。計測装置は、リソグラフィ装置LAまたはリソセルLC内に一体化されてもよいし、または、独立式の装置であってもよい。最速の測定を可能にするため、計測装置は、露光されたレジスト層における特性を露光直後に測定することが望ましい。しかしながら、レジスト内の潜像は非常に低いコントラストを有し(放射で露光されたレジスト部分とそうでないレジスト部分の間には非常に小さい屈折率差しかない)、全ての計測装置が潜像の有効な測定の実行に十分な感度を有しているわけではない。したがって、測定は露光後のベークステップ(PEB)の後に実行されうる。PEBは通常、露光された基板上で実行される第1ステップであり、レジストの露光された部分と露光されていない部分の間のコントラストを増大させる。この段階において、レジスト内の像は、半潜像(semi-latent)と称されうる。現像されたレジスト像の測定を実行することも可能であり(この時点でレジストの露光された部分または露光されていない部分のいずれかが除去されている)、または、エッチングなどのパターン転写ステップの後に実行することも可能である。後者の可能性は、基板の不良を再加工する可能性を制限するが、有益な情報をさらに提供するかもしれない。
計測装置を図3(a)に示す。ターゲットTおよびターゲットを照射するために使用される測定放射の回折光線が、図3(b)により詳細に示されている。図示されている計測装置は、暗視野計測装置として知られているタイプのものである。計測装置は、独立式の装置であってもよいし、リソグラフィ装置LA(例えば、測定ステーションにて)またはリソグラフィセルLCのいずれかに組み込まれてもよい。装置を通じて複数の分岐を有する光軸は、破線Oで示される。この装置において、光源11(例えば、キセノンランプ)により出力される光は、レンズ12,14および対物レンズ16を備える光学システムにより、ビームスプリッタ15を介して基板W上に向けられる。これらレンズは、4F配置の二重シーケンスで構成される。検出器上に基板の像を与えるのであれば、異なるレンズ配置を用いることもでき、同時に、このレンズ配置は、空間周波数フィルタリング用の中間瞳面の利用を可能にする。したがって、放射が基板に入射する位置での角度範囲は、基板面での空間スペクトルを示し、本書で(共役)瞳面と称される面内の空間強度分布を定義することにより選択できる。具体的には、レンズ12と14の間であって対物レンズ瞳面の逆投影像である面内に適切な形状のアパーチャプレート13を挿入することによりこれを実現できる。図示される例では、符号13N,13Sのアパーチャプレート13が異なる形状を有し、異なる照明モードの選択を可能にする。第1照明モードにおいて、アパーチャプレート13Nは、説明のみを目的として「北」と指定された方向からの軸外を提供する。第2照明モードにおいて、アパーチャプレート13Sは、同様であるが「南」と名付けられた反対方向からの照明を提供するために用いられる。所望の照明モード外のいずれの不要な光も所望の測定信号に干渉することから、瞳面の残りは暗闇であることが望ましい。
図3(b)に示されるように、ターゲットTは、対物レンズ16の光軸Oに直交するよう基板Wに配置される。基板Wは、サポート(図示せず)により支持されてよい。軸Oからずれた角度からターゲットTに入射する測定放射Iの光線は、ゼロ次の光線(実線0)および二つの1次光線(一点破線+1および二点破線−1)を生じさせる。はみ出る小さなターゲットの場合、これらの光線は、計測ターゲットTおよび他のフィーチャを含む基板の領域をカバーする多数の平行光線の一つにすぎないことを忘れてはならない。プレート13のアパーチャは(有効な光量を認めるのに必要な)有限の幅を有するため、実際には入射光線Iがある角度範囲を占め、回折光線0および+1/−1は多少拡がるであろう。小さいターゲットの点像分布関数によれば、+1および−1の各次数は、ある角度範囲にわたってさらに拡がり、図示されるような単一の理想的な光線とならないであろう。ターゲットの格子ピッチおよび照明角度は、1次光線が中心光軸の近くにアライメントされて対物レンズに入射するように設計または調整されることができることに留意する。図3(a)および図3(b)Bに示される光線は、図面において純粋にこれらが容易に識別可能となるように、多少軸外しとなるよう示されている。
基板W上のターゲットTにより回折される少なくとも0および+1の次数は、対物レンズ16により収集され、ビームスプリッタ15を通って戻るように方向付けられる。図3(a)に戻ると、北(N)および南(S)の符号が付された径方向に反対のアパーチャを指定することにより、第1および第2照明モードの双方が示される。測定放射の入射光線Iが光軸の北側からである場合、つまり、アパーチャプレート13Nを用いて第1照明モードが適用される場合、+1(N)の符号が付された+1の回折光線が対物レンズ16に入射する。反対に、アパーチャプレート13Sを用いて第2照明モードが適用される場合、(−1(S)の符号が付された)−1の回折光線が対物レンズ16に入射するものとなる。
第2ビームスプリッタ17は、回折ビームを二つの測定路に分割する。第1測定路において、光学システム18は、ゼロ次および1次の回折ビームを用いて第1センサ19(例えばCCDまたはCMOSセンサ)上でターゲットの回折スペクトル(瞳面像)を形成する。各回折次数がセンサ上の異なる点でぶつかるため、画像処理は、次数を比較および対比できる。センサ19に撮像される瞳面像は、計測装置のピント調整および/または1次回折ビームの強度測定の規格化に用いることができる。瞳面像は、再構成などの多くの測定の目的のために用いることもできる。
第2測定路において、光学システム20,22は、センサ23(例えばCCDまたはCMOSセンサ)上にターゲットTの像を形成する。第2測定路において、瞳面に共役となる面内に開口絞り21が設けられる。開口絞り21は、ゼロ次の回折ビームを遮るように機能し、センサ23上に形成されるターゲットの画像が−1または+1次のビームからのみ形成されるようにする。センサ19および23の撮像画像は、画像を処理する処理部PUに出力される。PUの機能は、実行すべき測定の具体的な形式に依存するであろう。なお、本書に用いられる「画像」の用語は広義である。仮に−1次および+1次の一方しか存在しなければ、グレーティングラインの画像自体は形成されないであろう。
図3に示されるアパーチャプレート13および視野絞り21の具体的形状は、純粋に例にすぎない。本発明の別の実施形態において、ターゲットの軸上照明が用いられ、実質的に一方の1次回折光のみをセンサに向けて通過させるために軸外アパーチャを持つ開口絞りが用いられる。さらに別の実施形態において、1次ビームの代わりに又は1次ビームに加えて、2次、3次、さらに高次のビーム(図3に不図示)を測定に用いることができる。
これら異なる形式の測定に適用可能な測定放射を作るため、アパーチャプレート13は、所望のパターンを所定の位置にもたらすように回転するディスクの周りに形成される多数のアパーチャパターンを備えてもよい。なお、アパーチャプレート13Nまたは13Sは、一方向(設定に応じてXまたはY)に方向付けられた格子の測定にのみ用いることができる。直交する格子の測定のため、90°または270°のターゲットの回転が実行されてもよい。これらの使用、および装置の他の多数の変形形態および用途は、上記の従前に発行された特許出願公開に記載されている。
図3(c)は、既知のプラクティスに従って基板上に形成された複合ターゲットを示す。この例におけるターゲットは、それらが全て計測装置の計測放射照明ビームによって形成される測定シーンまたは測定スポット24内にあるように互いに密接に配置された4つの格子25a−25dを含む。したがって、4つの格子は、すべて同時に照射され、センサ19および23上に同時に結像される。オーバーレイ測定専用の例では、格子25a−25dは、それ自体、基板W上に形成される半導体の異なる層にパターニングされた格子を重ね合わせることによって形成される複合格子である。格子25a−25dは、複合格子の異なる部分が形成されている層間のオーバレイの測定を容易にするために、異なるバイアスをかけられたオーバレイオフセットを有することができる。このような技術は、当業者に周知であり、これ以上は説明しない。格子25a−25dはまた、入射する放射をX方向およびY方向に回折するように、図示のようにそれらの向きが異なってもよい。一例では、格子25aおよび25cは、それぞれ+d、−dのバイアスを有するX方向格子である。格子25bおよび25dは、それぞれオフセット+dおよび−dを有するY方向格子である。これらの格子の別々の画像は、センサ23によって捕捉された画像において識別することができる。これはターゲットの一例にすぎない。ターゲットは、4つより多い、または少ない数の格子、または単一の格子のみを含むことができる。
図3(d)は、図3(a)の装置内の図3(c)のターゲットを使用するときに、センサ23上に形成され、センサ23により検出されうる画像例を示す。瞳面イメージセンサ19は異なる個別の格子25a−25dを分解できないが、イメージセンサ23であればできる。黒い四角は、センサ上の画像の視野を示し、この範囲内の円形領域26に対応する箇所に基板上の照明されたスポット24が結像する。この範囲内では、矩形領域27a−27dが小さいターゲット格子25a−25dの像を表す。仮に格子が製品領域に位置していれば、この画像の視野の周辺に製品フィーチャも視認しうる。画像処理制御部PUは、格子25a−25dの個別画像27a−27dを識別するためのパターン認識を用いてこれらの画像を処理する。このようにして、センサフレーム内の特定の場所に極めて正確に画像がアライメントされる必要がなくなり、測定装置全体としてのスループットが大きく改善される。
いったん格子の個別画像が識別されると、例えば、識別された領域内で選択されたピクセルの強度値を平均化または合計することにより、それら個別画像の強度を測定できる。画像の強度および/または他の特性は互いに比較できる。これらの結果は、リソグラフィ工程の異なるパラメータ測定のために組み合わせることができる。オーバレイ性能はこのようなパラメータの重要な一例である。
オーバーレイ測定のための典型的なターゲット構造は、基板W上の同じ位置の異なる層に形成された2つの格子を含む。2つの格子は、製造されているデバイス構造の一部として堆積される1つ以上の薄膜(薄膜スタック)によって分離される。たとえば、一般的なDRAM製造プロセスでは、下部と上部の格子間に一連の酸化物と窒化物の薄膜が使用され、上部の格子は反射防止とハードマスクの薄膜に印刷される。
各ターゲット構造における薄膜スタックの厚さは、処理のばらつきにより、ターゲット構造の位置に応じて変化する。薄膜スタックの厚さは、薄膜スタックの反射率特性に直接影響する。化学機械的平坦化やエッチングなどの処理は、下部格子の非対称性をさらに引き起こす可能性がある。これは、下部格子の非対称性と呼ばれる。下部格子の非対称性により、反射率特性がさらに変化する。下部格子の非対称性は、検出された強度に非対称的に寄与し、したがってオーバーレイの取得など、非対称性に依存する測定またはモデリングの誤差に寄与するため、特に問題である。
関心のある特性(例えば、オーバーレイ)からの強度の非対称性の強さは、使用される測定放射の特性に依存する。強度の非対称性の強さは、例えば、測定放射の中心波長、帯域幅、および/または偏光の関数として変化し得る。強度の非対称性の強さは、感度と呼ばれる場合があります。関心のある特性に対する予測感度の曲線が生成され得る。このような曲線は、スイング曲線として知られている。高精度を得るには、スイング曲線のピークに対応する測定放射の特性を選択することが望ましい。スイング曲線のピークで測定すると、モデリングエラーが減少するため、関心のある特性を取得する精度が向上する場合がある(たとえば、オーバーレイを取得するために使用される式は、スイング曲線のピークでより正確である)。さらに、スイング曲線のピークで測定すると、より強力な信号が得られるため、ノイズに対する測定がよりロバストになる。
本発明者は、測定放射の最適な特性が基板Wにわたって不変ではないことを認識した。これは、例えば、ターゲット構造の変動をもたらす基板Wにわたるプロセス変動、例えば、上部格子と下部格子間の薄膜スタックの厚さの差、および/または下部格子の非対称性の差により生じ得る。以下で詳細に説明するように、基板W上で測定されるターゲット構造の位置の関数として測定放射の特性を適応的に変化させることにより、現在のアプローチと比較して計測測定の誤差をさらに低減できる実施形態が開示される。測定放射は、基板ごとではなく、ターゲット構造ごとに個別に調整される。オーバーレイエラー(オーバーレイ測定のエラー)の減少が観測される。
概念の詳細な例示として、以下の考察は、測定放射の波長がターゲットごとに感度曲線のピークになるように選択することにより、下部格子の非対称性の影響を最小化できる方法を示す。プロセスの変動により、感度曲線のピークの位置は、ターゲット構造の上部格子と下部格子の間の薄膜スタックの変動により、基板W上のターゲット構造の位置の関数として変動する。
図4および図5は、ターゲット構造30をどのようにモデル化できるかを示している。図4に示すように、ターゲット構造30は、上部格子31および下部格子32を含む。上部格子31は、薄膜スタック33によって下部格子32から分離されている。下部格子32は、下部格子の非対称により変形している。図5は、非対称を近似するために、非対称下部格子32を互いに対して横方向に変位した2つの別個の格子32Aおよび32Bに概念的に分割することにより、ターゲット構造30をモデル化する方法を示す。
上部格子31並びに2つの下部格子32Aおよび32Bのそれぞれからの回折信号は、マクスウェルの方程式を使用して厳密に解くことができる。ただし、以下で説明するように、いくつかの近似を伴うスカラーアプローチを使用すると、直感的な理解を得ることがでる。
総信号は、上部格子31からの回折波および2つの下部格子32A、32Bのそれぞれからの回折波の合計として表すことができる。
上部格子31によって回折された+1次および−1次は、AeiαおよびAe−iαと記述できる。ここで、Aは振幅、αは位置によって決まる位相項で、
α=2πOV/Pで与えられる。OVはオーバーレイ項、Pは格子のピッチである。
下部格子32A、32Bからの回折は、
1次として、Beiβiγ+BeiβiδCeiη、および
−1次として、Beiβ−iγ+BeiβiδCe−iη
と同様に記述できる。ここで、βは、厚さdの薄膜を伝搬中に取得される厚さに起因する位相であり、β=4πnd/λで与えられる。
nは、格子を分離する薄膜の屈折率、
λは測定の波長、
δは、最下部の下部格子32Bまでの余分な伝搬により取得された追加の位相、
ηは、最下部の下部格子32Bのシフト(すなわち、下部格子の非対称性)による位相、Cは、最下部の下部格子32Bからの回折波の振幅である。
これらの3つの回折波の組み合わせによる+1次の総電界は、以下により与えられる。
Figure 0006933725
強度が検出されるため、検出された+1次の合計強度は、
Figure 0006933725
であり、−1次の強度は、
Figure 0006933725
である。
これらの式は次のように記述できる。
Figure 0006933725
ここで、|B'|=|B||C|であり、結果として生じるオーバーレイ信号は強度の差であり、次の式で与えられる。
Figure 0006933725
典型的なオーバーレイ数は使用するグレーティングのピッチよりもはるかに小さいため、 αは小さく、ΔIは次のように記述できることを意味します。
Figure 0006933725
2つのオーバーレイターゲットがバイアスdによって互いに対してバイアスされている場合、2つのバイアスのそれぞれのΔIは、以下により与えられる。
Figure 0006933725
ここで、オーバーレイは以下の式で計算される。
Figure 0006933725
上記の式は、取得したオーバーレイがエラー項を含む正確なオーバーレイであることを示す。エラー項は|A|≒|B|のとき、すなわち、格子が回折効率においてバランスが取れているときに最小化できる。これは、ターゲット設計の最適化により実現できる。
エラー項は、分母項を最大化することにより削減することもできる。分母は、前述のΔI項に似ている。これは、2次間の強度差が最大になるように測定波長が選択される場合、下部格子の非対称性による誤差が最小になることを意味する。したがって、波長に対する感度の曲線(スイング曲線)のピークに留まるように測定放射の波長を調整することにより、基板W上のすべての位置にわたるエラーを最小限に抑えることができる。本発明者らは、別個のセンサから得られた情報を使用することにより、オーバーレイ測定の前に波長をどれだけ調整する必要があるかを予測することが可能であることを認識した。たとえば、必要な情報を提供するために焦点センサを便利に使用できる。以下で説明するように、これは、オーバーレイセンサに影響を与える同じ薄膜の変動に焦点センサが敏感であるため可能である。
図6は、上記の原理に基づく例示的な計測装置を示している。計測装置は、第1の測定システム61および第2の測定システム62を備える。計測装置は、例えば図1および図2を参照して上述したように、リソグラフィシステムの一部として提供されてもよい。一実施形態では、複数の構造は、リソグラフィプロセスによって基板W上に形成される。一実施形態では、複数の構造は、オーバーレイなどのリソグラフィプロセスのパラメータを測定するためのターゲット構造を含む。計測装置は、リソグラフィを使用して複数の構造を形成し、計測装置を使用して複数の構造を測定することを含むデバイス製造方法で使用されてもよい。
第1の測定システム61は、第1測定プロセスを実行する。第1の測定プロセスは、複数の構造のそれぞれを個別に測定して、構造の第1の特性を測定することを含む。一実施形態では、第1の測定システム61は、第1の放射源42を備える。第1の放射源42は、光学システム44を介して各構造に放射を照射する。
第2の測定システム62は、第2の測定プロセスを実行する。第2の測定プロセスは、複数の構造のそれぞれの第2の特性を測定することを含む。一実施形態では、第2の測定システム62は、第2の放射源11を備える。第2の放射源11はまた、各構造に放射を照射する。第1の放射源42は、第2の放射源11とは異なり、例えば、異なる特性を有する放射を出力するように構成され、および/または別個のデバイスに収容される。第1の放射源42からの放射は、第1の測定プロセスを実行するのに適したように構成される。第2の放射源11からの放射は、第2の測定プロセスを実行するのに適したように構成される。
第2の測定システム62は、第2の放射源11からの放射51を入射放射52Aとして基板W上に向けるための光学システム40を備える。基板Wからの反射放射52Bは、光学システム40によって1つ以上のセンサ19、23に向けられる。一実施形態では、第2の測定システム62は、図3を参照して上述したタイプの計測装置を含む。このタイプの実施形態では、光学システム40は、図3(a)に示すようにレンズ12および14ならびに対物レンズ16を含むことができる。光学システム40は、図3(a)に示すように、放射51を基板Wに向けるためのビームスプリッタ15をさらに備えてもよい。光学システム40は、第1の測定分岐および第2の測定分岐のいずれかまたは両方をさらに備えてもよい。図6の特定の例では、これらの測定分岐の両方が提供されている。各測定分岐の光学要素の詳細な例を図3(a)に示す。第1の測定分岐からの出力53は、センサ19に向けられる。第2の測定分岐からの出力54は、センサ23に向けられる。
一実施形態では、第2の測定プロセスによって測定される各構造の第2の特性は、オーバーレイ(すなわち、構造の異なる層間の望ましくないずれ)を含む。
一実施形態では、第1の測定システム61は、1つ以上の光学素子を含む。光学素子は、第2の測定システム62によって使用される光学システム40の焦点を測定するように構成された焦点測定システムによっても使用される。焦点測定システムは、通常、計測装置に組み込まれ、ターゲット構造を使用する測定が実行される前に、ターゲット構造を位置合わせおよび/または焦点に合わせることができる。1つ以上の光学素子は、第2の測定システム62によって使用されない。図6の例では、第1の測定システム61は、焦点測定システムの光学システム44と焦点測定システムの焦点センサ46を使用する。第1の放射源42(焦点測定システムが焦点を測定するときに使用されるのと同じ放射源であってもなくてもよい)からの放射線は、光学システム44および光学システム40を介して基板Wに向けられる。一実施形態では、光学システム40は、対物レンズ16の一部として、第1の放射源42からの放射を光学システム44から基板Wに向け、基板Wから戻すように向けるさらなるビームスプリッタを備える(図3(a)参照)。第1の測定プロセスは、焦点センサ46からの出力を使用する。一実施形態では、第1の測定プロセスによって測定される各構造の第1の特性は反射率を含み、焦点センサ46からの信号強度は、反射率を決定するために使用される。別の実施形態では、第2の測定プロセスで使用される放射を最適化するための情報を提供することが主な目的である専用の第1の測定システムが提供される。例えば、偏光解析測定モードまたは分光測定モードを含む、他の検知方式を使用できる。そのような検知スキームを使用して、構造の第1の特性は、追加的または代替的に、構造から散乱された放射の偏光に対する構造の効果を含み得る。
一実施形態では、コントローラ48は、第2測定プロセス中に各構造を照明するために使用される放射の放射特性が、構造の測定された第1特性を使用してその構造に対して個別に選択されるように第2測定プロセスを制御する。一実施形態では、放射強度のスペクトル分布が個別に選択される。スペクトル分布は、放射の中心波長と帯域幅のいずれかまたは両方を含んでもよい。代替的または追加的に、放射の偏光は個別に選択される。一実施形態では、各構造の第2の測定プロセスに対する放射特性(例えば波長)の個々の選択は、第1の特性(例えば反射率)と、第2測定プロセスのパフォーマンス(たとえば、オーバーレイ測定)を、第2測定プロセスの放射特性(たとえば、波長)の他の選択よりも高くすることができる第2測定プロセスに対する放射特性(例えば波長)の選択との間の以前に測定された相関に基づいて実行される。
焦点センサ46からの信号強度がオーバーレイ測定に使用される波長を最適化するために使用される特定の場合にこれをどのように達成できるかについての詳細を以下に示す。図4および図5に関して上記で考察した数学的分析を参照する。
典型的な焦点測定システムでは、焦点センサ46は、大きな照明NAで基板Wからの全反射を測定することができる。焦点センサ46は、通常の反射光強度も検出することができる。反射された0次信号と測定されたオーバーレイ信号ΔIの予想される関係を以下に説明する。
焦点センサ46によって検出された0次信号は、焦点センサの波長でのすべての反射波と回折波の合計として表すことができる。簡単にするために、以下の説明では法線入射での反射のみを考慮する。
上部格子31および下部格子32A、32Bからの法線入射での反射波は、以下のように表される。
Figure 0006933725
ここで、φ=4πnd、λは焦点センサの波長、νは等価周波数である。
上記のオーバーレイ信号解析で示されているように、ΔI項には主な波長依存性項がある。
Figure 0006933725
ここで、φ=4πndλ、λは計測(オーバーレイ)測定波長、νは等価周波数である。他の定数は
Figure 0006933725
として記述される。
焦点センサ46からの信号およびオーバーレイ信号は、両方とも薄膜の厚さdに依存する。これは、薄膜の厚さdの変化を焦点センサ46の信号強度で検出し、第2の測定プロセス(オーバーレイの測定)で使用する波長を適切に補正できることを意味する。
υ=υ+Δυ(Δυは周波数分離である)とすると、信号Iは、以下のように書くことができる。
Figure 0006933725
したがって、焦点センサ46からの信号強度とオーバーレイ信号の感度との関係は、二次関係として表すことができる。したがって、焦点センサ46の信号強度を使用して、第2の測定プロセスに使用される放射の波長をどれだけ調整する必要があるかを推定することができる。
焦点センサ46からの出力を使用して、第2の測定プロセスの感度に影響を与えるターゲット構造30の変動(例えば、薄膜スタック33の厚さの変動)を推測することができる。一実施形態では、波長に対するオーバーレイ感度の曲線(スイング曲線)のシフトが決定される。次に、スイング曲線のピークで第2の測定プロセスを実行できるように、第2の測定プロセスに使用される放射の波長を同じ量だけシフトできる。
例示的な焦点センサ46は、2つの異なる波長(670nmおよび785nm)の放射を使用して動作する。焦点センサ46は、サイズが約7μmの放射スポットを基板W上に形成する。ターゲット構造30は、放射スポットがターゲット構造30をアンダーフィルするように構成することができる。これは、焦点センサ46への信号がターゲット構造30の外側の製品構造によって破壊されないことを意味する。また、全反射強度が検出されるため(全反射次数)、全反射信号がオーバーレイと無関係である。
プロセス変動がある場合、各ターゲット構造30の絶対反射率が変化する。本発明者らは、2つの波長での絶対反射率が、各ターゲット構造30(スイング曲線のピーク)でのオーバーレイの測定に使用する最適波長と直接に相関することを発見した。
この例では、次の手順を使用して、第2の測定プロセスの波長を調整できる。第1のステップでは、焦点センサ46を使用して、利用可能な2つの波長のそれぞれでターゲット構造30の絶対全反射率を測定する。全反射率は、例えば、基板Wを最適な焦点に合わせることにより測定することができる。最適な焦点では、焦点センサ46からの信号強度は最大である。焦点センサ46からの信号強度は、基板Wにわたる薄膜スタック33の特性の変動を反映して、基板W上の位置の関数として変化することが観察される。第2のステップでは、スイング曲線のシフトを決定するために、絶対反射率が以前に測定された絶対反射率と最適な波長の選択との相関と比較される。第3のステップでは、第2の測定システム62の第2の放射源11からの出力は、第2の測定システム62を使用して(例えば、オーバーレイを取得する)ターゲット構造30を測定する前に調整される。
この手法の有効性を実証するために、シミュレーションを行った。シミュレーションは、薄膜スタックの厚さをランダムに変更し、それぞれの場合のスイング曲線への影響を計算することにより行った。図7は、異なる薄膜スタックの厚さ(基板W上の異なる位置に配置されたターゲット構造30に対応する)に関して、放射波長λに対するオーバーレイ感度Kのシミュレートされたスイング曲線を示す。スイング曲線のピーク位置は円形のマークで印がつけられており、波長の範囲にわたって広がっている。したがって、オーバーレイ測定に使用する理想的な波長は、さまざまなターゲット構造30によって異なる。図8は、各ピーク位置に対応する波長λが、焦点センサ46からの信号強度Iと二乗のオーダで(ほぼ線形)に相関することを示す。したがって、焦点センサ46からの信号強度Iを使用して、スイング曲線のシフトを決定し、焦点センサ46によって測定されたターゲット構造のオーバーレイ測定のための波長の最適な選択を可能にすることができる。
図9は、固定波長(この例では520nm)を使用してすべてのターゲット構造30のオーバーレイを測定するオーバーレイ測定の結果(星記号)と、焦点センサ46を使用して波長を各ターゲット構造30に個別に適合したオーバーレイ測定の結果(円記号)を比較している。図9は、照明波長が測定前に補正された場合の最終のオーバーレイの全体的な改善(〜7nm)を示している。固定波長アプローチには、適応波長アプローチには存在しない大きな異常値がある。オーバーレイエラー(つまり、オーバーレイ測定の精度)は、ターゲットごとに中心波長を調整することで改善できることを示している。通常、ウェハにわたって薄膜の厚さにより大きな変動がある厚いスタックでは、改善がはるかに大きくなる。
最適波長と焦点センサ信号との間の依存性は、二次適合によりモデル化することができる。この適合のパラメータは、キャリブレーションおよびレシピ作成ステップ中のさまざまな焦点センサ信号に関するスイング曲線の測定値と、データベースに保存された結果に基づいて計算できる。
上記の詳細な例では、2つの波長のみが利用可能であった。代替実施形態では、第1の測定システム61は、各構造を広帯域放射で照射する第1の放射源42を備え、コントローラ48は、第1測定プロセスからのデータの分光分析に基づいて各構造の第2測定プロセスの放射特性の個別選択を実行する。このアプローチは、最適な波長に関するより多くの情報を提供し、パフォーマンスをさらに向上させる。このタイプの実施形態は、専用の第1の測定システムを提供することにより、または上記のような焦点測定システムを修正することにより実施され得る。例えば、ビームスプリッタを使用して、広帯域光を焦点測定システムの光学システム44に向けることができる。マルチモードファイバを使用して、光学システム44を介して戻った反射光を適切な分光計に戻すことができる。ファイバは、上記の特定の焦点測定システムの2つの波長を受信するために、2つのピンホールのいずれかまたは両方で設けることができる。
さらなる実施形態において、焦点測定システムは、エリプソメータとして動作するように変換され得る。これにより、反射光で測定された偏光変化を使用して、最適な波長の推定に貢献できる。
さらなる実施形態では、第1の測定プロセスは、1つ以上のサブプロセスを含む。したがって、たとえば、焦点センサのみを使用して反射率を測定する第1の測定プロセスの代わりに、第1の測定プロセスは、(1つのサブプロセスで)焦点センサを使用して反射率を測定し、(別のサブプロセスで)焦点センサまたは別のセンサを使用して構造の別の特性を測定してもよい。サブプロセスは、構造の第1の特性を測定するように構成された少なくとも1つのサブプロセスと、構造の第2の特性を測定するように構成された少なくとも1つのサブプロセスを備えてもよい。複数のサブプロセスからの出力の組み合わせを使用して、第2の測定プロセスの放射特性の構造ごとの個々の選択をさらに効率的に実行できるようにすることができる。
このタイプのいくつかの実施形態では、構造の第2の特性(例えば、オーバーレイ)は、2つの異なる波長での構造の別の測定を介して取得される。このアプローチは、デュアル波長計測と呼ばれる場合がある。構造の第2の特性がオーバーレイを含む場合、このアプローチはデュアル波長オーバーレイ計測と呼ばれる場合がある。そのようなデュアル波長計測法では、第1の測定プロセスのサブプロセスの1つは、異なる波長での2つの測定の一方を含んでよい。その後、第2の測定プロセスのための放射特性の構造ごとの個々の選択は、デュアル波長計測に必要な2つの波長の他方の選択を含んでよい。
いくつかの実施形態において、本方法は、構造の第2の特性(例えば、オーバーレイ)に対する1つ以上のサブプロセスのそれぞれの感度を計算することを含む。そのような実施形態では、第2の測定プロセスにおける放射特性の構造ごとの個々の選択は、計算された感度の1つまたは複数を使用して実行される。このアプローチは、1つまたは複数のサブプロセスのそれぞれについて計算された感度と、第2の測定プロセスの最適な放射特性(最適な波長など)との間に有意な相関があることが多いという認識に基づいている。一実施形態において、第2の測定プロセスの放射特性の個々の選択は、計算された感度の1つ以上のそれぞれと、第2測定プロセスのパフォーマンス(たとえば、第2測定プロセスでオーバーレイを取得できる精度)を、第2測定プロセスの放射特性の他の選択よりも高く(たとえば、より正確に)することを可能とする第2の測定プロセスの放射特性の選択との間の以前に測定された相関に基づいて各構造に対して実行される。
異なる測定結果からの情報は、異なる測定結果(例えば異なるサブプロセスから計算される感度および/または焦点センサを使用してサブプロセスから得られる反射率の測定結果)を使用して、例えば最適な放射特性(例えば、デュアル波長計測法における最適な第2波長)の最尤値(maximum likelihood value)を計算することにより、数学的に組み合わせることができる。
第2の測定プロセスのための放射特性の構造ごとの個々の選択は、強度のスペクトル分布の中心波長(本明細書では簡単にするために単に「波長」と呼ぶ)を選択することを含むことができる。代替的または追加的に、第2の測定プロセスのための放射特性の個々の選択は、偏光特性(例えば、直線偏光または円偏光の方向)を選択することを含み得る。代替的または追加的に、本方法は、計算された感度の1つまたは複数を使用して、第2の測定プロセス中に検出された反射放射の波長および偏光特性(たとえば直線偏光または円偏光の方向)の一方または両方を個別に選択することを含むことができる。したがって、第2の測定プロセスで使用される入射放射および/または反射放射の波長および偏光のいずれかまたは両方は、第1の測定プロセスのサブプロセスから導出された情報を使用して基板上の各構造に対して個別に最適化され得る。
一実施形態では、第1の測定プロセスのサブプロセスは、以下:第1の偏光特性を有する放射で構造を照明し、第2の偏光特性を有する反射放射を検出すること、第2の偏光特性を有する放射で構造を照明し、第1の偏光特性を有する反射放射を検出すること、第1の偏光特性を有する放射で構造を照明し、第1の偏光特性を有する反射放射を検出すること、そして、第2の偏光特性を有する放射で構造を照明し、第2の偏光特性を有する反射放射を検出すること、のうちの1つ以上を含む。第1の偏光特性は、第2の偏光特性とは異なる。一実施形態では、第1の偏光特性は、第2の偏光特性と直交している(例えば、直交直線偏光または直交円偏光)。したがって、サブプロセスは、共偏光検出モードおよび交差偏光検出モードを含む、入射偏光および検出偏光の偏光の異なる組み合わせに対応する異なる検出モードを備えてもよい。サブプロセスは、入射偏光と検出された偏光の任意の組み合わせに対するゼロ次以上の反射率の検出を含んでもよい。メトリック(metric)は、さまざまな検出モードで得られた感度の組み合わせから形成されてよい。たとえば、KTETMとKTMTEの感度が、互いに符号が反対である、2つの反対の交差偏光検出モードで得られる場合(下付き文字「TE」と「TM」は互いに直交する偏光モードを指す)、次のメトリックrを使用できる。
Figure 0006933725
図10は、本方法をデュアル波長計測に適用した例を示す。このタイプの実施形態では、第1の測定プロセスのサブプロセスの少なくとも1つは、第2の測定プロセスと同じ測定システム(例えば、第2の測定システム62)を使用して実行される。したがって、所与の構造に対するそのサブプロセスの感度の波長依存性(例えば、スイング曲線)は、同じ構造に対する第2の測定プロセスの感度の波長依存性と実質的に同じであり得る。デュアル波長計測は、波長λで動作するように第2の測定プロセスと同じ測定システムを使用してサブプロセスを構成し、波長λで動作するように第2の測定プロセスを準備することによって達成される。次いで、本方法は、波長λで測定された構造の第2の特性に対する感度の少なくとも測定値を使用して、λの最適値を選択する。
いくつかの実施形態では、λおよびλの一方は、波長に対する感度曲線の最大値またはその近くに位置し、λおよびλの他方は、波長に対する感度曲線の最小値またはその近くに位置することが望ましい。たとえば、構造の第2の特性がオーバーレイOVを含む場合、異なる回折次数間の強度の差を使用して、次の関係を使用してOVを取得できる。ΔI=K+K・OV、ここで、Kはプロセスに起因する誘起オフセットであり(構造とは無関係)、Kは、OVに対する測定プロセスの感度を表す。この特定の例では、エラーεは次のように記述できることが示される。
Figure 0006933725
ここで、dは、測定に使用される格子に適用されるオーバーレイバイアスである。λとλを波長に対する感度の曲線の反対側に選択する(つまり、1つを最小、1つを最大にする)と、ΔKが大きくなり、エラーが小さくなる。
図10は、波長に対する3つの感度曲線の例を示す。3つの曲線は、例えば、基板上の異なる構造に対して測定された曲線に対応する。曲線はそれぞれ同様の形をしており、波長方向に沿って互いに対して変位している。λとλの最適値は、曲線ごとに異なる。ただし、λ(例えば波長に対する感度曲線のピークまたはその付近)で測定された感度Kと、λの対応する最適値との間に相関があることが分かった(そして図10で定性的に見ることができる)。ピークの高さは、各曲線で同じではない。したがって、λのピーク高さは、どの曲線が測定対象の構造に最も密接に適用されるかに関する情報を提供し、したがって、λの最適値に対応する極値(たとえば、最小値)の位置に関する情報を提供する。
一実施形態では、λの最適値は、第1の最適化プロセスで決定される。第1の最適化プロセスは、例えば、上記の実施形態のいずれかに従って、例えば構造の反射率を取得するために、焦点センサを使用して問題の構造の測定値を使用してもよい。その後、λの最適値は、λの最適値で実行された測定から計算された少なくとも感度を使用して、後続のプロセスで取得されてよい。
図11は、λの対応する最適値Opt−λに対してプロットされたλで実行された測定から計算された感度KTETEの例を示すグラフである(それぞれ、測定対象の構造の波長に対する感度曲線の谷に対応する)。この特定の例では、入射光と反射光の両方について、共偏光の直線偏光(TE偏光)を使用して測定を実行した。
図10から分かるように、λに対応するピークまたはその付近での感度Kの変化は、1つの曲線から次の曲線へと比較的ゆっくりと変化している。λに対する感度Kに基づいてλの最適値を導き出す感度を高めるために、波長に対する感度曲線の最大値と最小値の中間位置の感度Kを代わりに使用できる。アプローチの例を図12に示す。中間点λMIDでの感度Kは、1つの曲線から次の曲線に移動するときにはるかに速く変化するため、最適なλをより正確に取得できる。この効果に基づく実施形態を以下に説明する。
一実施形態では、複数のサブプロセスは、第1のサブプロセスおよび第2のサブプロセスを含む。構造の第2の特性(例えばオーバーレイ)に対する第1のサブプロセスの感度の波長依存性は、構造の第2の特性に対する第2のサブプロセスの波長依存性と実質的に同じであり、極大値および最小値を含む(図10および図12のように)。
第1のサブプロセスは、極大値と極小値の間の波長分離の10%、オプションで5%、オプションで1%の範囲内で、極大値と極小値のいずれかと揃えられた中心波長を有する放射で構造を照射することを含む。したがって、第1のサブプロセスは、図12のピークまたはピーク付近の波長λで構造を照明することを含んでもよい。
第2のサブプロセスは、極大値と極小値の間の波長分離の40%、オプションで20%、オプションで10%、オプションで5%の範囲内で、極大値と極小値との中間点に揃えられた中心波長を有する放射で構造を照明することを含む。したがって、第2のサブプロセスは、図12のλとλの間の推定中間点λMIDまたはその近くの波長で構造を照明することを含んでよい。λMIDで得られた第2の特性に対する感度(例えばオーバーレイ感度)は、その後、オプションで第1の測定プロセスの他のサブプロセスによって提供される情報(例えば焦点センサ測定からの反射率、λで測定するときに得られる感度、他の検出モードに基づいてサブプロセスから得られる1つ以上の他の感度)と組み合わせて、第2波長λの最適値を導出するために使用される。
本明細書で開示される概念は、監視目的のための構造のリソグラフィ後の測定を超えた有用性を見出すことができる。例えば、そのような検出器アーキテクチャは、パターニングプロセス中に基板を位置合わせするためのリソグラフィ装置で使用される瞳面検出に基づく将来のアライメントセンサの概念で使用されてもよい。
上述のターゲットは、測定の目的のために特別に設計および形成された計測ターゲットであるが、他の実施形態では、基板上に形成されたデバイスの機能部品であるターゲットで特性を測定できる。多くのデバイスには、規則的な格子状の構造がある。本明細書で使用される「ターゲット格子」および「ターゲット」という用語は、実行される測定のために構造が特に提供されていることを必要としない。
計測装置は、図2を参照して上述したリソグラフィセルLCなどのリソグラフィシステムで使用することができる。リソグラフィシステムは、リソグラフィプロセスを実行するリソグラフィ装置LAを備える。リソグラフィ装置は、例えば後続のリソグラフィプロセスを改善するために、後続のリソグラフィプロセスを実行するときに、リソグラフィプロセスによって形成された構造の計測装置による測定結果を使用するように構成され得る。
一実施形態は、構造上のターゲットを測定する方法および/またはリソグラフィプロセスに関する情報を得るために測定値を分析する方法を記述する機械可読命令の1つ以上のシーケンスを含むコンピュータプログラムを含み得る。そのようなコンピュータプログラムがその中に格納されるデータ記憶媒体(たとえば半導体メモリ、磁気または光ディスク)も提供することができる。既存のリソグラフィまたは計測装置が既に製造中および/または使用中である場合、本発明は、本明細書に記載された方法を実行させるための更新されたコンピュータプログラム製品を提供することによって実施できる。
本発明によるさらなる実施形態は、以下の番号付けされた節で説明される。
1.基板上に形成された複数の構造を測定する方法であって、
第1の測定プロセスからデータを取得することであって、前記第1の測定プロセスは前記複数の構造のそれぞれを個別に測定して、前記構造の第1の特性を測定することを含む、ことと、
第2の測定プロセスを使用して前記複数の構造のそれぞれの第2の特性を測定することであって、前記第2の測定プロセスは、前記構造に関して測定された前記第1の特性を使用して、その構造に対して個別に選択される放射特性を有する放射で各構造を照射する、ことと、を備える方法。
2.前記第2の測定プロセスにおける放射特性の個別選択は、前記第1の特性と、前記第2の測定プロセスのパフォーマンスを前記第2の測定プロセスにおける放射特性の他の選択よりも高くすることを可能にする前記第2の測定プロセスにおける放射特性の選択との間の以前に測定された相関に基づいて、各構造に対して実行される、節1に記載の方法。
3.前記構造の前記第1の特性が、反射率を含む、節1または2に記載の方法。
4.前記構造の前記第1の特性が、前記構造から散乱された放射の偏光に対する前記構造の影響を含む、節1から3のいずれかに記載の方法。
5.各構造の前記第2の特性は、前記構造の異なる層間のオーバーレイを含む、節1から4のいずれかに記載の方法。
6.前記第2の測定プロセスにおける放射特性が、強度のスペクトル分布を含む、節1から5のいずれかに記載の方法。
7.前記強度のスペクトル分布が、中心波長および帯域幅の一方または両方を含む、節6に記載の方法。
8.前記第2の測定プロセスにおける放射特性が、放射の偏光を含む、節1から7のいずれかに記載の方法。
9.前記第1の測定プロセスは、第1の放射源を使用して各構造に放射を照射し、
前記第2の測定プロセスは、第2の放射源を使用して各構造に放射を照射し、
前記第1の放射源は、前記第2の放射源とは異なる、節1から8のいずれかに記載の方法。
10.前記第1の測定プロセスは、前記第2の測定プロセスに使用される光学システムの焦点を測定するように構成された焦点センサからの出力を使用する、節1から9のいずれかに記載の方法。
11.前記構造の前記第1の特性が反射率を含み、前記焦点センサからの信号強度が反射率を決定するために使用される、節10に記載の方法。
12.前記第1の測定プロセスは、前記第2の測定プロセスに使用される光学システムの焦点を測定するときにも使用される1つ以上の光学素子を使用し、前記第2の測定プロセスを実行するときに前記1つ以上の光学素子が使用されない、節1から11のいずれかに記載の方法。
13.前記第1の測定プロセスは、第1の放射源を使用して各構造を広帯域放射で照射し、前記第2の測定プロセスにおける放射特性の個別選択は、前記第1の測定プロセスからのデータの分光分析に基づいて各構造について実行される、節1から12のいずれかに記載の方法。
14.前記第1の測定プロセスを実行することを含む、節1から13のいずれかに記載の方法。
15.前記第1の測定プロセスは、1つ以上のサブプロセスを含み、
当該方法は、前記構造の前記第2の特性に対する1つ以上のサブプロセスのそれぞれの感度を計算することを含み、
前記第2の測定プロセスにおける放射特性の個別選択は、計算された1つ以上の感度を使用して実行される、節1に記載の方法。
16.前記第2の測定プロセスにおける放射特性の個別選択は、1つ以上の前記計算された感度のそれぞれと、前記第2の測定プロセスのパフォーマンスを前記第2の測定プロセスにおける放射特性の他の選択よりも高くすることを可能にする前記第2の測定プロセスにおける放射特性の選択との間の以前に測定された相関に基づいて、各構造に対して実行される、節15に記載の方法。
17.前記第2の測定プロセスにおける放射特性の個別選択は、強度のスペクトル分布の中心波長を選択することを含む、節15または16に記載の方法。
18.前記第2の測定プロセスにおける放射特性の個別選択は、偏光特性を選択することを含む、節15から17のいずれかに記載の方法。
19.1つ以上の前記計算された感度を使用して、前記第2の測定プロセス中に検出された反射放射の偏光特性を個別に選択することをさらに含む、節15から18のいずれかに記載の方法。
20.前記サブプロセスは、以下の
第1の偏光特性を有する放射で前記構造を照射し、第2の偏光特性を有する反射放射を検出することと、
前記第2の偏光特性を有する放射で前記構造を照射し、前記第1の偏光特性を有する反射放射を検出することと、
前記第1の偏光特性を有する放射で前記構造を照射し、前記第1の偏光特性を有する反射放射を検出することと、
前記第2の偏光特性を有する放射で前記構造を照射し、前記第2の偏光特性を有する反射放射を検出すること、の1つ以上を含み、
前記第1の偏光特性は、前記第2の偏光特性とは異なる、節15から19のいずれかに記載の方法。
21.前記第1の偏光特性は、前記第2の偏光特性に直交する、節20に記載の方法。
22.前記サブプロセスは、第1のサブプロセスと第2のサブプロセスを含み、
前記構造の前記第2の特性に対する第1のサブプロセスの感度の波長依存性は、前記構造の前記第2の特性に対する前記第2のサブプロセスの波長依存性と実質的に同じであり、極大値および極小値を含み、
前記第1のサブプロセスは、極大値と極小値との間の波長分離の10%の範囲内で、極大値および極小値の一方と揃えられた中心波長を有する放射で前記構造を照射することを含み、
前記第2のサブプロセスは、極大値と極小値との間の波長分離の40%の範囲内で、極大値および極小値との中間点に揃えられた中心波長を有する放射で前記構造を照射することを含む、節15から21のいずれかに記載の方法。
23.前記サブプロセスは、前記構造の前記第1の特性を測定するように構成された少なくとも1つのサブプロセスと、前記構造の前記第2の特性を測定するように構成された少なくとも1つのサブプロセスとを含む、項15から22のいずれかに記載の方法。
24.前記構造の前記第1の特性は、反射率を含み、前記構造の前記第2の特性は、前記構造の異なる層間のオーバーレイを含む、節23に記載の方法。
25.前記第1測定プロセスのサブプロセスを用いて得られた前記第2の特性と、前記第2の測定プロセスを用いて得られた前記第2の特性との組み合わせを用いて、前記構造の前記第2の特性の改善された値を決定することをさらに含む、節23または24に記載の方法。
26.前記基板上に形成された前記複数の構造がリソグラフィプロセスによって形成される、節1から25のいずれかに記載の方法。
27.デバイス製造方法であって、
リソグラフィを使用して基板上に複数の構造を形成することと、
節1から26のいずれかに記載の方法を使用して前記複数の構造を測定することと、
を備える、デバイス製造方法。
28.基板上の複数の構造を測定するための計測装置であって、
第1の測定プロセスを実行するように構成された第1の測定システムであって、前記第1の測定プロセスは、前記複数の構造のそれぞれを個別に測定して前記構造の第1の特性を測定することを含む、第1の測定システムと、
第2の測定プロセスを実行するように構成された第2の測定システムであって、前記第2の測定プロセスは、前記複数の構造のそれぞれの第2の特性を測定することを含む、第2の測定システムと、
前記第2の測定プロセス中に各構造を照射するために使用される放射の放射特性が、前記構造の測定された前記第1の特性を使用してその構造に対して個別に選択されるように、前記第2の測定プロセスを制御するよう構成されたコントローラと、
を備える計測装置。
29.前記コントローラは、前記第1の特性と、前記第2の測定プロセスのパフォーマンスを前記第2の測定プロセスにおける放射特性の他の選択よりも高くすることを可能にする前記第2の測定プロセスにおける放射特性の選択との間の以前に測定された相関に基づいて、各構造に対する前記第2の測定プロセスにおける放射特性の個別選択を実行するよう構成される、節28に記載の装置。
30.前記構造の前記第1の特性は、反射率を含む、節28または29に記載の装置。
31.前記構造の前記第1の特性が、前記構造から散乱された放射の偏光に対する前記構造の影響を含む、節28から30のいずれかに記載の装置。
32.各構造の前記第2の特性は、前記構造の異なる層間のオーバーレイを含む、節28から31のいずれかに記載の方法。
33.前記第2の測定プロセスにおける放射特性が、強度のスペクトル分布を含む、節28から32のいずれかに記載の方法。
34.前記強度のスペクトル分布が、中心波長および帯域幅の一方または両方を含む、節33に記載の方法。
35.前記第2の測定プロセスにおける放射特性は、放射の偏光を含む、節28から34のいずれかに記載の装置。
36.前記第1の測定システムは、各構造に放射を照射するように構成された第1の放射源を備え、
前記第2の測定システムは、各構造に放射を照射するように構成された第2の放射源を備え、
前記第1の放射源は、前記第2の放射源とは異なる、節28から35のいずれかに記載の装置。
37.当該装置は、前記第2の測定システムによって使用される光学システムの焦点を測定するように構成された焦点センサを含む焦点測定システムを備え、
前記第1の測定プロセスは、前記焦点センサからの出力を使用する、節28から36のいずれかに記載の装置。
38.前記構造の前記第1の特性は、反射率を含み、前記焦点センサからの信号強度は、反射率を決定するために使用される、節37に記載の装置。
39.前記第1の測定システムは、前記第2の測定システムによって使用される光学システムの焦点を測定するように構成された焦点測定システムによっても使用される1つ以上の光学素子を含み、前記1つ以上の光学素子は、前記第2の測定システムでは使用されない、節28から38のいずれかに記載の装置。
40.前記第1の測定システムは、各構造を広帯域放射で照射するように構成された第1の放射源を備え、前記コントローラは、前記第1の測定プロセスからのデータの分光分析に基づいて、前記第2の測定プロセスにおける放射特性の個別選択を実行するように構成される、節28から39のいずれかに記載の装置。
41.前記基板上に形成された前記複数の構造は、リソグラフィプロセスによって形成される、節28から40のいずれかに記載の装置。
42.リソグラフィを使用して基板上に複数の構造を形成するように構成されたリソグラフィ装置と、
前記リソグラフィ装置によって形成された前記複数の構造を測定するように構成された節28から41のいずれかに記載の計測装置と、を備える、リソグラフィシステム。
本書では、ICの製造におけるリソグラフィ装置の使用に特に言及する場合があるが、ここで説明するリソグラフィ装置には、統合光学システムの製造、磁区メモリ、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッドなどの用途を有していてもよい。当業者は、そのような代替用途の文脈において、用語「ウエハ」又は「ダイ」は、それぞれより一般的な用語「基板」又は「ターゲット部分」と同義と見なすことができる。本明細書で言及される基板は、露光の前後に、例えばトラック(通常、レジスト層を基板に塗布し、露光されたレジストを現像するツール)又は計測ツールおよび/または検査ツールで処理されてもよい。適用可能な場合、本明細書の開示は、そのような及び他の基板処理ツールに適用されてもよい。さらに、例えば多層ICを作成するために、基板を複数回処理することができ、したがって、本明細書で使用する基板という用語は、すでに複数の処理層を含む基板を指すこともある。
上記では、光学リソグラフィとの関連で本発明の実施形態の使用に特に言及しているが、本発明は、インプリントリソグラフィなどの他の用途においても使用可能であり、文脈上許されれば、光学リソグラフィに限定されないことが理解されよう。インプリントリソグラフィでは、パターニングデバイスの微細構成によって、基板W上に生成されるパターンが画定される。パターニングデバイスの微細構成を基板Wに設けられたレジストの層に押しつけ、その後、電磁放射、熱、圧力またはその組合せにより、レジストを硬化する。レジストを硬化した後、パターニングデバイスMAがレジストから除去され、パターンが残される。
本書で用いられる「放射」および「ビーム」の用語は、いかなる種類の電磁的な放射を包含し、紫外(UV)放射(例えば、365nm、355nm、248nm、193nm、157nmもしくは126nm、または、その近傍の波長を有する)および極端紫外(EUV)放射(例えば、5−20nmの範囲の波長を有する)を含むとともに、イオンビームや電子ビームといった粒子ビームをも含む。
「レンズ」の用語は、文脈が許される場合において、屈折型、反射型、磁気型、電磁気型および静電型の光学素子を含む任意の種類の光学素子の任意の一つまたは組み合わせと称されてもよい。
特定の実施形態の前述の説明は、当業者の範囲内の知識を適用することによって、他の人が、過度の実験をすることなく、本発明の一般的な考え方から逸脱することなく、そのような特定の実施形態を様々な用途に容易に変更および/または適合させることができる本発明の一般的性質を十分に明らかにするであろう。したがって、そのような適合および変更は、本書に提示された教示および示唆に基づいて、開示された実施形態の意義および均等物の範囲内にあることが意図される。本明細書の表現または用語は、本明細書の用語または表現が教示および指針に照らして当業者によって解釈されるように、限定ではなく説明のためのものであることが理解されよう。
本発明の範囲は、上述の例示的な実施形態のいずれにも限定されるのではなく、以下の請求項およびその等価物にしたがってのみ規定されるべきである。

Claims (14)

  1. 基板上に形成された複数の構造を測定する方法であって、
    第1の測定プロセスからデータを取得することであって、前記第1の測定プロセスは前記複数の構造のそれぞれを個別に測定して、前記構造の第1の特性を測定することを含む、ことと、
    第2の測定プロセスを使用して前記複数の構造のそれぞれの第2の特性を測定することであって、前記第2の測定プロセスは、前記構造に関して測定された前記第1の特性を使用して、その構造に対して個別に選択される放射特性を有する放射で各構造を照射する、ことと、を備える方法。
  2. 各構造の前記第2の特性は、前記構造の異なる層間のオーバーレイを含み、
    前記第2の測定プロセスにおける放射特性の個別選択は、前記第1の特性と、前記第2の測定プロセスのオーバーレイパフォーマンスを前記第2の測定プロセスにおける放射特性の他の選択よりも高くすることを可能にする前記第2の測定プロセスにおける放射特性の選択との間の以前に測定された相関に基づいて、各構造に対して実行される、請求項1に記載の方法。
  3. 前記構造の前記第1の特性が、反射率を含む、請求項1または2に記載の方法。
  4. 前記構造の前記第1の特性が、前記構造から散乱された放射の偏光に対する前記構造の影響を含む、請求項1から3のいずれかに記載の方法。
  5. 前記第2の測定プロセスにおける放射特性が、強度のスペクトル分布を含む、請求項1からのいずれかに記載の方法。
  6. 前記強度のスペクトル分布が、中心波長および帯域幅の一方または両方を含む、請求項に記載の方法。
  7. 前記第2の測定プロセスにおける放射特性が、放射の偏光を含む、請求項1からのいずれかに記載の方法。
  8. 前記第1の測定プロセスは、第1の放射源を使用して各構造に放射を照射し、
    前記第2の測定プロセスは、第2の放射源を使用して各構造に放射を照射し、
    前記第1の放射源は、前記第2の放射源とは異なる、請求項1からのいずれかに記載の方法。
  9. 前記第1の測定プロセスは、前記第2の測定プロセスに使用される光学システムの焦点を測定するように構成された焦点センサからの出力を使用する、請求項1からのいずれかに記載の方法。
  10. 前記構造の前記第1の特性が反射率を含み、前記焦点センサからの信号強度が反射率を決定するために使用される、請求項に記載の方法。
  11. 前記第1の測定プロセスは、前記第2の測定プロセスに使用される光学システムの焦点を測定するときにも使用される1つ以上の光学素子を使用し、前記第2の測定プロセスを実行するときに前記1つ以上の光学素子が使用されない、請求項1から10のいずれかに記載の方法。
  12. 前記第1の測定プロセスは、第1の放射源を使用して各構造を広帯域放射で照射し、前記第2の測定プロセスにおける放射特性の個別選択は、前記第1の測定プロセスからのデータの分光分析に基づいて各構造について実行される、請求項1から11のいずれかに記載の方法。
  13. 前記第1の測定プロセスを実行することを含む、請求項1から12のいずれかに記載の方法。
  14. 前記基板上に形成された前記複数の構造がリソグラフィプロセスによって形成される、請求項1から13のいずれかに記載の方法。
JP2019555830A 2017-04-14 2018-03-15 測定方法、デバイス製造方法、計測装置およびリソグラフィシステム Active JP6933725B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP17166691.0 2017-04-14
EP17166691.0A EP3388896A1 (en) 2017-04-14 2017-04-14 Method of measuring
EP18156860.1 2018-02-15
EP18156860 2018-02-15
PCT/EP2018/056554 WO2018188891A1 (en) 2017-04-14 2018-03-15 Method of measuring

Publications (2)

Publication Number Publication Date
JP2020516948A JP2020516948A (ja) 2020-06-11
JP6933725B2 true JP6933725B2 (ja) 2021-09-08

Family

ID=61691982

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019555830A Active JP6933725B2 (ja) 2017-04-14 2018-03-15 測定方法、デバイス製造方法、計測装置およびリソグラフィシステム

Country Status (7)

Country Link
US (2) US10310389B2 (ja)
JP (1) JP6933725B2 (ja)
KR (1) KR102336379B1 (ja)
CN (1) CN110622068B (ja)
IL (1) IL269561B2 (ja)
TW (2) TWI693483B (ja)
WO (1) WO2018188891A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102336379B1 (ko) * 2017-04-14 2021-12-08 에이에스엠엘 네델란즈 비.브이. 측정 방법
US11054250B2 (en) * 2018-04-11 2021-07-06 International Business Machines Corporation Multi-channel overlay metrology
CN112368647B (zh) * 2018-07-06 2024-03-26 Asml荷兰有限公司 位置传感器
US11049745B2 (en) * 2018-10-19 2021-06-29 Kla Corporation Defect-location determination using correction loop for pixel alignment

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6885444B2 (en) * 1998-06-10 2005-04-26 Boxer Cross Inc Evaluating a multi-layered structure for voids
US20020192577A1 (en) * 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
JP4792833B2 (ja) * 2005-06-24 2011-10-12 株式会社ニコン 重ね合わせ測定装置
US8175831B2 (en) * 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
NL1036123A1 (nl) * 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
IL217843A (en) * 2011-02-11 2016-11-30 Asml Netherlands Bv A system and method for testing, a lithographic system, a cell for lithographic processing, and a method for producing a device
CN105278253B (zh) * 2014-07-11 2018-01-19 上海微电子装备(集团)股份有限公司 套刻误差测量装置及方法
WO2016030227A1 (en) 2014-08-29 2016-03-03 Asml Netherlands B.V. Method for controlling a distance between two objects, inspection apparatus and method
JP6510658B2 (ja) * 2015-02-04 2019-05-08 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジの方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
NL2016472A (en) 2015-03-25 2016-09-30 Asml Netherlands Bv Metrology Methods, Metrology Apparatus and Device Manufacturing Method.
US9903711B2 (en) 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
EP3293574A1 (en) * 2016-09-09 2018-03-14 ASML Netherlands B.V. Metrology method, apparatus and computer program
EP3358413A1 (en) * 2017-02-02 2018-08-08 ASML Netherlands B.V. Metrology method, apparatus and computer program
KR102336379B1 (ko) * 2017-04-14 2021-12-08 에이에스엠엘 네델란즈 비.브이. 측정 방법

Also Published As

Publication number Publication date
US10656534B2 (en) 2020-05-19
IL269561A (en) 2019-11-28
US10310389B2 (en) 2019-06-04
WO2018188891A1 (en) 2018-10-18
KR20190137880A (ko) 2019-12-11
CN110622068A (zh) 2019-12-27
KR102336379B1 (ko) 2021-12-08
JP2020516948A (ja) 2020-06-11
US20180299794A1 (en) 2018-10-18
TW201842414A (zh) 2018-12-01
CN110622068B (zh) 2022-01-11
TWI693483B (zh) 2020-05-11
IL269561B1 (en) 2023-01-01
IL269561B2 (en) 2023-05-01
TWI663486B (zh) 2019-06-21
US20190285993A1 (en) 2019-09-19
TW201932995A (zh) 2019-08-16

Similar Documents

Publication Publication Date Title
JP6524256B2 (ja) メトロロジ方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
TWI645257B (zh) 檢查方法、微影裝置、光罩及基板
JP5864752B2 (ja) 焦点補正を決定する方法、リソグラフィ処理セル及びデバイス製造方法
US10416577B2 (en) Position measuring method of an alignment target
US7630087B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
KR20170085116A (ko) 계측 방법, 컴퓨터 제품 및 시스템
KR102370347B1 (ko) 메트롤로지 방법 및 장치 및 연계된 컴퓨터 제품
WO2016198283A1 (en) Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
JP2018507438A (ja) メトロロジの方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
JP6933725B2 (ja) 測定方法、デバイス製造方法、計測装置およびリソグラフィシステム
WO2017016839A1 (en) Inspection apparatus, inspection method, lithographic apparatus and manufacturing method
KR20180095605A (ko) 스캐터로메트리에서의 편광 튜닝
KR20180115742A (ko) 구조체를 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
JP2022504488A (ja) メトトロジ方法、パターニングデバイス、装置及びコンピュータプログラム
TWI764314B (zh) 組態一度量衡標記之方法、用於判定一疊對量測之方法及相關之基板及電腦程式產品
US11150563B2 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target
TWI691802B (zh) 測量目標的方法、度量衡裝置、微影單元及目標
TW201910923A (zh) 量測所關注參數之方法、器件製造方法、度量衡設備及微影系統
TWI792789B (zh) 量測基板上之目標之方法
NL2020323A (en) Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
EP3671346A1 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target
EP3388896A1 (en) Method of measuring

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191211

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210308

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210803

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210819

R150 Certificate of patent or registration of utility model

Ref document number: 6933725

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150