JP6857652B2 - タングステン膜の低抵抗物理的気相堆積のためのシステムおよび方法 - Google Patents

タングステン膜の低抵抗物理的気相堆積のためのシステムおよび方法 Download PDF

Info

Publication number
JP6857652B2
JP6857652B2 JP2018520453A JP2018520453A JP6857652B2 JP 6857652 B2 JP6857652 B2 JP 6857652B2 JP 2018520453 A JP2018520453 A JP 2018520453A JP 2018520453 A JP2018520453 A JP 2018520453A JP 6857652 B2 JP6857652 B2 JP 6857652B2
Authority
JP
Japan
Prior art keywords
target
tungsten
substrate
chamber
backing plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018520453A
Other languages
English (en)
Other versions
JP2018537849A5 (ja
JP2018537849A (ja
Inventor
ジョシリンガム ラマリンガム
ジョシリンガム ラマリンガム
タン エックス グエン
タン エックス グエン
ジヨン ワン
ジヨン ワン
ジャンシン レイ
ジャンシン レイ
シャンミン タン
シャンミン タン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018537849A publication Critical patent/JP2018537849A/ja
Publication of JP2018537849A5 publication Critical patent/JP2018537849A5/ja
Application granted granted Critical
Publication of JP6857652B2 publication Critical patent/JP6857652B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/18Metallic material, boron or silicon on other inorganic substrates
    • C23C14/185Metallic material, boron or silicon on other inorganic substrates by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3435Target holders (includes backing plates and endblocks)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/326Application of electric currents or fields, e.g. for electroforming

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Description

本開示の実施形態は、一般に、基板処理システムに関する。
最近の集積回路の導電性相互接続層は、概して、非常に微細なピッチおよび高い密度のものである。集積回路の金属相互接続層を最終的に形成する前駆体金属膜内の1つのわずかな欠陥が、集積回路の動作の完全性に重大な損傷を与えるような位置にくる可能性がある。
集積回路の金属膜は、典型的には、物理的気相堆積(PVD)または化学気相堆積(CVD)によって形成される。1つのPVD手法は、たとえばカリフォルニア州のApplied Materials Inc.から入手可能なEndura(登録商標)システムなどのDCマグネトロン装置を使用する。前述のタイプのDCマグネトロン装置では、金属または金属合金ターゲットのイオン衝撃により、ターゲット材料の原子または分子が基板上へスパッタされる。
PVDシステムは、高品質の金属膜を比較的低い欠陥密度で作製することができるが、本明細書の発明者らは、そのようなシステムによって実現することができるビット線の小型化の程度が、かなりの程度で金属膜の抵抗によって決まることを観察した。
本明細書では、基板上に配置されたバリア層上へ高融点金属層をスパッタするシステムおよび方法が開示される。1つまたは複数の実施形態では、集積回路内にタングステン構造をスパッタ堆積させる方法は、基板をプラズマ処理チャンバに入れ、不純物として存在する100万分の10以下の炭素および100万分の10以下の酸素を含むタングステンターゲットを備えるスパッタターゲットアセンブリに対向するように、基板支持体上へ動かすステップと、プラズマ処理チャンバ内へクリプトンを流すステップと、クリプトンをプラズマ内に励起させ、ペデスタルによって支持された基板の材料層上にタングステン膜層をスパッタリングによって堆積させるステップとを含む。いくつかの実施形態では、ターゲットアセンブリは、チタンバッキング板と、チタンバッキング板とタングステンターゲットとの間に配置されたアルミニウムボンディング層とをさらに含む。
いくつかの実施形態では、本開示と一致する1つまたは複数の実施形態によるプラズマ処理チャンバ内で使用するためのターゲットは、不純物として存在する100万分の5以下の炭素および100万分の10以下の酸素を含むタングステンターゲットを備えるスパッタターゲットアセンブリを含む。
本開示と一致する1つまたは複数の実施形態によって構築されるプラズマ処理チャンバは、不純物として存在する100万分の10以下の炭素および100万分の10以下の酸素を含むタングステンターゲットを備えるスパッタターゲットアセンブリを備え、タングステンターゲットは、処理領域に接触している第1の表面、および第1の表面とは反対側の第2の表面を有する。プラズマ処理チャンバは、タングステンターゲットの下に配置された基板受け表面を有する基板支持体と、タングステンターゲットに結合されたDC電力供給と、基板支持体に結合されたRF電力供給と、ターゲットの第2の表面に隣接して配置されたマグネトロンとをさらに含み、マグネトロンは、複数の磁石を備える外側極と、複数の磁石を備える内側極とを含み、外側極および内側極は、閉ループマグネトロンアセンブリを形成し、外側極および内側極は各々、磁場を生じさせる。一実施形態では、ターゲットアセンブリは、チタンバッキング板と、チタンバッキング板とタングステンターゲットとの間に配置されたアルミニウムボンディング層とをさらに含む。
追加の実施形態および特徴は、一部は以下の説明に記載されており、一部は本明細書を確かめれば当業者には明らかになり、または開示する実施形態を実行することによって習得することができる。開示する実施形態の特徴および利点は、本明細書に記載する手段、組合せ、および方法によって実現および達成することができる。
開示する実施形態の性質および利点のさらなる理解は、本明細書の残り部分および図面を参照することによって実現することができる。添付の図面は、添付の開示と一致する例示的な実施形態のみを示すものであり、本開示は他の等しく有効な実施形態も許容することができるため、限定的に解釈されるべきではない。
本開示の1つまたは複数の実施形態による改善された特性を有するDRAMメモリ内のダイナミックメモリセルの回路図である。 図1AのDRAMセルに適用可能なゲート電極スタックを示し、ゲート電極スタックが、本開示の1つまたは複数の実施形態によるタングステン低抵抗薄膜の堆積によって形成される特徴を含む図である。 本開示の1つまたは複数の実施形態によるタングステン低抵抗薄膜の堆積によって基板上に特徴を形成する方法のプロセス図である。 本開示の1つまたは複数の実施形態による基板上のタングステンの薄膜堆積によって形成される特徴を含む回路構造を形成するための複数のチャンバを有するプラットフォームシステムを示す図である。 本開示の一実施形態によるプラズマ処理チャンバの横断面図である。 本開示の一実施形態によるチャンバの等角図である。 本開示の1つまたは複数の実施形態によるタングステン低抵抗薄膜の堆積によって基板上に特徴を形成する際に使用するためのマグネトロンの一部分の上面図である。 本開示の1つまたは複数の実施形態によるタングステン低抵抗薄膜の堆積によって基板上に特徴を形成する際に使用するための代替マグネトロンの一部分の上面図である。 本開示と一致する実施形態によるスパッタリングによって得られる薄膜タングステン層の抵抗と厚さとの関係を示すグラフである。
理解を容易にするために、可能な場合、同一の参照番号を使用して、これらの図に共通する同一の要素を指す。これらの図は、原寸に比例して描かれたものではなく、見やすいように簡略化されていることがある。さらに、一実施形態の要素および特徴は、さらなる記載がなくても、本開示と一致する他の実施形態に有益に組み込むことができる。
本開示と一致する実施形態は、概して、ゲート電極スタック内またはビット線構造内で実施することができる、たとえばタングステンなどの薄膜高融点金属から形成された1つまたは複数の低抵抗特徴を含む構造を提供するものであり、これを形成する方法および装置を含む。例として、本開示の実施形態によって形成されるゲート電極スタック構造は、DRAMタイプの集積回路など、メモリタイプの半導体デバイスとすることができる。
図1Aを次に参照すると、DRAMメモリ内で使用することができる1つのトランジスタセルなどの回路図が示されている。本開示による修正形態に適したトランジスタメモリセルの別の例は、カリフォルニア州サンタクララのApplied Materials Inc.に譲渡された2014年2月13日公開のCaoらの米国特許出願公開第2014/00420151号に記載されている。図1Aに示すトランジスタメモリセルは、ストレージコンデンサ10および選択トランジスタ20を備える。選択トランジスタ20は、電界効果トランジスタとして形成され、第1のソース/ドレイン電極21および第2のソース/ドレイン電極23を有し、これらの電極間に活性領域22が配置される。活性領域22の上には、ゲート絶縁層または誘電体層24およびゲート電極25が位置し、合わせて平板コンデンサのように作用し、活性領域22内の電荷密度に影響を与えて、第1のソース/ドレイン電極21と第2のソース/ドレイン電極23との間の電流伝導チャネルを形成または閉鎖することができる。
選択トランジスタ20の第2のソース/ドレイン電極23は、接続線14を介してストレージコンデンサ10の第1の電極11に接続される。ストレージコンデンサ10の第2の電極12は、コンデンサ極板15に接続される。コンデンサ極板15は、DRAMメモリセル配置の複数のストレージコンデンサに共通とすることができる。選択トランジスタ20の第1のソース/ドレイン電極21は、電荷の形でストレージコンデンサ10内に記憶された情報を書き込みかつ読み出すことができるように、ビット線16にさらに接続される。書き込みまたは読み出し動作は、選択トランジスタ20のゲート電極25に接続されたワード線17を介して制御される。書き込みまたは読み出し動作は、第1のソース/ドレイン電極21と第2のソース/ドレイン電極23との間の活性領域22内に電圧を印加して電流伝導チャネルを作製することによって行われる。
トレンチコンデンサ、積層型コンデンサ、および平面コンデンサなど、様々なタイプのコンデンサをDRAMタイプのメモリセル内のストレージコンデンサ10として使用することができる。DRAMタイプのメモリセルがますます小型化され、トレンチコンデンサの横断面が減少すると、回路、たとえばDRAMタイプのメモリセルのMOSデバイスのRC時定数の低減が有益になる。RC時定数は、抵抗器を通って完全充電の割合までコンデンサを充電することまたは初期電圧の数分の1までコンデンサを放電することに関連する時間である。RC時定数は、回路抵抗と回路静電容量の積に等しい。ゲート電極は、回路抵抗の一因である。したがって、DRAMタイプのメモリセル内のMOSデバイスのRC時定数を低減させる1つのやり方は、ゲート電極の抵抗を低減させることとなりうる。
図1Bは、図1Aの選択トランジスタ20などのDRAMタイプのメモリセル内で使用することができるMOSデバイス20Bのゲート電極スタック25Bの一実施形態を示す。MOSデバイス20Bなどの半導体デバイスは、基板30上に形成される。この基板は、シリコン、ゲルマニウムなどの任意のタイプの半導体材料から形成することができる。MOSデバイス20Bは、ソースおよびドレイン領域21Bおよび23Bを基板30上に含む。ソースおよびドレイン領域21Bおよび23Bは、従来のドーピング技法を使用して基板30をドープすることによって形成することができる。ゲート電極スタック25Bの下の区域は、基板30の低濃度ドープ領域22Bとすることができ、ドーパントは、ソースおよびドレイン領域21B、23Bを形成するために使用されるドーパントとは異なる伝導率を有する。加えて、浅いトレンチ隔離領域32も基板30上に形成することができる。
ゲート電極スタック25Bは、ソースおよびドレイン領域21Bおよび23B間に形成される。基板のうち低濃度ドープ領域22Bの上の区域上に、ゲート誘電体層24Bを形成することができる。ゲート誘電体層は、ゲートを基板30から絶縁するために、高誘電率誘電体材料を含む様々な誘電体材料から作ることができる。
ゲート誘電体層24B上に導電膜層26が形成され、ゲート電極スタック25Bの一部を形成する。導電膜層26は、多結晶シリコンとすることができ、またはゲート電極スタックに使用される他のタイプの導電膜とすることができる。一実施形態では、ゲート電極スタック25Bは、導電膜層26上に高融点金属窒化物膜層27Bをさらに含む。高融点金属窒化物膜は、いくつか例を挙げると、窒化チタン(TiN)、窒化タングステン(WN)、ならびにジルコニウム、ハフニウム、タンタル、バナジウム、クロムなどの他の高融点金属の窒化物を含むことができる。高融点金属膜層は、約50オングストローム(Å)〜約150Åの厚さを有することができる。一実施形態では、高融点金属膜層の厚さは、約100Åである。
一実施形態では、高融点金属窒化物膜層27B上にシリコン含有膜層28Bが形成される。シリコン含有膜は、PVD、CVD、およびALD技法などの様々な技法を使用して堆積させたシリコン薄膜とすることができる。シリコン含有膜層は、ホウ素などのドーパントを含むことができる。一実施形態では、シリコン含有膜層は、ケイ化タングステン膜とすることができる。シリコン含有膜層は、約10Å〜30Å、たとえば20Åの厚さを有することができる。他の実施形態では、図1Bに示すシリコン含有膜層28Bは省略される。
ゲート電極スタック25Bはまた、シリコン含有膜層28B上、または省略された場合は高融点金属窒化物膜層27B上に、タングステン膜層29Bを含む。タングステンは、α相またはβ相とすることができる。一実施形態では、タングステン膜層29Bはα相で形成することができ、これはタングステンの抵抗を低減させるのに役立つ。タングステン膜層は、約200Å〜約500Åの厚さを有することができる。いくつかの実施形態では、タングステン膜層は、約200Å〜約300Åの厚さを有する。一実施形態では、タングステン膜層は、200Åの厚さで10μΩ・cm未満の抵抗、250Åの厚さで9μΩ・cm未満の抵抗、および300Åの厚さで約8.5μΩ・cmの抵抗を有する。
多結晶シリコン上にWNまたはWSiNから形成されるゲート電極スタックは、堆積中または堆積後に多結晶シリコンと反応して、金属層と多結晶シリコンとの間に絶縁層を形成することがある。この絶縁層は、特に後の高温処理中に形成されがちである。加えて、WNの堆積中、使用される反応性の窒素プラズマは、多結晶シリコンまたは多結晶シリコン上の自然酸化物と反応し、窒化ケイ素(SiN)を形成することがある。これも一種の絶縁層である。加えて、ポリゲートスタック上にW/AN/Tiが位置する場合、Tiは非常に反応性が強く、多結晶シリコン上の酸化物を取り除いて、後の熱処理中にTiSiNまたはTiOxyを形成する。タングステン膜層29Bと高融点金属窒化物膜層27Bとの間にシリコン含有膜層28Bを介在させることで、他のタイプのゲート電極スタックのこれらの欠点を克服するのに役立つことができる。
図2は、本明細書で一実施形態に記載するゲート電極スタックを形成するプロセス200を示す流れ図を示す。開始ブロック202からプロセス200に入る。プロセス200は、ブロック204に示すように、不純物として100万分の10(ppm)未満の炭素(C)および10ppm未満の酸素(O2)を含むタングステンターゲットを有するスパッタターゲットアセンブリを含むプラズマ処理チャンバを提供することを含むことができる。プラズマ処理チャンバ内のO2およびCの汚染物質源としてのタングステンターゲットの寄与を最小にすることによって、本発明者らは、ターゲット材料のスパッタリングによって導出されるタングステン膜の抵抗に不純物の散乱が与える有害な影響を大幅に低減させることができることを理論化した。
実施形態では、タングステンターゲットの密度は、約19〜約19.30g/cm3であり、タングステンターゲットの厚さは、約500Åより大きく、タングステンターゲットの抵抗は、約8.75〜約9.0μΩ・cmである。実施形態では、タングステンターゲットの相対密度(すなわち、純粋なタングステンの理想密度と比較)は、約99.15〜99.85パーセントであり、一実施形態では、タングステンターゲットの相対密度は、約99.70〜約99.80%である。
プロセス200はまた、ブロック206で、処理チャンバ内に基板を位置決めすることを含むことができ、基板は、ソースおよびドレイン領域と、ソースおよびドレイン領域間のゲート誘電体層と、ゲート誘電体層上の導電膜層とを備える。ブロック206で、高融点金属窒化物膜層または高融点金属ケイ素化合物を導電膜層上に形成することができる。いくつかの実施形態では、基板は高融点金属窒化物膜層を含み、その上にシリコン含有膜層が形成される。プロセス200は、ブロック208で、プラズマ処理チャンバ内へクリプトンガス(Kr)を流すことと、ブロック210で、Krを励起させてプラズマを生成することと、ブロック212で、タングステンターゲット材料のスパッタ堆積によって300Å未満の厚さを有するタングステン薄膜を堆積させることとをさらに含む。
プロセス200の一実施形態では、約数ミリトル(mTorr)の圧力で維持されたプラズマ処理チャンバ内へ、約12標準立方センチメートル/分(sccm)の流量でKrを流し、ターゲットにDC電力を印加し、基板にRFバイアス電力を印加して、約22.30秒後に約150〜500Åの厚さを有するタングステン層を実現する。
いくつかの実施形態では、高融点金属窒化物および/または高融点金属ケイ素化合物膜層、シリコン含有膜層、ならびにタングステン膜層は、図3に示す後述の処理システム300内など、インシトゥで形成される。別の実施形態では、これらの膜は別個の処理システム内で形成され、その場合、いくつかの膜層の形成間に真空破壊が生じる。言い換えれば、様々な膜層の形成は、エクスシトゥで形成することができる。たとえば、シリコン含有膜は、タングステン膜層とは異なる処理システム内で形成することができる。したがって、シリコン含有膜は、酸素に露出されて、シリコン含有層上にSiO2などの自然酸化物層を形成することがある。一実施形態では、タングステン膜層の形成前に、自然酸化物膜は除去され、シリコン含有層は清浄にされる。
たとえば図1Bに示すゲート電極スタックなど、本開示と一致する実施形態による薄い低抵抗のタングステン膜を利用する回路構造の形成は、図3に示すクラスタツール300などの処理システム内で実行することができる。クラスタツール300は、デュアルバッファチャンバ、複数プロセスチャンバ半導体処理ツール、またはデュアルバッファチャンバクラスタツールとすることができる。クラスタツール300は、カリフォルニア州サンタクララ所在のApplied Materials,Inc.から入手可能な、様々な付属チャンバを有するEndura(登録商標)プラットフォームとすることができる。クラスタツール300には、基板の取扱いおよび半導体製造工場の1つの区域から別の区域への輸送のために、1つまたは複数のFOUP332を有するファクトリインターフェース(FI)330を取り付けることができる。FI330は、FOUP332から基板358を取り出して、処理シーケンスを開始する。クラスタツール300は、多角形構造344内に配置された第1のバッファチャンバ346および第2のバッファチャンバ350、ならびに第1の基板移送位置314および第2の基板移送位置316を有する。第1のバッファチャンバ346は、低品質の真空バッファとすることができ、第2のバッファチャンバ350は、高品質の真空バッファとすることができる。基板移送位置は、チャンバとすることができる。
多角形構造344の一方の側に、第1のロードロックチャンバ326および第2のロードロックチャンバ328を配置することができる。多角形構造の概して両側で、第1のロードロックチャンバ326および第2のロードロックチャンバ328に隣接して、第1のガス抜きチャンバ318および第2のガス抜きチャンバ320を配置することができる。多角形構造344の概して両側で、第1のガス抜きチャンバ318、第2のガス抜きチャンバ320、および第1のバッファチャンバ346などのチャンバに隣接して、第1の対のプロセスチャンバ302および304を配置することができる。第1の対のプロセスチャンバ302、304は、カリフォルニア州サンタクララ所在のApplied Materials,Inc.から入手可能な、基板上にタングステン膜を形成するためのVersa(商標)W PVDチャンバとすることができる。多角形構造344の概して両側で、バッファチャンバ350に隣接して、第2の対のプロセスチャンバ306および308を配置することができる。第2の対のプロセスチャンバは、カリフォルニア州サンタクララ所在のApplied Materials,Inc.からやはり入手可能なExtensa(商標)TTN PVDチャンバとすることができる。多角形構造344の概して両側で、第2の対のプロセスチャンバ306、308および第2のバッファチャンバ350に隣接して、第3の対のプロセスチャンバ310および312を配置することができる。第3の対のプロセスチャンバは、カリフォルニア州サンタクララ所在のApplied Materials,Inc.からやはり入手可能な、WSixなどのシリコン含有層を堆積させるためのチャンバとすることができる。
プロセスおよびロードロックチャンバは、複数のスリットバルブ(図示せず)によって第1のバッファチャンバ346および第2のバッファチャンバ350から選択的に分離することができ、それぞれ第1の環境374および第2の環境376を生じさせる。多角形構造344は、第1のバッファチャンバ346および第2のバッファチャンバ350を分離する中心壁342を有する。中心壁342は、第1のバッファチャンバ346および第2のバッファチャンバ350を分離する。基板移送位置314および316は、中心壁342を通って第1のバッファチャンバ346および第2のバッファチャンバ350へつながる個別の通路を提供する。基板移送位置314および316は、複数のスリットバルブ(図示せず)によって隣接する第1のバッファチャンバ346および第2のバッファチャンバ350から選択的に分離される。たとえば、第1のバッファチャンバ346と第1の基板移送位置314との間に1つのスリットバルブを設けることができ、第1の移送位置314と第2のバッファチャンバ350との間に1つの追加のスリットバルブを設けることができ、第1のバッファチャンバ346と第2の基板移送位置316との間に1つのスリットバルブを設けることができ、第2のバッファチャンバ350と第2の基板移送位置316との間に1つのスリットバルブを設けることができる。スリットバルブを使用することで、各チャンバ内の圧力を個別に制御することが可能になる。加えて、第1の基板移送位置314および第2の基板移送位置316は各々、対応する基板を各位置で支持するための基板ペデスタル(図示せず)をそれぞれ有することができる。
第1のバッファチャンバ346は、第1のロードロックチャンバ326、第2のロードロックチャンバ328、第1のガス抜きチャンバ318、第2のガス抜きチャンバ320、第1のプロセスチャンバ302、第2のプロセスチャンバ304、第1の基板移送位置314、および第2の基板移送位置316によって取り囲まれる。第1のプロセスチャンバ302および第2のプロセスチャンバ304、第1のガス抜きチャンバ318および第2のガス抜きチャンバ320、ならびにロードロックチャンバ326、328は各々、スリットバルブ(図示せず)によって第1のバッファチャンバ346から選択的に分離される。第1のバッファチャンバ346内には、第1のロボット基板輸送機構348、たとえば多ブレードロボットが位置する。他のタイプの輸送機構に置き換えることもできる。図示の第1のロボット基板輸送機構348は、基板358の1つまたは複数を支持する基板輸送ブレード360を有することができる。基板輸送ブレード360は、第1のバッファチャンバ346を取り囲むチャンバとの間で基板358を個別に運ぶために、第1のロボット基板輸送機構348によって使用される。
第2のバッファチャンバ350は、プロセスチャンバ306、308、310、および312、ならびに第1の基板移送位置314および第2の基板移送位置316によって取り囲まれる。第2のバッファチャンバ350内には、第2のロボット基板輸送機構352、たとえば多ブレードロボットが位置する。他のタイプの輸送機構に置き換えることもできる。図示の第2のロボット基板輸送機構352は、基板358の1つまたは複数を支持する基板輸送ブレード360を有することができる。基板輸送ブレード360は、第2のバッファチャンバ350を取り囲むチャンバとの間で個別の基板を運ぶために、第2のロボット基板輸送機構352によって使用される。
バッファチャンバ346、350は、第1のバッファチャンバ346および第2のバッファチャンバ350の環境を排気することが可能なターボ分子ポンプなどのポンピング機構(図示せず)に接続された真空ポートを有することができる。真空ポートの構成および位置は、個別のシステムに対する設計基準に応じて変更することができる。
たとえば基板処理は、第1のバッファチャンバ346および第2のバッファチャンバ350がポンピング機構によって真空条件まで減圧されることによって開始することができる。第1のロボット基板輸送機構348は、ロードロックチャンバの1つ(たとえば326)から基板358を取り出し、その基板を第1の処理段階へ、たとえば第1のガス抜きチャンバ318へ運ぶ。第1のガス抜きチャンバ318は、後の処理のための準備として、基板358をその上に形成された構造も含めてガス抜きするために使用することができる。たとえば基板30は、ゲート電極スタック25Bの導電膜層26も含めて、ゲート電極の残りの層を形成する前にガス抜きすることができる。
次の処理段階で、基板をプロセスチャンバ306、308のいずれかへ運び、ブロック206に例示するプロセスによって位置決めされた基板の1つまたは複数の層を製作することができる。第1のロボット基板輸送機構348が1つの基板を運び終えた後、第1のロボット基板輸送機構348は、バッファチャンバ346を取り囲む他のチャンバ内の基板を扱うことができる。基板が処理され、PVD段階で基板上に材料を堆積させた後、この基板を次いで第2の処理段階へ動かすことができ、以下同様である。たとえば、基板は、次いで上述したプロセス206を実行するために、処理チャンバ310、312のいずれかへ動かすことができる。
処理チャンバが第2のバッファチャンバ350に隣接して位置する場合、基板移送位置の1つ(たとえば第1の基板移送位置314)から基板を輸送することができる。バッファチャンバ346および第1の基板移送位置314を分離するスリットバルブは開かれる。第1のロボット基板輸送機構348は、第1の基板移送位置314内へ基板を輸送する。第1のロボット基板輸送機構348に接続された基板輸送ブレード360は、第1の基板移送位置314から取り外されて、ペデスタル上の基板を離れる。第1のバッファチャンバ346および第1の基板移送位置314を分離するスリットバルブが閉じられた後、第2のバッファチャンバ350および第1の基板移送位置314を分離する第2のスリットバルブが開かれ、第2のロボット基板輸送機構352に接続された基板輸送ブレード360を第1の基板移送位置314内へ挿入して基板を取り出すことが可能になる。基板が第2のバッファチャンバ350に入った後、第2のスリットバルブは閉じられ、第2のロボット基板輸送機構352は、第2のバッファチャンバ350および第2のロボット基板輸送機構352によって扱われる適当な処理チャンバまたは一続きのチャンバへ基板を自由に動かすことができる。
基板処理が終了した後、基板をFI330上のFOUP332の1つに装填し、適宜基板移送位置を通って基板を戻す。
上述したブロック212に例示するプロセス中、様々な方法を使用してタングステン膜を形成することができる。図4A〜7の参照を使用して、プロセスについて説明することができる。一実施形態では、タングステン膜を形成する方法は、ターゲットアセンブリ132に結合された直流(DC)電力供給182を使用して、プラズマ処理チャンバ(たとえば、チャンバ100)の処理領域110内にプラズマを形成することを含み、ターゲットアセンブリ132は、チャンバ100内にタングステンターゲット(ターゲット132A)、アルミニウムボンディング層132B、およびチタンバッキング板132Cを含む。タングステンターゲット132Aは、チャンバ100の処理領域110に接触している第1の表面133と、第1の表面133とは反対側の第2の表面135とを有する。DC電力供給182からターゲット132Aへエネルギーが送達され、チャンバの処理領域110内にプラズマが形成される。約500W〜約3.0kWの範囲内、たとえば約1.5kWまたは2.0kWの電力レベルのDC電力を、タングステンターゲットに印加することができる。いくつかの実施形態では、低い抵抗を有する薄いタングステン膜を形成するプロセスは、タングステンターゲット(ターゲット132A)に結合されたDC電源のみを、基板支持体に結合されたRFバイアスとともに使用することができる。しかし、図4Aはターゲットに結合されたDC電源のみを示すが、いくつかの実施形態では、チャンバは、タングステンターゲットに結合されたRFおよびDC電源の両方を有することができる。
実施形態では、マグネトロンシステム189が、ターゲット132Aの中心点の周りを回転することができ、マグネトロンシステム189は、ターゲット132Aの第2の表面135に隣接して配置される。マグネトロンシステム189は、複数の磁石423を備える外側極424と、複数の磁石423を備える内側極425とを含むことができる。外側極424および内側極425は、閉ループマグネトロンアセンブリを形成することができる。マグネトロンシステム、その結果生じる磁場は、堆積プロセス中のクリプトン(Kr)イオンの衝撃に影響を与え、粒径および膜密度などの薄膜特性の制御を可能にする。一実施形態では、チャンバ100は短距離チャンバであり、ターゲットと基板との間隔は、55mm〜75mmの範囲、たとえば73mmまたは65mmである。実施形態では、プラズマは、Krによって着火される。一実施形態では、プラズマは、約10〜約15sccmの範囲内の流量を有するKrガスから生成することができる。
プロセスはまた、チャンバ内で基板支持体126を加熱することを含むことができる。基板支持体126、またはその上に配置された基板は、約100℃〜約400℃の範囲内の温度まで加熱することができる。一実施形態では、基板または支持体は、約150℃〜約400℃の範囲内の温度まで加熱することができる。たとえば、基板または基板支持体は、200℃、250℃、300℃、またはさらに400℃まで加熱することができる。
本明細書の発明者らは、DC電力のみの物理的気相堆積プロセスは、低エネルギーのタングステン種をもたらし、低エネルギーの種により成長したタングステン膜は、高エネルギー粒子にこれらのタングステン膜を貫通させるのに十分な多孔性を有する傾向があることを観察した。この多孔性現象を利用するために、ブロック210に例示するプロセスの実施形態では、RF電力供給を介して基板支持体(および基板)にRFバイアスを印加する。RFバイアスは、約100W〜約1200Wの範囲内の電力レベルを有することができる。一実施形態では、RFバイアスは、約200W〜約400Wの範囲内の電力レベルを有することができ、13.5MHzのRF周波数で印加される。
RFバイアス電力を印加することで、イオンエネルギーが増大し、高エネルギー粒子がタングステン膜を貫通し、膜表面の下にいくつかの原子面を残し、膜の密度を高くすることが可能になる。そのような貫通は、膜応力を引っ張り応力から圧縮応力へ変化させることができ、膜内の空格子点を埋めて膜抵抗を低減させることができる。ただし後者の作用は、侵入型点欠陥が生じることによって相殺される可能性がある。RFバイアスはまた、基板に対するイオンの衝撃を制御して、粒径、膜密度、および他の特性などの薄膜特性に有益な影響を与えるのに役立つ。RFバイアスは、衝突するイオンに余分の運動エネルギーを提供し、大幅な粒子の成長を促すことができる。
上述した様々な変数を使用することによって、チャンバ100内の基板支持体126上に位置決めされた基板105上にタングステン膜を堆積させることができる。さらに、堆積圧力および温度、DC電力、ならびにRFバイアスを制御するとともに、アルミニウム中間層によってチタンバッキング板に結合された不純物が非常に少ない高密度のタングステンターゲットを含むターゲットアセンブリを使用することによって、約8.5μΩ・cmの抵抗を有する厚さ300Å程度のタングステン膜を形成することができ、約9μΩ・cmまたはさらにそれ以下(たとえば、最低で約8.95μΩ・cm)の抵抗を有する厚さ250Å程度のタングステン膜を形成することができる。
さらに、本開示と一致する実施形態によれば、また、特定の範囲内で不均衡な比を有するマグネトロンアセンブリを使用することで、特に不均衡な比が外側磁気ループに比べて内側磁気ループ上でより大きい磁場強度を有するとき、イオン衝撃を改善することができる。加えて、マグネトロンの不均衡な比を調整することによって、厚さの均一性が改善される。また、イオン衝撃が改善されることで、薄膜内へのKr、O2などの閉じ込めまたは取り込みをより少なくすることができ、またそれにより、薄いタングステン膜の抵抗が低減される。
図4Aは、上部プロセスアセンブリ108、プロセスキット150、およびペデスタルアセンブリ120を有する例示的なプラズマ処理チャンバ(チャンバ100)を示し、チャンバ100は、処理領域110内に配置された基板105を処理するように構成することができる。チャンバ100は、図3に示すクラスタツール300上のプロセスチャンバ302または304などのタングステンPVD堆積チャンバとすることができる。プロセスキット150は、一体型の接地シールド160、下部プロセスキット165、および絶縁リングアセンブリ180を含む。図示の形態では、チャンバ100は、ターゲット132Aから基板105上へ単一の材料を堆積させることが可能な物理的気相堆積またはPVDチャンバとも呼ばれるスパッタリングチャンバを構成する。チャンバ100はまた、タングステンを堆積させるために使用することができる。本明細書の発明者らは、他の製造者からのものも含む他の処理チャンバも、本開示の実施形態の1つまたは複数から利益を得るように適合することができることを企図する。
チャンバ100は、処理領域110またはプラズマゾーンを密閉する側壁104、底壁106、および上部プロセスアセンブリ108を有するチャンバ本体101を含む。チャンバ本体101は、典型的には、溶接されたステンレス鋼板または単体のアルミニウムブロックから製作される。一実施形態では、側壁はアルミニウム板を含み、底壁はステンレス鋼板を含む。側壁104は、概して、チャンバ100からの基板105の入口および出口を提供するために、スリットバルブ(図示せず)を含む。チャンバ100の上部プロセスアセンブリ108内の構成要素は、接地シールド160、ペデスタルアセンブリ120、およびカバーリング170と協働して、処理領域110内に形成されたプラズマを基板105より上の領域に閉じ込める。
ペデスタルアセンブリ120は、チャンバ100の底壁106から支持される。ペデスタルアセンブリ120は、処理中に基板105とともに堆積リング502を支持する。ペデスタルアセンブリ120は、リフト機構122によってチャンバ100の底壁106に結合され、リフト機構122は、上部処理位置と下部移送位置との間でペデスタルアセンブリ120を動かすように構成される。加えて、下部移送位置では、単ブレードロボット(図示せず)などのチャンバ100の外に配置された基板移送機構による基板の交換を容易にするために、ペデスタルアセンブリ120を通ってリフトピン123を動かし、ペデスタルアセンブリ120から距離をあけて基板を位置決めする。典型的には、処理領域110をペデスタルアセンブリ120の内部およびチャンバの外部から分離するために、ペデスタルアセンブリ120と底壁106との間にベローズ124が配置される。
ペデスタルアセンブリ120は、概して、プラットフォームハウジング128に密閉して結合された基板支持体126を含む。プラットフォームハウジング128は、典型的には、ステンレス鋼またはアルミニウムなどの金属材料から製作される。概して、基板支持体126を熱的に調節するために、プラットフォームハウジング128内に冷却板(図示せず)が配置される。
基板支持体126は、アルミニウムまたはセラミックから構成することができる。基板支持体126は、処理中に基板105を受け取って支持する基板受け表面127を有し、基板受け表面127は、ターゲットアセンブリ132のターゲット132Aのスパッタリング表面(たとえば、第1の表面133)に対して実質上平行である。基板支持体126はまた、基板105の張り出しエッジ105Aより手前で終了する周囲エッジ129を有する。基板支持体126は、静電チャック、セラミック体、ヒータ、またはこれらの組合せとすることができる。一実施形態では、基板支持体126は、中に埋め込まれた電極(たとえば、導電層125)を有する誘電体を含む静電チャックである。誘電体は、典型的には、熱分解性窒化ホウ素、窒化アルミニウム、窒化ケイ素、アルミナ、または同等の材料などの高熱伝導率の誘電体材料から製作される。ペデスタルアセンブリ120および基板支持体126の他の態様について、以下でさらに説明する。一実施形態では、導電層125は、基板105と基板支持体126との間の熱伝達を改善するために、DC電圧が導電層125に印加されるとき、静電チャック電力供給143によって、基板受け表面127上に配置された基板105がそこに静電チャックされるように構成される。別の実施形態では、RFバイアスコントローラ141も導電層125に結合され、したがって基板105の表面とのプラズマ相互作用に影響を与えるように、処理中に基板上で電圧を維持することができる。
チャンバ100は、システムコントローラ190によって制御され、システムコントローラ190は概して、チャンバ100の制御および自動化を容易にするように設計されており、典型的には中央処理ユニット(CPU)(図示せず)、メモリ(図示せず)、および支持回路(またはI/O)(図示せず)を含む。CPUは、様々なシステム機能、基板の動き、チャンバプロセス、および支持ハードウェア(たとえば、センサ、ロボット、モータなど)を制御し、プロセス(たとえば、基板支持体の温度、電力供給変数、チャンバプロセス時間、I/O信号など)を監視するために工業的な環境で使用される任意の形態のコンピュータプロセッサとすることができる。メモリは、CPUに接続され、ランダムアクセスメモリ(RAM)、読み取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、または任意の他の形態のローカルまたは遠隔のデジタルストレージなど、容易に入手可能なメモリの1つまたは複数とすることができる。ソフトウェア命令およびデータは、CPUに命令するためにメモリ内にコード化および記憶することができる。支持回路もまた、従来どおりプロセッサを支持するためにCPUに接続される。支持回路は、キャッシュ、電力供給、クロック回路、入出力回路、サブシステムなどを含むことができる。システムコントローラ190によって可読のプログラム(またはコンピュータ命令)は、基板上でどのタスクが実行可能であるかを判定する。実施形態では、プログラムは、システムコントローラ190によって可読のソフトウェアであり、チャンバ100内で実行されている動きならびに様々なプロセスの方策タスクおよび方策プロセスの監視、実行、および制御に関するタスクを実行するためのコードを含む。たとえば、システムコントローラ190は、ペデスタルアセンブリ120を動作させるように設定された基板位置決め命令と、チャンバ100へのスパッタリングガスの流れを設定するためにガス流量制御バルブを動作させるように設定されたガス流量制御命令と、チャンバ100内の圧力を維持するためにスロットルバルブまたはゲートバルブを動作させるように設定されたガス圧力制御命令と、基板または側壁104それぞれの温度を設定するためにペデスタルアセンブリ120または側壁104内の温度制御システム(図示せず)を制御するように設定された温度制御命令と、チャンバ100内のプロセスを監視するように設定されたプロセス監視命令とを含むプログラムコードを含むことができる。
チャンバ100はまた、たとえば、構成要素の表面からスパッタリング堆積物を取り除くため、侵食された構成要素を交換もしくは修理するため、またはチャンバ100を他のプロセスに適合させるために、チャンバ100から容易に取り出すことができる様々な構成要素を備えるプロセスキット150を含む。一実施形態では、プロセスキット150は、絶縁リングアセンブリ180と、接地シールド160と、基板105の張り出しエッジより手前で終了する基板支持体126の周囲エッジ129の周りに配置するためのリングアセンブリ168とを備える。
図4Bは、クラスタツール300の処理位置に結合されたチャンバ100の等角図である。クラスタツール300はまた、チャンバ100内で堆積プロセスを実行する前または後に基板上で1つまたは複数の処理動作を実行するように適合された図3に示すような他の処理チャンバを含むことができる。例示的なクラスタツール300は、カリフォルニア州サンタクララのApplied Materials Inc.から入手可能なCentura(登録商標)またはEndura(登録商標)システムを含むことができる。一例では、クラスタツール300は、周期的層堆積、化学気相堆積(CVD)、物理的気相堆積(PVD)、原子層堆積(ALD)、エッチング、前洗浄、ガス抜き、アニーリング、配向、および他の基板プロセスなどの複数の基板処理動作を実行するように構成された処理チャンバを有することができる。移送ツール、たとえば第1のバッファチャンバ346内に配置された第1のロボット基板輸送機構348を使用して、クラスタツール300に取り付けられた1つまたは複数のチャンバとの間で基板を移送することができる。
上部プロセスアセンブリ108はまた、RF電力供給181、DC電力供給182、アダプタ102、モータ193、およびリッドアセンブリ130を備えることができる。リッドアセンブリ130は、概して、アルミニウム拡散接合中間層(アルミニウムボンディング層132B)によってチタンバッキング板132Cに結合されたタングステンターゲット(ターゲット132A)を有するターゲットアセンブリ132と、マグネトロンシステム189と、リッド筐体191とを備える。上部プロセスアセンブリ108は、図4Aおよび図4Bに示すように、閉位置にあるとき、側壁104によって支持される。絶縁リングアセンブリ180と、ターゲットアセンブリ132と、リッドアセンブリ130のアダプタ102との間には、これらの間の真空漏れを防止するために、セラミックターゲット絶縁物136が配置される。アダプタ102は、側壁104に密閉可能に結合され、上部プロセスアセンブリ108および絶縁リングアセンブリ180を取り出すのを助けるように構成される。
処理位置にあるとき、ターゲット132Aは、アダプタ102に隣接して配置され、チャンバ100の処理領域110に露出される。ターゲット132Aが形成されるタングステンは、PVDまたはスパッタリングプロセス中に基板105上に堆積される。絶縁リングアセンブリ180は、ターゲット132Aをシールド160およびチャンバ本体101から電気的に分離するために、ターゲット132Aと、シールド160と、チャンバ本体101との間に配置される。
処理中、ターゲット132Aは、DC電力供給182内に配置された電源によって、処理チャンバの接地領域(たとえば、チャンバ本体101およびアダプタ102)に対してバイアスされる。一実施形態では、DC電力供給182内のDC電源182Aは、約0〜約9.0kWのDC電力を送達することが可能である。
処理中、ガス源142から導管144を介して処理領域110へ、高原子量の非反応性ガスが供給される。ガス源142は、ターゲット132Aに勢いよく当たってターゲット132Aから材料をスパッタすることが可能なクリプトンまたはキセノンなどの非反応性ガスを含むことができる。ガス源142はまた、スパッタリング材料と反応して基板上に層を形成することが可能な窒素含有ガスなどの反応性ガスを含むことができる。使用済みプロセスガスおよび副生成物は、排気口146を通ってチャンバ100から排気される。排気口146は、使用済みプロセスガスを受け取り、チャンバ100内の処理領域110内の圧力を制御するために調整可能な位置ゲートバルブ147を有する排気導管148へ使用済みプロセスガスを誘導する。排気導管148は、クライオポンプなどの1つまたは複数の排気ポンプ149に接続される。典型的には、処理中のチャンバ100内のスパッタリングガスの圧力は、真空環境などの大気圧以下のレベル、たとえば約1.0mTorr〜約10.0mTorrの圧力に設定される。一実施形態では、処理圧力は、約2.5mTorr〜約6.5mTorrに設定される。基板105とターゲット132Aとの間にプラズマが形成され、プラズマ内のガスイオンは、ターゲット132Aの方へ加速され、材料はターゲット132Aから取り外される。取り外されたターゲット材料は、基板上に堆積する。
リッド筐体191は、概して、導電壁185、中心供給部184、および遮蔽部186を備える(図4Aおよび図4B)。図示の構成では、導電壁185、中心供給部184、ターゲット132A、およびモータ193の一部分が、裏側領域134を密閉および形成する。裏側領域134は、ターゲット132Aの裏側に配置された密閉領域であり、概して処理中にターゲット132Aで生成される熱を除去するために処理中に流動液で充填される。一実施形態では、導電壁185および中心供給部184は、モータ193およびマグネトロンシステム189を支持するように構成され、したがってモータ193は、処理中にマグネトロンシステム189を回転させることができる。一実施形態では、モータ193は、デルリン、G10、またはアーデルなどの誘電体層を使用することによって、DC電力供給から送達されるDC電力から電気的に分離される。
遮蔽部186は、クラスタツール300(図4B)内に配置された他の処理チャンバに干渉して影響を与えるターゲット132Aへ送達されるエネルギーを密閉および防止するように位置決めされた1つまたは複数の誘電体材料を含むことができる。一構成では、遮蔽部186は、デルリン、G10、アーデル、もしくは他の類似の材料、および/または薄い接地金属シートRFシールドを含むことができる。
チャンバ100の一実施形態では、RFバイアスコントローラ141(図4A)が、電極とRF接地との間に結合されて、処理中に基板上のバイアス電圧を調整し、基板表面に対する衝撃の程度を制御する。一実施形態では、電極は、基板支持体126の基板受け表面127に隣接して配置され、電極(たとえば、導電層125)を備える。PVDリアクタ内では、接地に対する電極のインピーダンスを制御することによって、基板表面の衝撃を調節することで、粒径、膜応力、結晶配向、膜密度、粗さ、および膜組成などの堆積した膜の特性に影響を及ぼすであろう。したがって、RFバイアスコントローラ141を使用して、基板表面の膜特性を変更することができる。一実施形態では、RFバイアスコントローラ141は、RF電源(図示せず)およびRFマッチ(図示せず)を有する。RFバイアス電力設定点は、基板上で実現すべき該当する処理結果に依存することができる。
図5A〜5Cは、本開示と一致する1つまたは複数の実施形態によるターゲットDCバイアスおよび基板RFバイアスの異なる条件下における抵抗とタングステン膜の厚さとの関係を示すグラフである。
図5は、本開示の1つまたは複数の実施形態によるタングステン低抵抗薄膜の堆積によって基板上に特徴を形成する際に使用するためのマグネトロンの第1の実施形態の一部分の上面図を示す。本開示の一実施形態によれば、図4Aおよび図5の参照によって理解されるように、マグネトロンシステム189は、ソースマグネトロンアセンブリ420を含み、ソースマグネトロンアセンブリ420は、回転板413、外側極424、および内側極425を備える。概して、回転板413により、ソースマグネトロンアセンブリ420内の磁場生成構成要素の位置決めをチャンバ100の中心軸194に対して動かすことが可能になる。
回転板413は、概して、垂直方向に第1の磁気極性を有する外側極424と、第1の磁気極性とは反対の第2の磁気極性を有する内側極425とを支持して磁気的に結合するように適合される。外側極424は、間隙427によって内側極425から分離され、極の各々は、概して、1つまたは複数の磁石と、磁極片(外側磁極片421、内側磁極片422)とを備える。外側極424と内側極425との間に延びる磁場は、ターゲット132Aのスパッタリング面の第1の部分に隣接してプラズマ領域を生じさせる。プラズマ領域は、概して間隙427の形状に追従する高密度のプラズマ領域を形成する。
に示す例示的な実施形態では、マグネトロンシステム189は、閉ループ設計である。概して、「閉ループ」マグネトロン構成は、マグネトロンの外側極がマグネトロンの内側極を取り囲み、極間に間隙を形成し、これが連続ループになるように形成される。閉ループ構成では、ターゲットの表面から出現して再び入る磁場は、「閉ループ」パターンを形成し、これを使用してターゲットの表面付近に閉パターンで電子を閉じ込めることができ、これは多くの場合、「レーストラック」タイプのパターンと呼ばれる。開ループではなく閉ループのマグネトロン構成は、ターゲット132Aの第1の表面133付近に電子を閉じ込めて高密度のプラズマを生成し、スパッタリング収率を増大させることが可能である。
マグネトロンシステム189の一実施形態では、モータ193によって電力供給される回転シャフト193Aが、中心軸194に沿って延び、回転板413およびソースマグネトロンアセンブリ420を支持する。処理中、スパッタリングによりターゲット132Aが大幅に加熱される。したがって、裏側領域134がターゲット132Aの裏面に密閉され、冷却水の液体で充填される。冷却水は、冷却装置(図示せず)および冷却水を循環させる送水管系(図示せず)によって冷却される。回転シャフト193Aは、回転シール(図示せず)を通ってチャンバ100を貫通する。マグネトロンシステム189は、裏側領域134内に配置された液体中に浸漬される。
いくつかの実施形態では、ソースマグネトロンアセンブリ420は、不均衡なマグネトロンである。典型的には、不均衡性は、外側極424にわたって統合された総磁気強度または磁束を、内側極425にわたって統合された総磁気強度または磁束で割った比として定義される。本明細書の発明者らは、外側と内側の磁界強度の不均衡性を約1.56〜約0.57で維持することによって、タングステン膜の堆積プロセスを改善して衝撃および粒径を増大させることができることを観察した。一実施形態では、外側と内側の磁界強度の不均衡性は、約1.15〜約0.93の比である。磁気的な不均衡性により、内側極425から出る磁場の一部分が基板105の方へ突出し、イオン化されたスパッタ粒子を基板105へ案内する。しかし、ソースマグネトロンアセンブリ420は、スパッタされた粒子の大部分をイオン化させるプラズマを生じさせるであろう。イオン化された粒子は、不均衡な磁場によって基板105の方へ少なくとも部分的に案内され、膜の厚さの均一性を改善する。
図5の例示的な実施形態では、マグネトロンシステム189の一実施形態が示されており、外側極424および内側極425が、ターゲット132Aの中心「M」の周りを中心とする閉ループリングマグネトロンを形成する。図6は、本開示の1つまたは複数の実施形態によるタングステン低抵抗薄膜の堆積によって基板上に特徴を形成する際に使用するための代替マグネトロンの一部分の上面図を示す。図6の実施形態では、内側極425Aは、2重の同心円状アレイの磁石を含み、外側極424Aは、単一アレイの磁石を有するいくつかの領域と、2重のアレイを構成する他の領域とを含む。
図5および図6の例示的な実施形態の各々では、プラズマ密度は、概して、最も低密度の磁石またはいくつかの実施形態ではゼロの磁石を有する領域に比べて、マグネトロンシステム189のうち第2の軸492(図5)または492A(図6)より上の領域、または最も高密度の磁石を有する領域に隣接する処理領域内でより高いであろう。第1の軸491(図5)または491A(図6)は、第2の軸492または492Aにそれぞれ直交している。マグネトロンは、ターゲットおよびチャンバの上で、概して中心の軸上で回転し、したがって一実施形態では、処理中にモータ193によって幾何中心「M」の周りを回転させられるように構成される。
図7は、本開示と一致する実施形態によるスパッタリングによって得られる例示的な薄膜タングステン層の抵抗と厚さとの関係を示すグラフである。図7に示す結果は例示的であり、本開示の範囲を限定すると解釈されるべきではない。
上記は、本開示の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示と一致する他のさらなる実施形態を考案することができる。

Claims (11)

  1. 集積回路内にタングステン構造をスパッタ堆積させる方法であって、
    基板をプラズマ処理チャンバに入れ、不純物として存在する100万分の10以下の炭素および100万分の10以下の酸素を含むタングステンターゲットを備えるスパッタターゲットアセンブリに対向するように、基板支持体上へ動かすステップと、
    前記プラズマ処理チャンバ内へクリプトンを流すステップと、
    前記クリプトンをプラズマ内に励起させ、前記基板支持体によって支持された基板の材料層上にタングステン膜層をスパッタリングによって堆積させるステップとを含み、
    前記スパッタターゲットアセンブリは、前記タングステンターゲットに結合されたバッキング板を含み、
    前記バッキング板は、チタンバッキング板であり、前記スパッタターゲットアセンブリは、前記チタンバッキング板と前記タングステンターゲットとの間に配置されたアルミニウムボンディング層を含む、方法。
  2. 前記タングステンターゲットは、約8.75〜約9.0μΩ・cmの抵抗を有する、請求項に記載の方法。
  3. 前記タングステンターゲットは、
    少なくとも500オングストローム(Å)の厚さ、または
    約19〜約19.35g/cm3の密度および約8.75〜約9.0μΩ・cmの抵抗の少なくとも1つを有する、請求項1又は2に記載の方法。
  4. 前記タングステン膜層は、約250〜約300オングストローム(Å)の厚さで約9.0μΩ・cm未満の抵抗を有する、請求項1からまでのいずれか1項に記載の方法。
  5. 前記クリプトンをプラズマ内に励起させるステップは、RF電力供給から前記基板へバイアスRF電力を送達し、DC電源から前記タングステンターゲットへDC電力を送達することを含む、請求項1から4までのいずれか1項に記載の方法。
  6. 前記材料層は、窒化タングステンまたはケイ化タングステンを含む、請求項1から4までのいずれか1項に記載の方法。
  7. プラズマ処理チャンバ内で使用するためのターゲットであって、
    不純物として存在する100万分の10以下の炭素および100万分の10以下の酸素を含むタングステンターゲットを備えるスパッタターゲットアセンブリを備え
    前記スパッタターゲットアセンブリは、前記タングステンターゲットに結合されたバッキング板を含み、
    前記バッキング板は、チタンバッキング板であり、前記スパッタターゲットアセンブリは、前記チタンバッキング板と前記タングステンターゲットとの間に配置されたアルミニウムボンディング層を含む、ターゲット。
  8. 不純物として存在する100万分の10以下の炭素および100万分の10以下の酸素を含むタングステンターゲットを備えるスパッタターゲットアセンブリであって、処理領域に接触している第1の表面、および前記第1の表面とは反対側の第2の表面を有するタングステンターゲットと、
    前記タングステンターゲットの下に配置された基板受け表面を有する基板支持体と、
    前記タングステンターゲットに結合されたDC電力供給と、
    前記基板支持体に結合されたRF電力供給と、
    前記タングステンターゲットの前記第2の表面に隣接して配置されたマグネトロンとを備え、前記マグネトロンは、
    複数の磁石を備える外側極と、
    複数の磁石を備える内側極とを含み、前記外側極および内側極は、閉ループマグネトロンアセンブリを形成し、前記外側極および前記内側極は各々、磁場を生じさせ
    前記スパッタターゲットアセンブリは、前記タングステンターゲットに結合されたバッキング板を含み、
    前記バッキング板は、チタンバッキング板であり、前記スパッタターゲットアセンブリは、前記チタンバッキング板と前記タングステンターゲットとの間に配置されたアルミニウムボンディング層を含む、
    プラズマ処理チャンバ。
  9. 前記タングステンターゲットは、約8.75〜約9.0μΩ・cmの抵抗を有する、請求項に記載のプラズマ処理チャンバ。
  10. 前記タングステンターゲットは、少なくとも500オングストローム(Å)の厚さを有する、請求項8又は9に記載のプラズマ処理チャンバ。
  11. 前記タングステンターゲットは、約19.0〜約19.30g/cm3の密度および約8.75〜約9.0μΩ・cmの抵抗を有する、請求項から10までのいずれか1項に記載のプラズマ処理チャンバ。
JP2018520453A 2015-10-22 2016-10-21 タングステン膜の低抵抗物理的気相堆積のためのシステムおよび方法 Active JP6857652B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562245050P 2015-10-22 2015-10-22
US62/245,050 2015-10-22
US14/981,190 US10043670B2 (en) 2015-10-22 2015-12-28 Systems and methods for low resistivity physical vapor deposition of a tungsten film
US14/981,190 2015-12-28
PCT/US2016/058134 WO2017070479A1 (en) 2015-10-22 2016-10-21 Systems and methods for low resistivity physical vapor deposition of a tungsten film

Publications (3)

Publication Number Publication Date
JP2018537849A JP2018537849A (ja) 2018-12-20
JP2018537849A5 JP2018537849A5 (ja) 2019-12-05
JP6857652B2 true JP6857652B2 (ja) 2021-04-14

Family

ID=58557873

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018520453A Active JP6857652B2 (ja) 2015-10-22 2016-10-21 タングステン膜の低抵抗物理的気相堆積のためのシステムおよび方法

Country Status (6)

Country Link
US (2) US10043670B2 (ja)
JP (1) JP6857652B2 (ja)
KR (1) KR20180061386A (ja)
CN (1) CN108140560B (ja)
TW (2) TWI701347B (ja)
WO (1) WO2017070479A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109136852B (zh) * 2018-10-10 2020-10-09 中国原子能科学研究院 一种在金属基衬上镀制钨膜的方法
US10700072B2 (en) 2018-10-18 2020-06-30 Applied Materials, Inc. Cap layer for bit line resistance reduction
US10529602B1 (en) * 2018-11-13 2020-01-07 Applied Materials, Inc. Method and apparatus for substrate fabrication
US11631680B2 (en) 2018-10-18 2023-04-18 Applied Materials, Inc. Methods and apparatus for smoothing dynamic random access memory bit line metal
US10903112B2 (en) * 2018-10-18 2021-01-26 Applied Materials, Inc. Methods and apparatus for smoothing dynamic random access memory bit line metal
US11329052B2 (en) * 2019-08-02 2022-05-10 Applied Materials, Inc. Method of processing DRAM
WO2021101700A1 (en) * 2019-11-21 2021-05-27 Applied Materials, Inc. Methods and apparatus for smoothing dynamic random access memory bit line metal
CN115461489A (zh) 2020-04-30 2022-12-09 东京毅力科创株式会社 Pvd装置
US11447857B2 (en) * 2020-09-15 2022-09-20 Applied Materials, Inc. Methods and apparatus for reducing tungsten resistivity
US11798845B2 (en) * 2020-10-28 2023-10-24 Applied Materials, Inc. Methods and apparatus for low resistivity and stress tungsten gap fill
CN115011928B (zh) * 2021-03-05 2024-03-05 台湾积体电路制造股份有限公司 再生靶材的方法及形成材料薄膜的方法
US11723293B2 (en) 2021-03-26 2023-08-08 International Business Machines Corporation Reactivation of a deposited metal liner
DE102022000936A1 (de) * 2022-03-17 2023-09-21 Singulus Technologies Aktiengesellschaft Beschichtungsmodul mit verbesserter Kathodenanordnung

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0529257A (ja) * 1991-07-24 1993-02-05 Tokyo Electron Ltd スパツタリング方法
US5693203A (en) * 1992-09-29 1997-12-02 Japan Energy Corporation Sputtering target assembly having solid-phase bonded interface
JPH0776771A (ja) * 1993-09-08 1995-03-20 Japan Energy Corp タングステンスパッタリングターゲット
US5879524A (en) * 1996-02-29 1999-03-09 Sony Corporation Composite backing plate for a sputtering target
US10047430B2 (en) * 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6274484B1 (en) 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
TWI229138B (en) 2001-06-12 2005-03-11 Unaxis Balzers Ag Magnetron-sputtering source
JP4659278B2 (ja) 2001-06-18 2011-03-30 株式会社アライドマテリアル タングステン焼結体およびその製造方法並びにタングステン板材およびその製造方法
US6896773B2 (en) * 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
US7186319B2 (en) * 2005-01-05 2007-03-06 Applied Materials, Inc. Multi-track magnetron exhibiting more uniform deposition and reduced rotational asymmetry
US7790604B2 (en) * 2007-08-20 2010-09-07 Applied Materials, Inc. Krypton sputtering of thin tungsten layer for integrated circuits
JP5243541B2 (ja) 2008-06-02 2013-07-24 Jx日鉱日石金属株式会社 タングステン焼結体スパッタリングターゲット
TWI517390B (zh) * 2010-06-10 2016-01-11 應用材料股份有限公司 具增強的遊離及rf功率耦合的低電阻率鎢pvd
US8846451B2 (en) * 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
WO2012099975A2 (en) 2011-01-18 2012-07-26 Applied Materials, Inc. Electrochromic tungsten oxide film deposition
US9399812B2 (en) * 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9499901B2 (en) * 2012-01-27 2016-11-22 Applied Materials, Inc. High density TiN RF/DC PVD deposition with stress tuning
WO2013129434A1 (ja) * 2012-03-02 2013-09-06 Jx日鉱日石金属株式会社 タングステン焼結体スパッタリングターゲット及び該ターゲットを用いて成膜したタングステン膜
KR20150023767A (ko) * 2012-07-04 2015-03-05 제이엑스 닛코 닛세키 킨조쿠 가부시키가이샤 스퍼터링 타겟
KR102059710B1 (ko) * 2013-03-22 2019-12-26 제이엑스금속주식회사 텅스텐 소결체 스퍼터링 타깃 및 그 제조 방법
KR20150012584A (ko) 2013-07-25 2015-02-04 삼성디스플레이 주식회사 스퍼터링 타겟 제조 방법, 유기 발광 표시 장치 및 유기 발광 표시 장치 제조 방법

Also Published As

Publication number Publication date
KR20180061386A (ko) 2018-06-07
TWI738410B (zh) 2021-09-01
TW201728767A (zh) 2017-08-16
CN108140560A (zh) 2018-06-08
JP2018537849A (ja) 2018-12-20
TWI701347B (zh) 2020-08-11
US10734235B2 (en) 2020-08-04
US10043670B2 (en) 2018-08-07
CN108140560B (zh) 2023-04-11
TW202039878A (zh) 2020-11-01
US20170117153A1 (en) 2017-04-27
US20180337052A1 (en) 2018-11-22
WO2017070479A1 (en) 2017-04-27

Similar Documents

Publication Publication Date Title
JP6857652B2 (ja) タングステン膜の低抵抗物理的気相堆積のためのシステムおよび方法
JP2018537849A5 (ja)
TWI572043B (zh) 具增強的游離及rf功率耦合的低電阻率鎢pvd
US9583349B2 (en) Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
TWI499682B (zh) 電漿處理腔室以及沉積薄膜的方法
US8846451B2 (en) Methods for depositing metal in high aspect ratio features
KR102574313B1 (ko) 배리어 막 증착 및 처리
WO2018067464A1 (en) Methods and devices using pvd ruthenium
US11913107B2 (en) Methods and apparatus for processing a substrate
US20180057929A1 (en) Method of Depositing Aluminum Oxide Film, Method of Forming the Same, and Sputtering Apparatus
US20220364230A1 (en) Pulsing plasma treatment for film densification

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191025

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201015

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210218

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210322

R150 Certificate of patent or registration of utility model

Ref document number: 6857652

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250