JP6688763B2 - プラズマ処理方法 - Google Patents

プラズマ処理方法 Download PDF

Info

Publication number
JP6688763B2
JP6688763B2 JP2017106733A JP2017106733A JP6688763B2 JP 6688763 B2 JP6688763 B2 JP 6688763B2 JP 2017106733 A JP2017106733 A JP 2017106733A JP 2017106733 A JP2017106733 A JP 2017106733A JP 6688763 B2 JP6688763 B2 JP 6688763B2
Authority
JP
Japan
Prior art keywords
heaters
high frequency
applying
plasma treatment
power supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017106733A
Other languages
English (en)
Other versions
JP2018206805A (ja
JP2018206805A5 (ja
Inventor
健吾 金子
健吾 金子
廣瀬 潤
潤 廣瀬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2017106733A priority Critical patent/JP6688763B2/ja
Priority to US15/989,291 priority patent/US10361089B2/en
Priority to KR1020180059824A priority patent/KR102505679B1/ko
Priority to TW107118073A priority patent/TWI751340B/zh
Priority to CN201810539508.7A priority patent/CN108987231B/zh
Priority to CN202010467289.3A priority patent/CN111653466B/zh
Publication of JP2018206805A publication Critical patent/JP2018206805A/ja
Publication of JP2018206805A5 publication Critical patent/JP2018206805A5/ja
Application granted granted Critical
Publication of JP6688763B2 publication Critical patent/JP6688763B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本開示の実施形態は、プラズマ処理方法に関するものである。
半導体デバイスといった電子デバイスの製造においては、プラズマ処理装置が用いられている。プラズマ処理装置は、一般的に、チャンバ本体及びステージを備えている。チャンバ本体は、その内部空間をチャンバとして提供している。ステージは、チャンバ内に設けられている。ステージは、その上に載置される基板を支持するように構成されている。
ステージは、静電チャックを含む。静電チャックは、基台及びチャック本体を有する。基台には、高周波電源が接続されている。チャック本体は基台上に設けられている。チャック本体は、当該チャック本体とその上に載置される基板との間で静電引力を発生し、発生した静電引力により基板を保持するように構成されている。
ステージは、一般的に、温度調整機能を有する。温度調整機能を有するステージを備えたプラズマ処理装置は、特許文献1に記載されている。特許文献1に記載されたプラズマ処理装置のステージには、熱交換媒体(例えば、冷媒)が供給される流路が形成されている。また、チャック本体内には、複数のヒータ(抵抗発熱ヒータ)が設けられている。複数のヒータはそれぞれ、交流電源により交流駆動される。
特開2016−6875号公報
プラズマ処理では、基板に対して複数の工程が行われることがある。複数の工程では、基台に供給される高周波のパワー、及び、要求される基板の面内温度分布が異なる。このような複数の工程を基板に対して適用するために、通常は、複数の工程のそれぞれに専用の複数のプラズマ処理装置が用いられている。このような背景から、単一のプラズマ処理装置を用いて、基台に供給される高周波のパワー、及び、要求される基板の面内温度分布が異なる複数の工程を実行することが求められている。
一態様においては、プラズマ処理方法が提供される。このプラズマ処理方法は、プラズマ処理装置を用いて実行される。プラズマ処理装置は、チャンバ本体、ステージ、及び、高周波電源を備える。チャンバ本体は、その内部空間をチャンバとして提供する。ステージは、チャンバ内において基板を支持するよう構成されている。ステージは、給電部及び静電チャックを有する。給電部は、高周波電源からの高周波を伝送する伝送路を提供する。静電チャックは、基台及びチャック本体を有する。基台は、導電性を有し、給電部上に設けられており、給電部に電気的に接続されている。チャック本体は、基台上に設けられており、基板を静電引力により保持するよう構成されている。チャック本体は、複数の第1のヒータ、及び、複数の第2のヒータを有する。複数の第1のヒータは、チャック本体の中心軸線に直交する該チャック本体内の面上で分布するよう該チャック本体内に設けられている。複数の第2のヒータの個数は、複数の第1のヒータの個数よりも多い。複数の第2のヒータは、チャック本体の中心軸線に直交する該チャック本体内の別の面上で分布するよう該チャック本体内に設けられている。プラズマ処理装置は、第1のヒータコントローラ及び第2のヒータコントローラを更に備える。第1のヒータコントローラは、第1の電源からの交流又は直流の出力により、複数の第1のヒータを駆動するように構成されている。第2のヒータコントローラは、第1の電源からの出力の電力よりも低い電力を有する第2の電源からの交流又は直流の出力により、複数の第2のヒータを駆動するように構成されている。
一態様に係るプラズマ処理方法は、チャック本体上に基板が載置された状態で実行される。このプラズマ処理方法は、チャンバ内において基板に第1のプラズマ処理を適用する工程と、チャンバ内において基板に第2のプラズマ処理を適用する工程と、を含む。第2のプラズマ処理を適用する工程において高周波電源から基台に供給される高周波の電力は、第1のプラズマ処理を適用する工程において高周波電源から基台に供給される高周波の電力よりも大きい。第1のプラズマ処理を適用する工程において、第1のヒータコントローラからの複数の第1の出力によって複数の第1のヒータが駆動され、第2のヒータコントローラからの複数の第2の出力によって複数の第2のヒータが駆動される。第2のプラズマ処理を適用する工程において、少なくとも複数の第2のヒータの駆動が停止され、第2の電源と第2のヒータコントローラとの間の接続が遮断される。
一態様に係るプラズマ処理方法の第1のプラズマ処理を適用する工程では、複数の第1のヒータが駆動され、複数の第2のヒータが駆動され、比較的低いパワーの高周波が基台に供給される。即ち、第1のプラズマ処理は、基板の面内温度分布の微細な調整と低い高周波のパワーの要求に対応した処理である。一方、第2のプラズマ処理を適用する工程では、少なくとも複数の第2のヒータの駆動が停止され、比較的高いパワーの高周波が基台に供給される。即ち、第2のプラズマ処理は、第1のプラズマ処理よりも低い基板の面内温度分布の精度と高い高周波のパワーの要求に対応した処理である。したがって、一態様に係るプラズマ処理方法によれば、基台に供給される高周波のパワー、及び、要求される基板の面内温度分布が異なる複数の工程が単一のプラズマ処理装置を用いて実行される。また、第2のプラズマ処理を適用する工程の実行中には、第2の電源と第2のヒータコントローラとの間の接続が遮断される。したがって、基台に供給された高周波が第2の電源に流入することが防止される。
一実施形態の第2のプラズマ処理を適用する工程では、複数の第1のヒータの駆動が停止され、第1の電源と第1のヒータコントローラとの間の接続が遮断される。この実施形態によれば、基台に供給された高周波が第1の電源に流入することが防止される。
一実施形態において、基台には熱交換媒体が供給される流路が形成されている。第2のプラズマ処理を適用する工程において、基板の温度は、基台の流路に熱交換媒体が供給されることにより制御される。
一実施形態の第2のプラズマ処理を適用する工程において、高周波電源から基台に供給される高周波の電力は、2000W以上であり得る。
一実施形態において、基板は、酸化シリコンから形成された絶縁膜、該絶縁膜上に設けられた有機膜、該有機膜上に設けられたマスク膜、及び、該マスク膜上に設けられたレジストマスクを有する。この実施形態のプラズマ処理方法は、第1のプラズマ処理を適用する工程と第2のプラズマ処理を適用する工程との間において、チャンバ内において基板に第3のプラズマ処理を適用する工程と、第3のプラズマ処理を適用する工程と第2のプラズマ処理を適用する工程との間において、チャンバ内において基板に第4のプラズマ処理を適用する工程と、を更に含む。第1のプラズマ処理を適用する工程では、チャンバ内において生成される水素含有ガスのプラズマからの水素の活性種がレジストマスクに供給される。第3のプラズマ処理を適用する工程では、複数の第1のヒータが駆動され、複数の第2のヒータが駆動され、チャンバ内において生成される処理ガスのプラズマからの活性種によってマスク膜がエッチングされる。第4のプラズマ処理を適用する工程では、複数の第1のヒータが駆動され、複数の第2のヒータが駆動され、チャンバ内において生成される処理ガスのプラズマからの活性種によって有機膜がエッチングされる。第2のプラズマ処理を適用する工程において高周波電源から基台に供給される高周波の電力は、第3のプラズマ処理を適用する工程において高周波電源から基台に供給される高周波の電力、及び、第4のプラズマ処理を適用する工程において高周波電源から基台に供給される高周波の電力よりも大きい。第2のプラズマ処理を適用する工程では、チャンバ内において生成される処理ガスのプラズマからの活性種によって絶縁膜がエッチングされる。この実施形態では、第1のプラズマ処理によりレジストマスクが改質され、第3のプラズマ処理によりマスク膜がエッチングされ、第4のプラズマ処理により有機膜がエッチングされる。レジストマスクの改質、マスク膜のエッチング、及び、有機膜のエッチングは、絶縁膜のエッチング用のマスクを形成するために行われるので、高い精度での加工が要求される。第1のプラズマ処理、第3のプラズマ処理、及び、第4のプラズマ処理は、基板の面内温度分布が微細に調整された状態で、比較的低いパワーの高周波を用いるので、レジストマスクの改質、マスク膜のエッチング、及び、有機膜のエッチングに適している。一方、第2のプラズマ処理では、少なくとも複数の第2のヒータの駆動が停止され、高いパワーの高周波を用いて、絶縁膜がエッチングされる。かかる第2のプラズマ処理は、基板の面内温度分布の微細な調整は要求されないが、高いパワーの高周波の利用が要求される絶縁膜のエッチングに適している。
一実施形態において、プラズマ処理方法は、チャンバ内において基板に別のプラズマ処理を適用する工程を更に含む。第2のプラズマ処理を適用する工程において高周波電源から基台に供給される高周波の電力は、第1のプラズマ処理を適用する工程において高周波電源から基台に供給される高周波の電力よりも大きい。別のプラズマ処理を適用する工程において高周波電源から基台に供給される高周波の電力は、第2のプラズマ処理を適用する工程において高周波電源から基台に供給される高周波の電力よりも大きい。第2のプラズマ処理を適用する工程において、複数の第1のヒータが駆動され、複数の第2のヒータの駆動が停止され、第2の電源と第2のヒータコントローラとの間の接続が遮断される。別のプラズマ処理を適用する工程において、複数の第1のヒータの駆動が停止され、第1の電源と第1のヒータコントローラとの間の接続が遮断され、複数の第2のヒータの駆動が停止され、第2の電源と第2のヒータコントローラとの間の接続が遮断される。
一実施形態において、第1のヒータコントローラは、第1の電源からの出力である交流出力を分配することにより生成した交流の複数の第1の出力により、複数の第1のヒータを交流駆動するように構成されている。第2のヒータコントローラは、第2の電源からの出力である直流出力を分配することにより生成した直流の複数の第2の出力により、複数の第2のヒータをそれぞれ直流駆動するように構成されている。
以上説明したように、単一のプラズマ処理装置を用いて、基台に供給される高周波のパワー、及び、要求される基板の面内温度分布が異なる複数の工程を実行することが可能となる。
一実施形態に係るプラズマ処理方法を示す流れ図である。 種々の実施形態に係るプラズマ処理方法において用いることができる一実施形態のプラズマ処理装置を概略的に示す図である。 一実施形態に係るステージの断面図である。 一実施形態に係るステージを、プラズマ処理装置の他の構成部品と共に概略的に示す図である。 図3に示すステージの複数の第1のヒータのレイアウトの例を示す平面図である。 図3に示すステージの複数の第2のヒータのレイアウトの例を示す平面図である。 図3に示すステージのチャック本体の裏面における端子のレイアウトの例を示す平面図である。 図3に示すステージの制御に関連する一実施形態の構成を示す図である。 複数の第2のヒータそれぞれによる温度上昇量を、複数の第2のヒータそれぞれに供給される第2の出力の所定時間当りの電力量に変換する関数を求める手法を説明するための図である。 図3に示すステージの制御に関連する別の実施形態の構成を示す図である。 別の実施形態に係るプラズマ処理方法を示す流れ図である。 更に別の実施形態に係るプラズマ処理方法を示す流れ図である。 更に別の実施形態に係るプラズマ処理方法を示す流れ図である。 図13に示すプラズマ処理方法の実行前の一例の基板の一部拡大断面図である。 図15の(a)及び図15の(b)は、図13に示すプラズマ処理方法の実行中の一例の基板の一部拡大断面図であり、図15の(c)は、図13に示すプラズマ処理方法の実行後の一例の基板の一部拡大断面図である。
以下、図面を参照して種々の実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
図1は、一実施形態に係るプラズマ処理方法を示す流れ図である。図1に示すプラズマ処理方法MT1は、プラズマ処理装置を用いて実行される。図2は、種々の実施形態に係るプラズマ処理方法において用いることができる一実施形態のプラズマ処理装置を概略的に示す図である。図2には、一実施形態に係るプラズマ処理装置10の縦断面における構造が概略的に示されている。図2に示すプラズマ処理装置10は、容量結合型のプラズマ処理装置である。
プラズマ処理装置10は、チャンバ本体12を備えている。チャンバ本体12は、略円筒形状を有している。チャンバ本体12は、その内部空間をチャンバ12cとして提供している。チャンバ本体12は、例えばアルミニウムから構成されている。チャンバ本体12は接地電位に接続されている。チャンバ本体12の内壁面、即ち、チャンバ12cを画成する壁面には、耐プラズマ性を有する膜が形成されている。この膜は、陽極酸化処理によって形成された膜、又は、酸化イットリウムから形成された膜といったセラミック製の膜であり得る。チャンバ本体12の側壁には通路12gが形成されている。基板Wがチャンバ12cに搬入されるとき、また、基板Wがチャンバ12cから搬出されるときに、基板Wは通路12gを通過する。チャンバ本体12の側壁にはゲートバルブ14が取り付けられている。通路12gは、ゲートバルブ14により開閉可能となっている。
チャンバ12c内では、支持部15が、チャンバ本体12の底部から上方に延在している。支持部15は、略円筒形状を有しており、石英といった絶縁材料から形成されている。支持部15上にはステージ16が搭載されている。ステージ16は支持部15によって支持されている。
ステージ16は、チャンバ12c内において基板Wを支持するように構成されている。ステージ16は、給電部18及び静電チャック20を含んでいる。給電部18は、後述する高周波電源からの高周波を伝送する伝送路を提供する。静電チャック20は、給電部18上に設けられている。静電チャック20は、基台22及びチャック本体26を含んでいる。基台22は、導電性を有し、下部電極を構成している。基台22は、給電部18上に設けられており、給電部18に電気的に接続されている。
基台22内には、流路22fが設けられている。流路22fは、熱交換媒体用の流路である。熱交換媒体は、例えば冷媒である。流路22fには、チャンバ本体12の外部に設けられたチラーユニットTUから熱交換媒体が供給される。流路22fに供給された熱交換媒体は、チラーユニットTUに戻される。このように、流路22fには、当該流路22fとチラーユニットとの間で循環するように、熱交換媒体が供給される。
チャック本体26は、基台22上に設けられている。チャック本体26は、基台22に例えば接着剤を介して固定されている。チャック本体26は、基板Wを静電引力により保持するよう構成されている。チャック本体26内には、電極26aが設けられている(図3参照)。電極26aは、膜状の電極である。電極26aには、スイッチSWCを介して直流電源DSCが接続されている。直流電源DSCからの電圧が電極26aに印加されると、チャック本体26上に載置された基板Wとチャック本体26との間で静電引力が発生する。発生した静電引力により、基板Wは、チャック本体26に引き付けられ、当該チャック本体26によって保持される。また、プラズマ処理装置10は、チャック本体26の上面と基板Wの裏面との間に、ガス供給機構からの伝熱ガス、例えばHeガスを供給するガス供給ラインを提供する。
チャンバ本体12の底部からは、筒状部28が上方に延在している。筒状部28は、支持部15の外周に沿って延在している。筒状部28は、導電性を有し、略円筒形状を有している。筒状部28は、接地電位に接続されている。支持部15上には、絶縁部29が設けられている。絶縁部29は、絶縁性を有し、石英といったセラミックから形成されている。絶縁部29は、略円筒形状を有しており、給電部18の外周及び静電チャック20の外周に沿って延在している。基台22及びチャック本体26の外周領域上には、フォーカスリングFRが搭載される。フォーカスリングFRは、略環状板形状を有しており、例えばシリコン又は酸化シリコンから形成されている。フォーカスリングFRは、チャック本体26の基板搭載領域のエッジ及び基板Wのエッジを囲むように設けられる。
プラズマ処理装置10は、上部電極30を更に備えている。上部電極30は、ステージ16の上方に設けられている。上部電極30は、部材32と共にチャンバ本体12の上部開口を閉じている。部材32は、絶縁性を有している。上部電極30は、この部材32を介してチャンバ本体12の上部に支持されている。
上部電極30は、天板34及び支持体36を含んでいる。天板34の下面は、チャンバ12cを画成している。天板34には、複数のガス吐出孔34aが設けられている。複数のガス吐出孔34aの各々は、天板34を板厚方向(鉛直方向)に貫通している。この天板34は、限定されるものではないが、例えばシリコンから形成されている。或いは、天板34は、アルミニウム製の母材の表面に耐プラズマ性の膜を設けた構造を有し得る。この膜は、陽極酸化処理によって形成された膜、又は、酸化イットリウムから形成された膜といったセラミック製の膜であり得る。
支持体36は、天板34を着脱自在に支持する部品である。支持体36は、例えばアルミニウムといった導電性材料から形成され得る。支持体36の内部には、ガス拡散室36aが設けられている。ガス拡散室36aからは、複数のガス孔36bが下方に延びている。複数のガス孔36bは、複数のガス吐出孔34aにそれぞれ連通している。支持体36には、ガス拡散室36aにガスを導くガス導入口36cが形成されており、このガス導入口36cには、ガス供給管38が接続されている。
ガス供給管38には、バルブ群42及び流量制御器群44を介して、ガスソース群40が接続されている。ガスソース群40は、複数のガスソースを含んでいる。バルブ群42は複数のバルブを含んでおり、流量制御器群44は複数の流量制御器を含んでいる。流量制御器群44の複数の流量制御器の各々は、マスフローコントローラ又は圧力制御式の流量制御器である。ガスソース群40の複数のガスソースはそれぞれ、バルブ群42の対応のバルブ及び流量制御器群44の対応の流量制御器を介して、ガス供給管38に接続されている。プラズマ処理装置10は、ガスソース群40の複数のガスソースのうち選択された一以上のガスソースからのガスを、個別に調整された流量で、チャンバ12cに供給することが可能である。
筒状部28とチャンバ本体12の側壁との間には、バッフルプレート48が設けられている。バッフルプレート48は、例えば、アルミニウム製の母材に酸化イットリウム等のセラミックを被覆することにより構成され得る。このバッフルプレート48には、多数の貫通孔が形成されている。バッフルプレート48の下方においては、排気管52がチャンバ本体12の底部に接続されている。この排気管52には、排気装置50が接続されている。排気装置50は、自動圧力制御弁といった圧力制御器、及び、ターボ分子ポンプといった真空ポンプを有しており、チャンバ12cを減圧することができる。
プラズマ処理装置10は、第1の高周波電源62を更に備えている。第1の高周波電源62は、プラズマ生成用の第1の高周波を発生する電源である。第1の高周波は、27〜100MHzの範囲内の周波数、例えば60MHzの周波数を有する。第1の高周波電源62は、整合器63を介して上部電極30に接続されている。整合器63は、第1の高周波電源62の出力インピーダンスと負荷側(上部電極30側)のインピーダンスを整合させるための回路を有している。なお、第1の高周波電源62は、整合器63を介して給電部18に接続されていてもよい。第1の高周波電源62が給電部18に接続されている場合には、上部電極30は接地電位に接続される。
プラズマ処理装置10は、第2の高周波電源64を更に備えている。第2の高周波電源64は、基板Wにイオンを引き込むためのバイアス用の第2の高周波を発生する電源である。第2の高周波の周波数は、第1の高周波の周波数よりも低い。第2の高周波の周波数は、400kHz〜13.56MHzの範囲内の周波数であり、例えば、400kHzである。第2の高周波電源64は、整合器65及び給電体66を介して給電部18に接続されている。整合器65は、第2の高周波電源64の出力インピーダンスと負荷側(給電部18側)のインピーダンスを整合させるための回路を有している。
一実施形態においては、プラズマ処理装置10は、主制御部MCを更に備え得る。主制御部MCは、プロセッサ、記憶装置、入力装置、表示装置等を備えるコンピュータであり、プラズマ処理装置10の各部を制御する。具体的に、主制御部MCは、記憶装置に記憶されている制御プログラムを実行し、当該記憶装置に記憶されているレシピデータに基づいてプラズマ処理装置10の各部を制御する。これにより、プラズマ処理装置10は、レシピデータによって指定されたプロセスを実行するようになっている。
以下、ステージ16について詳細に説明する。図3は、一実施形態に係るステージの断面図である。図4は、一実施形態に係るステージを、プラズマ処理装置の他の構成部品と共に概略的に示す図である。図3及び図4に示すように、ステージ16は、給電部18及び静電チャック20を有している。
給電部18は、上述したように、高周波電源(例えば、第2の高周波電源64)からの高周波の伝送路を提供する。給電部18は、導電性を有しており、例えば金属から形成されている。給電部18には、上述の給電体66が接続されている。給電部18は、一実施形態では、その内部空間を収容空間18sとして提供している。
一実施形態において、給電部18は、第1の部材18a、第2の部材18b、及び、第3の部材18cを有している。第1の部材18a、第2の部材18b、及び、第3の部材18cは、導電性を有しており、例えば、金属から形成されている。第1の部材18aは、平面視では略円形の部材であり、その中央部分において下方に突出している。第1の部材18aの中央部分には、給電体66が接続されている。第2の部材18bは、第1の部材18a上に搭載されており、当該第1の部材18aに接続されている。第2の部材18bは、略リング形状を有している。第3の部材18cは、第2の部材18b上に搭載されており、第2の部材18bに接続されている。第3の部材18cは、略円盤形状を有している。第1の部材18a、第2の部材18b、及び、第3の部材18cは、高周波の伝送路を構成している。第1の部材18a、第2の部材18b、及び、第3の部材18cから構成された組立体は、収容空間18sを画成している。
静電チャック20は、給電部18上に設けられている。静電チャック20は、上述したように、基台22及びチャック本体26を有している。基台22は、略円盤形状を有している。上述したように、基台22内には熱交換媒体用の流路22fが形成されている。基台22は、導電性を有しており、アルミニウムといった金属から形成されている。基台22は、給電部18上に設けられており、給電部18に電気的に接続されている。基台22は、プラズマ処理装置10の下部電極を構成している。
チャック本体26は、基台22上に設けられている。チャック本体26は、基台22の上面に、例えば接着剤を介して、固定されている。チャック本体26は、セラミック本体260を有している。セラミック本体260は、セラミックから形成されており、略円盤形状を有している。
セラミック本体260は、基板搭載領域260a及び外周領域260bを有している。基板搭載領域260aは、略円盤形状の領域である。基板搭載領域260aの上面は、その上に基板Wが載置されるチャック本体26の上面である。外周領域260bは、略環状板形状の領域であり、基板搭載領域260aを囲むように延在している。即ち、外周領域260bは、基板搭載領域260aの外側で、チャック本体26及びセラミック本体260の中心軸線AXに対して周方向に延在している。基板搭載領域260a及び外周領域260bは、チャック本体26の連続する平坦な下面(裏面)を提供している。外周領域260bの上面は、基板搭載領域260aの上面よりも、チャック本体26の裏面の近くにおいて延在している。外周領域260b上には図2に示すようにフォーカスリングFRが搭載される。
チャック本体26は、電極26a、複数の第1のヒータ26b、及び、複数の第2のヒータ26cを有している。電極26aは、基板搭載領域260a内において中心軸線AXに直交する方向に延在している。複数の第1のヒータ26b及び複数の第2のヒータ26cの各々は、薄膜抵抗ヒータである。複数の第1のヒータ26b及び複数の第2のヒータ26cは、セラミック本体260内に設けられている。複数の第1のヒータ26b及び複数の第2のヒータ26cは、電極26aとチャック本体26の裏面との間に設けられている。複数の第1のヒータ26bは、中心軸線AXに直交するチャック本体26内の面上で分布されている。複数の第2のヒータ26cの個数は、複数の第1のヒータ26bの個数よりも多い。複数の第2のヒータ26cは、中心軸線AXに直交するチャック本体26内の別の面上で分布されている。
図5は、図3に示すステージの複数の第1のヒータのレイアウトの例を示す平面図である。図5は、中心軸線AXに直交する面内での複数の第1のヒータ26bのレイアウトを例示している。図5に示すように、一実施形態において、複数の第1のヒータ26bは、中心軸線AXに対して同軸に設けられている。具体的に、複数の第1のヒータ26bのうち中央に設けられている第1のヒータ26bの平面形状は円形である。他の第1のヒータ26bは、中央に設けられている第1のヒータ26bを囲む環形状を有している。即ち、中央に設けられている第1のヒータ26b以外の第1のヒータ26bは、周方向に延在する帯状をなしている。一実施形態において、複数の第1のヒータ26bのうち一部のヒータは少なくとも外周領域260b内に設けられている。例えば、複数の第1のヒータ26bのうち中心軸線AXに対して最も外側で延在する第1のヒータ26bは、外周領域260b内に設けられており、他の第1のヒータ26bは、基板搭載領域260a内に設けられている。複数の第1のヒータ26bは、当該複数の第1のヒータ26bがそれぞれ配置されている複数のゾーンZ1を加熱する。
なお、複数の第1のヒータ26bは、更に中心軸線AXに対して周方向に沿って配列されていてもよい。即ち、複数のゾーンZ1は、中心のゾーン、及び、当該中心のゾーンの外側の同軸の複数の領域内において周方向に並ぶ複数のゾーンを含んでいてもよく、これら複数のゾーンZ1内に複数の第1のヒータ26bがそれぞれ設けられていてもよい。
図6は、図3に示すステージの複数の第2のヒータのレイアウトの例を示す平面図である。図6は、中心軸線AXに直交する面内での複数の第2のヒータ26cのレイアウトを例示している。複数の第2のヒータ26cは、基板搭載領域260a内で分布するように設けられている。図6に示すように、一例においては、複数の第2のヒータ26cは、複数のゾーンZ2内にそれぞれ設けられている。複数のゾーンZ2は、中心軸線AXに交差する中心のゾーン、及び、中心軸線AXに対して同軸の複数の領域内で周方向に並ぶ複数のゾーンを含む。なお、複数のゾーンZ2の各々、即ち、複数の第2のヒータ26cは、複数のゾーンZ1の何れかの中に内包される。
図3及び図4に示すように、複数の第2のヒータ26cは、チャック本体26の上面(即ち、基板搭載領域260aの上面)と複数の第1のヒータ26bとの間に設けられている。即ち、複数の第2のヒータ26cは、複数の第1のヒータ26bに対して上側に設けられている。なお、複数の第2のヒータ26cは、複数の第1のヒータ26bに対して下側に設けられていてもよい。
複数の第1のヒータ26bは、第1の電源80からの出力によって駆動されることにより発熱する。第1の電源80の出力は、交流又は直流の出力である。即ち、第1の電源80の出力は、交流出力及び直流出力の何れであってもよい。複数の第2のヒータ26cは、第2の電源82からの出力によって駆動されることにより発熱する。第2の電源82の出力は、交流又は直流の出力である。即ち、第2の電源82の出力は、交流出力及び直流出力の何れであってもよい。一実施形態では、複数の第1のヒータ26bは、第1の電源からの交流出力により交流駆動され、複数の第2のヒータ26cは、第2の電源からの直流出力により直流駆動される。複数の第1のヒータ26b及び複数の第2のヒータ26cの駆動のために、ステージ16は、第1のヒータコントローラ71及び第2のヒータコントローラ72を有している。以下、図3及び図4と共に、図7及び図8を参照する。図7は、図3に示すステージのチャック本体の裏面における端子のレイアウトの例を示す平面図である。図8は、図3に示すステージの制御に関連する一実施形態の構成を示す図である。
複数の第1のヒータ26bのそれぞれには、複数の第1の給電線73が電気的に接続されている。複数の第1のヒータ26bの各々には、一対の第1の給電線73が接続されている。複数の第2のヒータ26cのそれぞれには、複数の第2の給電線74が電気的に接続されている。複数の第2のヒータ26cの各々には、一対の第2の給電線74が接続されている。一実施形態において、複数の第2の給電線74は、複数のフレキシブルプリント回路基板によって提供され得る。複数のフレキシブルプリント回路基板の各々は、複数の第2の給電線74のうち対応の幾つかの第2の給電線74を提供している。一実施形態において、第1のヒータコントローラ71、複数の第1の給電線73、第2のヒータコントローラ72、及び、複数の第2の給電線74は、収容空間18s内に設けられている。
図7に示すように、チャック本体26の裏面には、複数の端子26e及び複数の端子26fが設けられている。複数の端子26eには、複数の第1の給電線73がそれぞれ接続されている。複数の端子26eは、チャック本体26内の内部配線を介して、複数の第1のヒータ26bに接続されている。複数の端子26fには、複数の第2の給電線74が接続されている。複数の第2の給電線74が複数のフレキシブルプリント回路基板によって提供されている場合には、複数の端子26fは、複数の端子群にグループ化されている。複数の端子26fは、チャック本体26内の内部配線を介して、複数の第2のヒータ26cに接続されている。一実施形態において、複数の端子26e及び複数の端子26fは、外周領域260b内に設けられている。一実施形態において、複数の端子26e及び複数の端子26f(又は複数の端子群)は、外周領域260bの全周にわたって周方向に分散されている。
複数の第1の給電線73は、第1のヒータコントローラ71に接続されている。第1のヒータコントローラ71は、第1の電源80に接続されている。第1のヒータコントローラ71は、第1の電源80からの出力により複数の第1のヒータ26bを駆動するよう構成されている。一実施形態では、第1のヒータコントローラ71は、第1の電源80からの出力を分配することにより生成した複数の第1の出力により複数の第1のヒータ26bをそれぞれ駆動するように構成されている。複数の第1のヒータ26bを駆動するために、第1のヒータコントローラ71は、複数の第1の給電線73を介して、複数の第1のヒータ26bに複数の第1の出力をそれぞれ供給する。第1のヒータコントローラ71は、複数の第1のヒータ26bにそれぞれ供給される複数の第1の出力の電力量を個別に調整するように構成されている。一実施形態では、第1の電源80からの出力は交流出力であり、第1のヒータコントローラ71は、各々が交流出力である複数の第1の出力により、複数の第1のヒータ26bを交流駆動するよう構成されている。
複数の第2の給電線74は、第2のヒータコントローラ72に接続されている。第2のヒータコントローラ72は、第2の電源82に接続されている。第2のヒータコントローラ72は、第2の電源82からの出力により複数の第2のヒータ26cを駆動するよう構成されている。一実施形態では、第2のヒータコントローラ72は、第2の電源82からの出力を分配することにより生成した複数の第2の出力により複数の第2のヒータ26cをそれぞれ駆動するように構成されている。複数の第2のヒータ26cを駆動する電力は複数の第1のヒータ26bを駆動する電力よりも低い。複数の第2のヒータ26cを駆動するために、第2のヒータコントローラ72は、複数の第2の給電線74を介して、複数の第2のヒータ26cに複数の第2の出力をそれぞれ供給する。第2のヒータコントローラ72は、複数の第2のヒータ26cにそれぞれ供給される複数の第2の出力の電力量を個別に調整するように構成されている。
一実施形態では、第2の電源82からの出力は直流出力であり、第2のヒータコントローラ72は、各々が直流出力である複数の第2の出力により、複数の第2のヒータ26cを直流駆動するよう構成されている。第1の電源80が交流電源であり、第2の電源82が直流電源である場合には、第2の電源82は、図示されるように、第1の電源80に接続される。この場合に、第2の電源82は、第1の電源80からの交流出力を直流に変換するAC/DCコンバータであり、例えば、スイッチング電源である。
図8に示すように、第1のヒータコントローラ71内には、複数の配線71f及び複数の配線71rが設けられている。複数の配線71fの各々の一端は、複数の第1の給電線73のうち対応の第1の給電線73を介して、複数の第1のヒータ26bのうち対応の第1のヒータ26bに接続されている。複数の配線71rの各々の一端は、複数の第1の給電線73のうち対応の第1の給電線73を介して、複数の第1のヒータ26bのうち対応の第1のヒータ26bに接続されている。
複数の配線71fの各々の他端は、フィルタF11(第1のフィルタ)を介して第1の電源80に接続されている。具体的には、複数の配線71fの他端は、図3に示す端子ET11に接続しており、当該端子ET11は、フィルタユニットFUの端子FT11に接続している。フィルタユニットFUは、フィルタF11、フィルタF12(第1のフィルタ)、フィルタF21(第2のフィルタ)、及び、フィルタF22(第2のフィルタ)を有している。フィルタF11、フィルタF12、フィルタF21、及び、フィルタF22を含むフィルタユニットFUは、上述した収容空間18sに対して給電部18の外側に設けられている。
端子FT11は、フィルタF11に接続されている。フィルタF11は、第1の電源80への高周波の流入を抑制する。フィルタF11は、例えばLCフィルタである。フィルタF11のコイルの一端には、端子FT11が接続されている。フィルタF11のコイルは、第1の電源80と第1のヒータコントローラ71との間の給電線を部分的に構成している。フィルタF11のコイルの他端は、当該フィルタF11のコンデンサを介してグランドに接続されている。
複数の配線71rの各々の他端は、フィルタF12を介して第1の電源80に接続されている。具体的には、複数の配線71rの他端は、図3に示す端子ET12に接続しており、当該端子ET12は、フィルタユニットFUの端子FT12に接続している。端子FT12は、フィルタF12に接続されている。フィルタF12は、第1の電源80への高周波の流入を抑制する。フィルタF12は、例えばLCフィルタである。フィルタF12のコイルの一端には、端子FT12が接続されている。フィルタF12のコイルは、第1の電源80と第1のヒータコントローラ71との間の配線を部分的に構成している。フィルタF12のコイルの他端は、当該フィルタF12のコンデンサを介してグランドに接続されている。
なお、図10を参照して後述する実施形態では、第1の電源80と第1のヒータコントローラ71との間の給電線及び配線上には、スイッチング部84が設けられている。具体的に、スイッチング部84は、フィルタF11と第1の電源80との間、及び、フィルタF12と第1の電源80との間に設けられている。スイッチング部84は、第1の電源80と第1のヒータコントローラ71との間の接続を遮断可能に構成されている。
図8に示すように、第2のヒータコントローラ72内には、複数の配線72p及び複数の配線72gが設けられている。複数の配線72pの各々の一端は、複数の第2の給電線74のうち対応の第2の給電線74を介して、複数の第2のヒータ26cのうち対応の第2のヒータ26cに接続されている。複数の配線72gの各々の一端は、複数の第2の給電線74のうち対応の第2の給電線74を介して、複数の第2のヒータ26cのうち対応の第2のヒータ26cに接続されている。
複数の配線72pの各々の他端は、フィルタF21を介して第2の電源82に接続されている。具体的には、複数の配線72pの他端は、図3に示す端子ET21に接続しており、当該端子ET21は、フィルタユニットFUの端子FT21に接続している。端子FT21は、フィルタF21に接続されている。フィルタF21は、第2の電源82への高周波の流入を抑制する。フィルタF21は、例えばLCフィルタである。フィルタF21のコイルの一端には、端子FT21が接続されている。フィルタF21のコイルは、第2の電源82と第2のヒータコントローラ72との間の給電線を部分的に構成している。フィルタF21のコイルの他端は、当該フィルタF21のコンデンサを介してグランドに接続されている。
複数の配線72gの各々の他端は、フィルタF22を介して第2の電源82に接続されている。具体的には、複数の配線72gの他端は、別の端子に接続しており、当該別の端子は、フィルタユニットFUの別の端子に接続している。フィルタユニットFUの当該別の端子は、フィルタF22に接続されている。フィルタF22は、第2の電源82への高周波の流入を抑制するためのフィルタである。フィルタF22は、例えばLCフィルタである。フィルタF22のコイルの一端には、フィルタユニットFUの当該別の端子が接続されている。フィルタF22のコイルは、第2の電源82のグランドと第2のヒータコントローラ72との間の配線を部分的に構成している。フィルタF22のコイルの他端は、当該フィルタF22のコンデンサを介してグランドに接続されている。
第2の電源82と第2のヒータコントローラ72との間の給電線及び配線上には、スイッチング部86が設けられている。具体的に、スイッチング部86は、フィルタF21と第2の電源82との間、及び、フィルタF22と第2の電源82との間に設けられている。スイッチング部86は、第2の電源82と第2のヒータコントローラ72との間の接続を遮断可能に構成されている。
図8に示すように、第1のヒータコントローラ71は、制御回路71c及び複数のスイッチング素子SWAを有している。複数のスイッチング素子SWAは、複数の配線71f上にそれぞれ設けられている。複数のスイッチング素子SWAの各々は、半導体スイッチング素子であることができ、例えばトライアックであり得る。第1のヒータコントローラ71は、第1の電源80からの出力(例えばAC200Vの交流出力)を受けて、複数の第1のヒータ26bのそれぞれに対する複数の第1の出力(例えばAC200Vの交流出力)を生成する。第1のヒータコントローラ71では、複数のスイッチング素子SWAの状態が導通状態と遮断状態の間で切り替えられることにより、複数の第1のヒータ26bのそれぞれに対する複数の第1の出力の供給と供給停止とが切り替えられる。複数のスイッチング素子SWAの状態は、制御回路71cにより設定される。
第1の電源80が交流電源である実施形態において、第1のヒータコントローラ71は、AC/DCコンバータ71a、及び、DC/DCコンバータ71dを更に有している。AC/DCコンバータ71aは、第1の電源80の交流出力から直流出力(例えばDC15Vの直流出力)を生成する。DC/DCコンバータ71dは、AC/DCコンバータ71aによって生成される直流出力から、別の直流出力(例えばDC3.3Vの直流出力)を生成する。DC/DCコンバータ71dによって生成された直流出力は、制御回路71cといった第1のヒータコントローラ71内のデバイスの動作電源として利用される。
図4及び図8に示すように、ステージ16には、複数の温度センサTSが設けられている。複数の温度センサTSは、チャック本体26の複数のゾーンZ1のそれぞれの温度を測定するよう、ステージ16に取り付けられている。例えば、複数の温度センサTSは、複数のゾーンZ1のそれぞれの温度をチャック本体26の裏面から測定する。複数の温度センサTSの各々は、例えば蛍光式温度センサである。複数の温度センサTSはセンサ回路TCに接続されている。複数の温度センサTSそれぞれの出力は、センサ回路TCにおいてデジタルの電気信号、即ち、複数のゾーンZ1のそれぞれの温度の測定値に変換される。複数のゾーンZ1のそれぞれの温度の測定値は、上位コントローラUCに与えられる。
第2のヒータコントローラ72は、内部コントローラ72f、制御回路72c、及び、複数のスイッチング素子SWDを有している。複数のスイッチング素子SWDは、複数の配線72p上にそれぞれ設けられている。複数のスイッチング素子SWDの各々は、半導体スイッチング素子であることができ、例えばフォトMOSリレーであり得る。第2のヒータコントローラ72は、第2の電源82からの出力(例えば、DC15Vの直流出力)を受けて、複数の第2のヒータ26cのそれぞれに対する複数の第2の出力を生成する。第2のヒータコントローラ72では、複数のスイッチング素子SWDの状態が導通状態と遮断状態の間で切り替えられることにより、複数の第2のヒータ26cのそれぞれに対する複数の第2の出力の供給と供給停止とが切り替えられる。複数のスイッチング素子SWDの状態は、制御回路72cにより設定される。
第2のヒータコントローラ72は、DC/DCコンバータ72dを更に有している。DC/DCコンバータ72dは、AC/DCコンバータ71aによって生成される直流出力から、別の直流出力(例えばDC3.3Vの直流出力)を生成する。DC/DCコンバータ72dによって生成された直流出力は、内部コントローラ72f及び制御回路72cといった第2のヒータコントローラ72内のデバイスの動作電源として利用される。
複数の配線72p上には、複数の抵抗素子72rがそれぞれ設けられている。第2のヒータコントローラ72は、複数の測定器72mを更に有している。複数の測定器72mは、複数の抵抗素子72rの両端間の電圧をそれぞれ測定し、複数の配線72pを流れる電流をそれぞれ測定する。複数の測定器72mによって取得された電圧の測定値及び電流の測定値は、内部コントローラ72f、及び、光ブリッジOBを介して上位コントローラUCに与えられる。
第2のヒータコントローラ72の内部コントローラ72fは、光ブリッジOBを介して上位コントローラUCに接続されている。内部コントローラ72fは、例えばFPGA(field−programmable gate array)から構成され得る。内部コントローラ72fは、光ブリッジOBを介して上位コントローラUCと通信し、制御回路71c及び制御回路72cを制御する。上位コントローラUCは、CPUといったプロセッサ、及び、メモリといった記憶装置を備えるマイクロコンピュータから構成され得る。プラズマ処理装置10では、主制御部MCから上位コントローラUCに基板Wの面内温度分布の設定データが与えられる。
上位コントローラUCは、基板Wの面内温度分布の設定データから、複数のゾーンZ1それぞれの目標温度、及び、複数の第2の出力それぞれの所定時間当りの電力量の目標値を決定する。上位コントローラUCは、複数のゾーンZ1それぞれの目標温度に応じた電力量(所定時間当りの電力量)の複数の第1の出力が複数の第1のヒータ26bに供給されるよう、光ブリッジOBを介して内部コントローラ72fに制御信号を送出する。内部コントローラ72fは、上位コントローラUCからの制御信号に応答して、制御回路71cを制御することにより、複数の第1のヒータ26bにそれぞれ供給される複数の第1の出力の電力量を制御する。
上位コントローラUCは、複数の温度センサTS及びセンサ回路TCによって取得される複数のゾーンZ1のそれぞれの温度の測定値と複数のゾーンZ1のそれぞれの目標温度との間の誤差を減少させるよう、複数の第1のヒータ26bにそれぞれ供給される複数の第1の出力の電力量のフィードバック制御を実行する。複数の第1のヒータ26bにそれぞれ供給される複数の第1の出力の電力量のフィードバック制御は、例えばPID制御である。なお、上位コントローラUCは、複数の第1のヒータ26bにそれぞれ供給される複数の第1の出力の電力量のフィードバック制御において、複数のゾーンZ1のそれぞれの温度の測定値の時系列から求められる複数のゾーンZ1のそれぞれの温度の移動平均値と複数のゾーンZ1のそれぞれの目標温度との間の誤差を求めてもよい。
一実施形態では、第1のヒータコントローラ71の複数の第1の出力の電力は実質的に同一であり且つ一定である。この実施形態において、第1のヒータコントローラ71は、複数の第1のデューティー比を制御するように構成されている。複数の第1のデューティー比は、複数の第1の出力がそれぞれ複数の第1のヒータ26bに供給される供給時間長の所定時間長(例えば100ミリ秒)中の比率である。上位コントローラUCは、複数の第1のデューティー比を、光ブリッジOBを介して、内部コントローラ72fに指定する。内部コントローラ72fは、制御回路71cを制御することにより、指定された複数の第1のデューティー比に応じて、所定時間内での複数のスイッチング素子SWAそれぞれの状態(導通状態と遮断状態)を切り替える。これにより、複数の第1のヒータ26bのそれぞれに対する複数の第1の出力の供給と供給停止とが交互に切り替えられる。
上位コントローラUCは、複数の温度センサTS及びセンサ回路TCによって取得される複数のゾーンZ1のそれぞれの温度の測定値と複数のゾーンZ1のそれぞれの目標温度との間の誤差を減少させるよう、複数の第1のデューティー比を調整する。即ち、上位コントローラUCは、複数の第1のデューティー比のフィードバック制御を実行する。複数の第1のデューティー比のフィードバック制御は、例えばPID制御である。なお、上位コントローラUCは、複数の第1のデューティー比のフィードバック制御において、複数のゾーンZ1のそれぞれの温度の測定値の時系列から求められる複数のゾーンZ1のそれぞれの温度の移動平均値と複数のゾーンZ1のそれぞれの目標温度との間の誤差を求めてもよい。
上位コントローラUCは、基板Wの面内温度分布の設定データに基づいて決定した所定時間当りの電力量の目標値に応じた複数の第2の出力が複数の第2のヒータ26cにそれぞれ供給されるよう、光ブリッジOBを介して内部コントローラ72fに制御信号を送出する。内部コントローラ72fは、上位コントローラUCからの制御信号に応答して、制御回路72cを制御することにより、複数の第2のヒータ26cにそれぞれ供給される複数の第2の出力の電力量(所定時間当りの電力量)を制御する。
上位コントローラUCは、複数の電力の測定値に基づいて、複数の第2の出力の電力量のフィードバック制御を実行する。複数の第2の出力の電力量のフィードバック制御は、例えばPID制御である。複数の電力の測定値の各々は、複数の測定器72mのうち対応の測定器72mによって取得された電圧の測定値と電流の測定器の積である。なお、複数の第2の出力の各々が交流出力である場合には、複数の電力の測定値の各々は、複数の測定器72mのうち対応の測定器72mによって取得された電圧の測定値と電流の測定器の積から求められる実効値であり得る。別の実施形態において、複数の第2の出力の電力量の各々は、複数の測定器72mのうち対応の測定器72mによって取得された電圧の測定値と電流の測定器の積の時系列の移動平均値に基づいて制御されてもよい。なお、複数の第2の出力の各々が交流出力である場合には、複数の電力の測定値の各々は、複数の測定器72mのうち対応の測定器72mによって取得された電圧の測定値と電流の測定器の積から求められる実効値の時系列の移動平均値であり得る。
一実施形態では、第2のヒータコントローラ72の複数の第2の出力の電力は実質的に同一且つ一定である。この実施形態において、第2のヒータコントローラ72は、複数の第2のデューティー比を制御するように構成されている。複数の第2のデューティー比は、複数の第2の出力がそれぞれ複数の第2のヒータ26cに供給される供給時間長の所定時間長(例えば100ミリ秒)中の比率である。上位コントローラUCは、複数の第2のデューティー比を、光ブリッジOBを介して、内部コントローラ72fに指定する。内部コントローラ72fは、制御回路72cを制御することにより、指定された複数の第2のデューティー比に応じて、所定時間内での複数のスイッチング素子SWDそれぞれの状態(導通状態と遮断状態)を切り替える。これにより、複数の第2のヒータ26cのそれぞれに対する複数の第2の出力の供給と供給停止とが交互に切り替えられる。
上位コントローラUCは、複数の第2の出力の各々の電力の測定値と複数の第2のデューティー比のうち対応の第2のデューティー比との積と電力量の目標値との間の誤差を減少させるように、複数の第2のデューティー比を調整する。即ち、上位コントローラUCは、複数の第2のデューティー比のフィードバック制御を実行する。複数の第2のデューティー比のフィードバック制御は、例えばPID制御である。なお、上位コントローラは、複数の第2のデューティー比のフィードバック制御において、複数の第2の出力の各々の電力の測定値と対応の第2のデューティー比との積の時系列の移動平均値と目標値との誤差を求めてもよい。
上述したように、上位コントローラUCは、基板Wの面内温度分布の設定データから、複数の第2の出力それぞれの所定時間当りの電力量の目標値を決定する。具体的に、上位コントローラUCは、基板Wの面内温度分布の設定データから、複数の第2のヒータ26cそれぞれによる目標温度上昇量を決定し、当該目標温度上昇量から、複数の第2のヒータ26cそれぞれに供給される第2の出力の所定時間当りの電力量の目標値を決定する。このために、上位コントローラUCは、複数の第2のヒータ26cそれぞれによる温度上昇量を、複数の第2のヒータ26cそれぞれに供給される第2の出力の所定時間当りの電力量に変換する関数を予め保持している。以下、図9を参照し、複数の第2のヒータ26cそれぞれによる温度上昇量を、複数の第2のヒータ26cそれぞれに供給される第2の出力の所定時間当りの電力量に変換する関数を求める手法について説明する。
この関数を求める際には、複数の第2のヒータ26cのうち、それらの下方に温度センサTS(以下、「特定の温度センサTS」という)が配置されている特定の第2のヒータ26cに第2の出力が与えられる。なお、特定の第2のヒータ26cの個数は、図9に示す例では三つである。そして、当該特定の第2のヒータ26cの上方のチャック本体26の上面内の特定の領域の赤外線エネルギーが、赤外線カメラIRCによって取得される。なお、当該特定の領域の個数は、特定の第2のヒータ26cの個数と同数である。赤外線カメラIRCによって取得された特定の領域の赤外線エネルギーの測定値は、コンピュータPCに入力される。コンピュータPCには、特定の温度センサTSからの温度の測定値も入力される。そして、コンピュータPCにおいて、特定の温度センサTSからの温度の測定値と赤外線カメラIRCからの特定の領域の赤外線エネルギーの測定値から、赤外線エネルギーの測定値を温度に変換する変換係数が作成される。
変換係数が作成された後、特定の第2のヒータ26cに第2の出力が与えられる。そして、特定の領域の赤外線エネルギーが赤外線カメラIRCによって測定される。赤外線カメラIRCによって取得された特定の領域の赤外線エネルギーの測定値は、コンピュータPCに入力される。そして、コンピュータPCにおいて、変換係数を用いて、特定の領域の赤外線エネルギーの測定値から当該特定の領域の温度が計算される。かかる処理が、上述した第2のデューティー比を変更することによって第2の出力の所定時間当りの電力量を変更しつつ、繰り返される。そして、計算された特定の領域の温度から得られる温度上昇量と、与えられた第2の出力の所定時間当りの電力量との関係から、特定の第2のヒータ26cの各々に関して、温度上昇量を第2の出力の所定時間当りの電力量に変換する関数が求められる。
上位コントローラUCは、目標温度上昇量に対応する第2の出力の所定時間当りの電力量を、求められた対応の関数を用いて特定することにより、複数の第2のヒータ26cそれぞれに供給される第2の出力の所定時間当りの電力量の目標値を決定する。なお、特定の第2のヒータ26cのうち任意の一つの第2のヒータ26cと同一のゾーンZ1に内包される他の第2のヒータ26cに供給される第2の出力の所定時間当りの電力量の目標値を決定する際には、当該任意の一つの第2のヒータ26cを用いて求められた関数が用いられる。
図4及び図8を再び参照する。上述したように、第2の電源82と第2のヒータコントローラ72との間の給電線上には、スイッチング部86が設けられている。スイッチング部86は、第2の電源82と第2のヒータコントローラ72との間の接続を遮断可能に構成されている。スイッチング部86は、例えばマグネティックコンタクタから構成されている。スイッチング部86は、制御部CBに接続されている。制御部CBは、第2のヒータコントローラ72と第2の電源82との間の接続状態を設定するよう、スイッチング部86を制御する。
主制御部MCは、複数の第2のヒータ26cを駆動する場合には、制御部CBに制御信号を送出して、スイッチング部86に第2のヒータコントローラ72と第2の電源82とを互いに接続させる。一方、主制御部MCは、複数の第2のヒータ26cを利用しない場合、即ち、複数の第2のヒータ26cの駆動を停止する場合には、制御部CBに制御信号を送出して、スイッチング部86に第2のヒータコントローラ72と第2の電源82との間の接続を遮断させる。主制御部MCは、第2のヒータコントローラ72と第2の電源82との間の接続を遮断する場合には、第2のヒータコントローラ72と第2の電源82との間の接続が遮断されていることを伝達する信号を上位コントローラUCに送出する。これにより、第2のヒータコントローラ72から上位コントローラUCに送信される信号によって上位コントローラUCが誤動作することが防止される。
以下、図10を参照する。図10は、図3に示すステージの制御に関連する別の実施形態の構成を示す図である。図10に示す実施形態は、第1の電源80と第1のヒータコントローラ71との間の給電線上にスイッチング部84が設けられている点で、図8に示した実施形態と異なっている。スイッチング部84は、第1の電源80と第1のヒータコントローラ71との間の接続を遮断可能に構成されている。スイッチング部84は、例えばマグネティックコンタクタから構成されている。スイッチング部84は、制御部CBに接続されている。制御部CBは、上述したスイッチング部86の制御に加え、第1のヒータコントローラ71と第1の電源80との間の接続状態を設定するよう、スイッチング部84を制御する。
主制御部MCは、複数の第1のヒータ26bを駆動する場合には、制御部CBに制御信号を送出して、スイッチング部84に第1のヒータコントローラ71と第1の電源80とを互いに接続させる。一方、主制御部MCは、複数の第1のヒータ26bを利用しない場合、即ち、複数の第1のヒータ26bの駆動を停止する場合には、制御部CBに制御信号を送出して、スイッチング部84に第1のヒータコントローラ71と第1の電源80との間の接続を遮断させる。主制御部MCは、第1のヒータコントローラ71と第1の電源80との間の接続を遮断する場合には、第1のヒータコントローラ71と第1の電源80との間の接続が遮断されていることを伝達する信号を上位コントローラUCに送出する。これにより、第1のヒータコントローラ71から上位コントローラUCに送信される信号によって上位コントローラUCが誤動作することが防止される。
再び図1を参照し、プラズマ処理方法MT1について説明する。プラズマ処理方法MT1は、図8に示した実施形態又は図10に示した実施形態を採用したプラズマ処理装置10において実行可能である。図1に示すように、プラズマ処理方法MT1は、工程ST1及び工程ST2を含んでいる。図1に示す例では、工程ST1の実行後に工程ST2が実行されるが、工程ST2の実行後に工程ST1が実行されてもよい。
プラズマ処理方法MT1は、基板Wがチャック本体26上に載置された状態で実行される。工程ST1では、基板Wに対してプラズマ処理(第1のプラズマ処理)が実行される。工程ST2では、基板Wに対して別のプラズマ処理(第2のプラズマ処理)が実行される。
工程ST1では、チャンバ12cに処理ガスが供給され、チャンバ12cの圧力が指定された圧力に設定される。工程ST1では、チャンバ12cに供給された処理ガスのプラズマが生成される。工程ST1では、基台22に第2の高周波電源64からの高周波が供給される。工程ST1では、第2の高周波電源64からの高周波に加えて、プラズマを生成するために第1の高周波電源62からの高周波が利用されてもよい。工程ST1では、第1のヒータコントローラ71からの複数の第1の出力によって複数の第1のヒータ26bが駆動され、第2のヒータコントローラ72からの複数の第2の出力によって複数の第2のヒータ26cが駆動される。工程ST1では、チラーユニットTUから流路22fに熱交換媒体が供給される。
工程ST2では、チャンバ12cに処理ガスが供給され、チャンバ12cの圧力が指定された圧力に設定される。工程ST2において利用される処理ガスは、工程ST1において利用される処理ガスと同じであってもよく、異なっていてもよい。工程ST2では、チャンバ12cに供給された処理ガスのプラズマが生成される。工程ST2では、基台22に第2の高周波電源64からの高周波が供給される。工程ST2において基台22に供給される高周波の電力は、工程ST1において基台22に供給される高周波の電力よりも大きい。工程ST2において基台22に供給される高周波の電力は、例えば2000W以上である。工程ST2では、第2の高周波電源64からの高周波に加えて、プラズマを生成するために第1の高周波電源62からの高周波が利用されてもよい。
工程ST2では、第1のヒータコントローラ71からの複数の第1の出力によって複数の第1のヒータ26bが駆動される。工程ST2では、複数の第2のヒータ26cの駆動が停止され、第2の電源82と第2のヒータコントローラ72との間の接続がスイッチング部86によって遮断される。工程ST2では、チラーユニットTUから流路22fに熱交換媒体が供給される。
プラズマ処理方法MT1の工程ST1では、複数の第1のヒータ26bが駆動され、複数の第2のヒータ26cが駆動され、比較的低いパワーの高周波が基台22に供給される。即ち、工程ST1のプラズマ処理は、基板Wの面内温度分布の微細な調整と低い高周波のパワーの要求に対応した処理である。一方、工程ST2では、少なくとも複数の第2のヒータ26cの駆動が停止され、比較的高いパワーの高周波が基台22に供給される。即ち、工程ST2のプラズマ処理は、工程ST1のプラズマ処理よりも低い基板Wの面内温度分布の精度と高い高周波のパワーの要求に対応した処理である。したがって、プラズマ処理方法MT1によれば、基台22に供給される高周波のパワー、及び、要求される基板Wの面内温度分布が異なる複数の工程が、単一のプラズマ処理装置10を用いて実行される。また、工程ST2の実行中には、第2の電源82と第2のヒータコントローラ72との間の接続が遮断される。したがって、基台22に供給された高周波が第2の電源82に流入することが防止される。
以下、図11を参照し、別の実施形態に係るプラズマ処理方法について説明する。図11は、別の実施形態に係るプラズマ処理方法を示す流れ図である。図11に示すプラズマ処理方法MT2は、図10に示した実施形態を採用したプラズマ処理装置10において実行可能である。図11に示すように、プラズマ処理方法MT2は、工程ST21及び工程ST22を含んでいる。図11に示す例では、工程ST21の実行後に工程ST22が実行されるが、工程ST22の実行後に工程ST21が実行されてもよい。
プラズマ処理方法MT2は、基板Wがチャック本体26上に載置された状態で実行される。工程ST21では、基板Wに対してプラズマ処理(第1のプラズマ処理)が実行される。工程ST21は工程ST1と同様の工程である。
工程ST22では、基板Wに対して別のプラズマ処理(第2のプラズマ処理)が実行される。工程ST22では、チャンバ12cに処理ガスが供給され、チャンバ12cの圧力が指定された圧力に設定される。工程ST22において利用される処理ガスは、工程ST21において利用される処理ガスと同じであってもよく、異なっていてもよい。工程ST22では、チャンバ12cに供給された処理ガスのプラズマが生成される。工程ST22では、基台22に第2の高周波電源64からの高周波が供給される。工程ST22において基台22に供給される高周波の電力は、工程ST21において基台22に供給される高周波の電力よりも大きい。工程ST22において基台22に供給される高周波の電力は、例えば2000W以上である。工程ST22では、第2の高周波電源64からの高周波に加えて、プラズマを生成するために第1の高周波電源62からの高周波が利用されてもよい。
工程ST22では、複数の第2のヒータ26cの駆動が停止され、第2の電源82と第2のヒータコントローラ72との間の接続がスイッチング部86によって遮断される。また、工程ST22では、複数の第1のヒータ26bの駆動が停止され、第1の電源80と第1のヒータコントローラ71との間の接続がスイッチング部84によって遮断される。工程ST22では、チラーユニットTUから流路22fに熱交換媒体が供給される。工程ST22では、基板Wの面内温度分布の制御に、複数の第1のヒータ26b及び複数の第2のヒータ26cは利用されず、チラーユニットTUからの熱交換媒体のみが利用される。工程ST22では、基台22に供給された高周波が第1の電源80及び第2の電源82に流入することが防止される。
以下、図12を参照し、更に別の実施形態に係るプラズマ処理方法について説明する。図12は、更に別の実施形態に係るプラズマ処理方法を示す流れ図である。図12に示すプラズマ処理方法MT3は、図10に示した実施形態を採用したプラズマ処理装置10において実行可能である。図12に示すように、プラズマ処理方法MT3は、工程ST31、工程ST32、及び、工程ST33を含んでいる。図12に示す例では、工程ST31の実行後に工程ST32が実行され、工程ST32の実行後に工程ST33が実行されるが、工程ST31、工程ST32、及び、工程ST33の実行順序は任意の順序であり得る。
工程ST31は、工程ST1又は工程ST21と同様の工程である。工程ST32は、工程ST2と同様の工程である。工程ST32は、工程ST22と同様の工程である。工程ST31で利用される処理ガス、工程ST32で利用される処理ガス、工程ST33で利用される処理ガスは、互いに同一であってもよく、異なっていてもよい。工程ST32において基台22に供給される高周波の電力は、工程ST31において基台22に供給される高周波の電力よりも大きい。工程ST33において基台22に供給される高周波の電力は、工程ST33において基台22に供給される高周波の電力よりも大きい。
以下、図13を参照し、更に別の実施形態に係るプラズマ処理方法について説明する。図13は、更に別の実施形態に係るプラズマ処理方法を示す流れ図である。図13に示すプラズマ処理方法MT4は、図8に示した実施形態又は図10に示した実施形態を採用したプラズマ処理装置10において実行可能である。プラズマ処理方法MT4は、図14に示す基板Wの加工に適用可能である。図14は、図13に示すプラズマ処理方法の実行前の一例の基板の一部拡大断面図である。
図14に示す基板Wは、絶縁膜IF、有機膜OF、マスク膜MF、及び、レジストマスクRMを有する。絶縁膜IFは、下地層UL上に設けられている。絶縁膜IFは、例えば酸化シリコンから形成されている。有機膜OFは、絶縁膜IF上に設けられている。有機膜OFは、有機材料から形成されている。有機膜OFは、例えばスピンオンカーボン膜等の有機誘電体膜、又は、アモルファスカーボン膜を含み得る。マスク膜MFは、有機膜OF上に設けられている。マスク膜MFは、例えばシリコン含有反射防止膜であり得る。レジストマスクRMは、マスク膜MF上に設けられている。レジストマスクRMは、開口を提供するパターンを有する。レジストマスクRMのパターンは、フォトリソグラフィ技術により形成され得る。
以下、図13及び図14と共に、図15の(a)、図15の(b)、及び、図15の(c)を参照する。図15の(a)及び図15の(b)は、図13に示すプラズマ処理方法の実行中の一例の基板の一部拡大断面図であり、図15の(c)は、図13に示すプラズマ処理方法の実行後の一例の基板の一部拡大断面図である。プラズマ処理方法MT4は、基板Wがチャック本体26上に載置された状態で実行される。
図13に示すように、プラズマ処理方法MT4は、工程ST41、工程ST42、工程ST43、及び、工程ST44を含んでいる。工程ST41では、図14に示した基板Wに対してプラズマ処理(第1のプラズマ処理)が実行される。工程ST42では、工程ST41の適用後の基板Wに対して別のプラズマ処理(第3のプラズマ処理)が実行される。工程ST43では、工程ST42の適用後の基板Wに対して更に別のプラズマ処理(第4のプラズマ処理)が実行される。工程ST44では、工程ST43の適用後の基板Wに対して更に別のプラズマ処理(第2のプラズマ処理)が実行される。
プラズマ処理方法MT4は、工程ST41で開始する。工程ST41では、チャンバ12cに処理ガスが供給され、チャンバ12cの圧力が指定された圧力に設定される。工程ST41で用いられる処理ガスは、水素含有ガス及び希ガス(例えば、アルゴンガス)を含む。水素含有ガスは、例えば水素ガス(Hガス)、又は、臭化水素ガスといったハロゲン化水素ガスである。工程ST41では、チャンバ12cに供給された処理ガスのプラズマが生成される。工程ST41では、プラズマを生成するために第1の高周波電源62からの高周波が上部電極30に供給される。工程ST41では、第2の高周波電源64からの高周波が基台22に供給されてもよく、供給されなくてもよい。即ち、工程ST41では、基台22に供給される高周波の電力は比較的低いか、或いは、ゼロである。
工程ST41では、基板Wの温度は、比較的低温、例えば−60℃以上且つ−20℃以下の温度に設定される。工程ST41では、基板Wの面内における温度のバラツキは1℃以内であり得る。工程ST41では、第1のヒータコントローラ71からの複数の第1の出力によって複数の第1のヒータ26bが駆動され、第2のヒータコントローラ72からの複数の第2の出力によって複数の第2のヒータ26cが駆動される。工程ST41では、チラーユニットTUから流路22fに熱交換媒体が供給される。工程ST41では、処理ガスのプラズマからの水素の活性種によって、レジストマスクRMが改質される。
続く工程ST42では、チャンバ12cに処理ガスが供給され、チャンバ12cの圧力が指定された圧力に設定される。工程ST42で用いられる処理ガスは、フッ素含有ガスを含む。フッ素含有ガスは、例えば、SFガス、又は、CFガスといったフルオロカーボンガスである。工程ST42では、チャンバ12cに供給された処理ガスのプラズマが生成される。工程ST42では、プラズマを生成するために第1の高周波電源62からの高周波が上部電極30に供給される。工程ST42では、第2の高周波電源64からの高周波が基台22に供給されてもよく、供給されなくてもよい。即ち、工程ST42では、基台22に供給される高周波の電力は比較的低いか、或いは、ゼロである。
工程ST42では、基板Wの温度は、比較的低温、例えば0℃以上且つ30℃以下の温度に設定される。工程ST42では、基板Wの面内における温度のバラツキは1℃以内であり得る。工程ST42では、第1のヒータコントローラ71からの複数の第1の出力によって複数の第1のヒータ26bが駆動され、第2のヒータコントローラ72からの複数の第2の出力によって複数の第2のヒータ26cが駆動される。工程ST42では、チラーユニットTUから流路22fに熱交換媒体が供給される。工程ST42では、処理ガスのプラズマからのフッ素の活性種によって、マスク膜MFがエッチングされる。この工程ST42により、図15の(a)に示すように、レジストマスクRMのパターンがマスク膜MFに転写される。
続く工程ST43では、チャンバ12cに処理ガスが供給され、チャンバ12cの圧力が指定された圧力に設定される。工程ST43で用いられる処理ガスは、酸素含有ガス及び希ガス(例えばアルゴンガス)を含む。酸素含有ガスは、例えば酸素ガス(Oガス)COガス、又は、COガスである。工程ST43では、チャンバ12cに供給された処理ガスのプラズマが生成される。工程ST43では、プラズマを生成するために第1の高周波電源62からの高周波が上部電極30に供給される。工程ST43では、第2の高周波電源64からの高周波が基台22に供給されてもよく、供給されなくてもよい。即ち、工程ST43では、基台22に供給される高周波の電力は比較的低いか、或いは、ゼロである。
工程ST43では、基板Wの温度は、比較的低温、例えば0℃以上且つ20℃以下の温度に設定される。工程ST43では、基板Wの面内における温度のバラツキは1℃以内であり得る。工程ST43では、第1のヒータコントローラ71からの複数の第1の出力によって複数の第1のヒータ26bが駆動され、第2のヒータコントローラ72からの複数の第2の出力によって複数の第2のヒータ26cが駆動される。工程ST43では、チラーユニットTUから流路22fに熱交換媒体が供給される。工程ST43では、処理ガスのプラズマからの酸素の活性種によって、有機膜OFがエッチングされる。この工程ST43により、図15の(b)に示すように、マスク膜MFのパターンが有機膜OFに転写される。なお、工程ST43の実行中に、レジストマスクRMは除去される。
続く工程ST44では、チャンバ12cに処理ガスが供給され、チャンバ12cの圧力が指定された圧力に設定される。工程ST44で用いられる処理ガスは、フッ素含有ガスを含む。フッ素含有ガスは、例えば、CFガスといったフルオロカーボンガスである。工程ST44では、チャンバ12cに供給された処理ガスのプラズマが生成される。工程ST44では、プラズマを生成するために第1の高周波電源62からの高周波が上部電極30に供給される。工程ST44では、第2の高周波電源64からの高周波が基台22に供給される。工程ST44では、基台22に供給される高周波の電力は、工程ST41、工程ST42、及び、工程ST43の各々において基台22に供給される高周波の電力よりも大きく、例えば2000W以上である。
工程ST44では、基板Wの温度は、比較的高温、例えば20℃以上且つ50℃以下の温度に設定される。基板Wの面内における温度のバラツキは5〜10℃以内であり得る。工程ST44では、複数の第2のヒータ26cの駆動が停止され、第2の電源82と第2のヒータコントローラ72との間の接続がスイッチング部86によって遮断される。工程ST44では、第1のヒータコントローラ71からの複数の第1の出力によって複数の第1のヒータ26bが駆動されてもよい。この場合には、図8に示した実施形態又は図10に示した実施形態を採用したプラズマ処理装置10が利用され得る。或いは、工程ST44では、複数の第1のヒータ26bの駆動が更に停止され、第1の電源80と第1のヒータコントローラ71との間の接続がスイッチング部84によって遮断されてもよい。この場合には、図10に示した実施形態を採用したプラズマ処理装置10が利用され得る。工程ST44では、チラーユニットTUから流路22fに熱交換媒体が供給される。工程ST44では、処理ガスのプラズマからの活性種によって、絶縁膜IFがエッチングされる。この工程ST44により、図15の(c)に示すように、有機膜OFのパターンが絶縁膜IFに転写される。なお、工程ST44の実行中に、マスク膜MFは除去され得る。
プラズマ処理方法MT4では、工程ST41のプラズマ処理によりレジストマスクRMが改質され、工程ST42のプラズマ処理によりマスク膜MFがエッチングされ、工程ST43のプラズマ処理により有機膜OFがエッチングされる。レジストマスクRMの改質、マスク膜MFのエッチング、及び、有機膜OFのエッチングは、絶縁膜IFのエッチング用のマスクを形成するために行われるので、高い精度での加工が要求される。工程ST41のプラズマ処理、工程ST42のプラズマ処理、及び、工程ST43のプラズマ処理は、基板Wの面内温度分布が微細に調整された状態で、比較的低いパワーの高周波を用いるので、レジストマスクRMの改質、マスク膜MFのエッチング、及び、有機膜OFのエッチングに適している。一方、工程ST44のプラズマ処理では、少なくとも複数の第2のヒータ26cの駆動が停止され、高いパワーの高周波を用いて、絶縁膜IFがエッチングされる。かかる工程ST44のプラズマ処理は、基板Wの面内温度分布の微細な調整は要求されないが、高いパワーの高周波の利用が要求される絶縁膜IFのエッチングに適している。
以上、種々の実施形態について説明してきたが、上述した実施形態に限定されることなく種々の変形態様を構成可能である。例えば、上述した種々の実施形態に係るプラズマ処理方法では、誘導結合型のプラズマ処理装置、マイクロ波といった表面波を用いてプラズマを生成するプラズマ処理装置といった任意のプラズマ源を利用するプラズマ処理装置が用いられてもよい。
10…プラズマ処理装置、12…チャンバ本体、12c…チャンバ、16…ステージ、18…給電部、18s…収容空間、20…静電チャック、22…基台、22f…流路、26…チャック本体、26a…電極、26b…第1のヒータ、26c…第2のヒータ、26e,26f…端子、62…第1の高周波電源、64…第2の高周波電源、71…第1のヒータコントローラ、72…第2のヒータコントローラ、72m…測定器、73…第1の給電線、74…第2の給電線、80…第1の電源、82…第2の電源、260…セラミック本体、260a…基板搭載領域、260b…外周領域、AX…中心軸線、F11,F12,F21,F22…フィルタ、MC…主制御部、TS…温度センサ、TU…チラーユニット、UC…上位コントローラ。

Claims (7)

  1. プラズマ処理装置を用いて実行されるプラズマ処理方法であって、
    前記プラズマ処理装置は、
    チャンバを提供するチャンバ本体と、
    前記チャンバ内において基板を支持するよう構成されたステージと、
    高周波電源と、
    を備え、
    前記ステージは、
    前記高周波電源からの高周波を伝送する伝送路を提供する給電部と、
    前記給電部上に設けられており、前記給電部に電気的に接続された導電性の基台、及び、前記基台上に設けられており、基板を静電引力により保持するよう構成されたチャック本体を有する静電チャックと、
    を有し、
    前記チャック本体は、
    該チャック本体の中心軸線に直交する該チャック本体内の面上で分布するよう該チャック本体内に設けられた複数の第1のヒータと、
    前記中心軸線に直交する該チャック本体内の別の面上で分布するよう複数の第2のヒータであり、該複数の第2のヒータの個数は前記複数の第1のヒータの個数よりも多い、該複数の第2のヒータと、
    を有し、
    前記プラズマ処理装置は、
    第1の電源からの交流又は直流の出力により、前記複数の第1のヒータを駆動するように構成された第1のヒータコントローラと、
    前記第1の電源からの前記出力の電力よりも低い電力を有する第2の電源からの交流又は直流の出力により、前記複数の第2のヒータを駆動するように構成された第2のヒータコントローラと、
    を更に備え、
    該プラズマ処理方法は、前記チャック本体上に前記基板が載置された状態で実行され、
    前記チャンバ内において前記基板に第1のプラズマ処理を適用する工程と、
    前記チャンバ内において前記基板に第2のプラズマ処理を適用する工程と、
    を含み、
    第2のプラズマ処理を適用する前記工程において前記高周波電源から前記基台に供給される前記高周波の電力は、第1のプラズマ処理を適用する前記工程において前記高周波電源から前記基台に供給される前記高周波の電力よりも大きく、
    第1のプラズマ処理を適用する前記工程において、前記第1のヒータコントローラからの複数の第1の出力によって前記複数の第1のヒータが駆動され、前記第2のヒータコントローラからの複数の第2の出力によって前記複数の第2のヒータが駆動され、
    第2のプラズマ処理を適用する前記工程において、少なくとも前記複数の第2のヒータの駆動が停止され、前記第2の電源と前記第2のヒータコントローラとの間の接続が遮断される、
    プラズマ処理方法。
  2. 第2のプラズマ処理を適用する前記工程において、前記複数の第1のヒータの駆動が停止され、前記第1の電源と前記第1のヒータコントローラとの間の接続が遮断される、請求項1に記載のプラズマ処理方法。
  3. 前記基台は、該基台内に形成された流路であり熱交換媒体が供給される流路を有し
    第2のプラズマ処理を適用する前記工程において、前記基板の温度は、前記基台の前記流路に前記熱交換媒体が供給されることにより制御される、請求項2に記載の方法。
  4. 第2のプラズマ処理を適用する前記工程において前記高周波電源から前記基台に供給される前記高周波の前記電力は、2000W以上である、請求項1に記載の方法。
  5. 前記基板は、酸化シリコンから形成された絶縁膜、該絶縁膜上に設けられた有機膜、該有機膜上に設けられたマスク膜、及び、該マスク膜上に設けられたレジストマスクを有し、
    該プラズマ処理方法は、
    第1のプラズマ処理を適用する前記工程と第2のプラズマ処理を適用する前記工程との間において、前記チャンバ内において前記基板に第3のプラズマ処理を適用する工程と、
    第3のプラズマ処理を適用する前記工程と第2のプラズマ処理を適用する前記工程との間において、前記チャンバ内において前記基板に第4のプラズマ処理を適用する工程と、
    を更に含み、
    第1のプラズマ処理を適用する前記工程では、前記チャンバ内において生成される水素含有ガスのプラズマからの水素の活性種が前記レジストマスクに供給され、
    第3のプラズマ処理を適用する前記工程では、前記複数の第1のヒータが駆動され、前記複数の第2のヒータが駆動され、前記チャンバ内において生成される処理ガスのプラズマからの活性種によって前記マスク膜がエッチングされ、
    第4のプラズマ処理を適用する前記工程では、前記複数の第1のヒータが駆動され、前記複数の第2のヒータが駆動され、前記チャンバ内において生成される処理ガスのプラズマからの活性種によって前記有機膜がエッチングされ、
    第2のプラズマ処理を適用する前記工程において前記高周波電源から前記基台に供給される前記高周波の前記電力は、第3のプラズマ処理を適用する前記工程において前記高周波電源から前記基台に供給される前記高周波の電力、及び、第4のプラズマ処理を適用する前記工程において前記高周波電源から前記基台に供給される前記高周波の電力よりも大きく、
    第2のプラズマ処理を適用する前記工程では、前記チャンバ内において生成される処理ガスのプラズマからの活性種によって前記絶縁膜がエッチングされる、
    請求項1〜4の何れか一項に記載の方法。
  6. 前記チャンバ内において前記基板に別のプラズマ処理を適用する工程を更に含み、
    第2のプラズマ処理を適用する前記工程において前記高周波電源から前記基台に供給される前記高周波の電力は、第1のプラズマ処理を適用する前記工程において前記高周波電源から前記基台に供給される前記高周波の電力よりも大きく、
    別のプラズマ処理を適用する前記工程において前記高周波電源から前記基台に供給される前記高周波の電力は、第2のプラズマ処理を適用する前記工程において前記高周波電源から前記基台に供給される前記高周波の前記電力よりも大きく、
    第2のプラズマ処理を適用する前記工程において、前記複数の第1のヒータが駆動され、前記複数の第2のヒータの駆動が停止され、前記第2の電源と前記第2のヒータコントローラとの間の接続が遮断され、
    別のプラズマ処理を適用する前記工程において、前記複数の第1のヒータの駆動が停止され、前記第1の電源と前記第1のヒータコントローラとの間の接続が遮断され、前記複数の第2のヒータの駆動が停止され、前記第2の電源と前記第2のヒータコントローラとの間の接続が遮断される、
    請求項1に記載のプラズマ処理方法。
  7. 前記第1のヒータコントローラは、前記第1の電源からの前記出力である交流出力を分配することにより生成した交流の前記複数の第1の出力により、前記複数の第1のヒータを交流駆動するように構成されており、
    前記第2のヒータコントローラは、前記第2の電源からの前記出力である直流出力を分配することにより生成した直流の前記複数の第2の出力により、前記複数の第2のヒータをそれぞれ直流駆動するように構成されている、
    請求項1〜6の何れか一項に記載のプラズマ処理方法。
JP2017106733A 2017-05-30 2017-05-30 プラズマ処理方法 Active JP6688763B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2017106733A JP6688763B2 (ja) 2017-05-30 2017-05-30 プラズマ処理方法
US15/989,291 US10361089B2 (en) 2017-05-30 2018-05-25 Plasma processing method
KR1020180059824A KR102505679B1 (ko) 2017-05-30 2018-05-25 플라즈마 처리 방법
TW107118073A TWI751340B (zh) 2017-05-30 2018-05-28 電漿處理方法
CN201810539508.7A CN108987231B (zh) 2017-05-30 2018-05-30 等离子体处理方法
CN202010467289.3A CN111653466B (zh) 2017-05-30 2018-05-30 等离子体处理方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017106733A JP6688763B2 (ja) 2017-05-30 2017-05-30 プラズマ処理方法

Publications (3)

Publication Number Publication Date
JP2018206805A JP2018206805A (ja) 2018-12-27
JP2018206805A5 JP2018206805A5 (ja) 2020-03-19
JP6688763B2 true JP6688763B2 (ja) 2020-04-28

Family

ID=64459936

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017106733A Active JP6688763B2 (ja) 2017-05-30 2017-05-30 プラズマ処理方法

Country Status (5)

Country Link
US (1) US10361089B2 (ja)
JP (1) JP6688763B2 (ja)
KR (1) KR102505679B1 (ja)
CN (2) CN108987231B (ja)
TW (1) TWI751340B (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10541137B2 (en) * 2018-06-01 2020-01-21 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for non line-of-sight doping
TW202226897A (zh) * 2020-11-06 2022-07-01 日商東京威力科創股份有限公司 濾波器電路

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP4616605B2 (ja) * 2004-09-27 2011-01-19 東京エレクトロン株式会社 プラズマ処理方法、プラズマ処理装置及び記憶媒体
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP5128421B2 (ja) * 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP5657262B2 (ja) * 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP5674375B2 (ja) * 2010-08-03 2015-02-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
EP2660860B8 (en) * 2010-12-27 2020-12-09 Creative Technology Corporation Work heating device and work treatment device
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
KR102137617B1 (ko) * 2012-10-19 2020-07-24 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
JP6276919B2 (ja) * 2013-02-01 2018-02-07 株式会社日立ハイテクノロジーズ プラズマ処理装置および試料台
US11158526B2 (en) * 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
JP6284786B2 (ja) * 2014-02-27 2018-02-28 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
JP6325424B2 (ja) * 2014-11-21 2018-05-16 日本特殊陶業株式会社 静電チャック
JP6530220B2 (ja) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 セラミックヒータ及びその制御方法、並びに、静電チャック及びその制御方法
JP6541439B2 (ja) * 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法

Also Published As

Publication number Publication date
CN108987231B (zh) 2020-06-26
TW201907478A (zh) 2019-02-16
CN111653466A (zh) 2020-09-11
JP2018206805A (ja) 2018-12-27
US10361089B2 (en) 2019-07-23
CN111653466B (zh) 2023-11-28
US20180350569A1 (en) 2018-12-06
KR102505679B1 (ko) 2023-03-02
CN108987231A (zh) 2018-12-11
KR20180131421A (ko) 2018-12-10
TWI751340B (zh) 2022-01-01

Similar Documents

Publication Publication Date Title
JP7158131B2 (ja) ステージ及びプラズマ処理装置
TWI834724B (zh) 用於在成形dc脈衝電漿處理裝置中邊緣環控制的電路
WO2019239944A1 (ja) プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
TWI635563B (zh) 溫度控制機構、溫度控制方法及基板處理裝置
JP5657262B2 (ja) プラズマ処理装置
US10699883B2 (en) Plasma processing apparatus, method of operating plasma processing apparatus, and power supply device
JP2011187758A (ja) 温度制御システム、温度制御方法、プラズマ処理装置及びコンピュータ記憶媒体
JP2007242913A (ja) 試料載置電極及びそれを用いたプラズマ処理装置
JP2007067036A (ja) 真空処理装置
TWI772200B (zh) 溫度控制裝置及溫度控制方法
TW201248676A (en) Modulatable focus ring and method for modulating plasma processor using the same
JP6688763B2 (ja) プラズマ処理方法
US11715654B2 (en) Temperature adjusting device
KR102628181B1 (ko) 반도체 제조 장치용의 부품 및 반도체 제조 장치
JP2010045170A (ja) 試料載置電極
JP7090149B2 (ja) プラズマ処理装置及びプラズマエッチング方法
JP2011176161A (ja) プラズマ処理装置及び処理方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200206

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200206

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20200206

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20200213

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200310

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200406

R150 Certificate of patent or registration of utility model

Ref document number: 6688763

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250