JP6397680B2 - Plasma processing apparatus and method of operating plasma processing apparatus - Google Patents

Plasma processing apparatus and method of operating plasma processing apparatus Download PDF

Info

Publication number
JP6397680B2
JP6397680B2 JP2014150325A JP2014150325A JP6397680B2 JP 6397680 B2 JP6397680 B2 JP 6397680B2 JP 2014150325 A JP2014150325 A JP 2014150325A JP 2014150325 A JP2014150325 A JP 2014150325A JP 6397680 B2 JP6397680 B2 JP 6397680B2
Authority
JP
Japan
Prior art keywords
plasma
processing chamber
processing
wafer
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014150325A
Other languages
Japanese (ja)
Other versions
JP2016025291A (en
Inventor
和幸 池永
和幸 池永
田村 智行
智行 田村
正貴 石黒
正貴 石黒
光 小山
光 小山
小林 浩之
浩之 小林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Priority to JP2014150325A priority Critical patent/JP6397680B2/en
Publication of JP2016025291A publication Critical patent/JP2016025291A/en
Application granted granted Critical
Publication of JP6397680B2 publication Critical patent/JP6397680B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

本発明は、容器内部の処理室に配置された半導体ウエハ等の基板状の試料を当該処理室内に形成したプラズマを用いて処理するプラズマ処理装置またはその運転方法に係り、前記試料上に予め配置された処理対象の膜を複数のステップで処理するプラズマ処理装置またはその運転方法に関する。
The present invention relates to a plasma processing apparatus for processing a substrate-like sample such as a semiconductor wafer arranged in a processing chamber inside a container by using plasma formed in the processing chamber, or an operating method thereof, and is arranged in advance on the sample. The present invention relates to a plasma processing apparatus for processing a processed film in a plurality of steps or an operation method thereof.

半導体デバイスやフラットパネルディスプレイなどの半導体装置の製造工程では、所望の回路のパターンを形成するために、プラズマCVD(Chemical Vapor Deposition)やプラズマエッチング等の技術を用いて処理対象の試料の上面に予め配置された膜構造が処理される。このような処理を実施する装置では、ウエハ等の基板上の試料を処理室内に配置されたステージ上面の載置面に載せて保持し、例えば、Ar,O2,N2,CHF3,CH4,C58,C48,CF4,SF6,NF3,HBr,Cl2,BCl3等から構成された処理用のガスを処理室に供給し、当該処理室内に供給された電界又は磁界を用いて処理用ガスの粒子を励起してプラズマ化し、プラズマ中の荷電粒子や反応性の高い活性粒子を用いて試料の表面に成膜やエッチングが行われる。
In a manufacturing process of a semiconductor device such as a semiconductor device or a flat panel display, in order to form a desired circuit pattern, a technique such as plasma CVD (Chemical Vapor Deposition) or plasma etching is used on the upper surface of a sample to be processed in advance. The placed membrane structure is processed. In an apparatus for performing such processing, a sample on a substrate such as a wafer is placed on and held on a mounting surface on the upper surface of a stage disposed in the processing chamber, for example, Ar, O 2 , N 2 , CHF 3 , CH A processing gas composed of 4 , C 5 F 8 , C 4 F 8 , CF 4 , SF 6 , NF 3 , HBr, Cl 2 , BCl 3, etc. is supplied to the processing chamber and supplied to the processing chamber. The processing gas particles are excited by using an electric field or magnetic field to form plasma, and film formation or etching is performed on the surface of the sample using charged particles in the plasma or active particles having high reactivity.

近年、上記半導体装置の回路の寸法がさらに微細化していくに伴って、半導体装置の性能の劣化や歩留まりの低下の原因となるアルカリ金属や重金属による汚染や試料上に付着する異物の寸法の許容範囲がより小さく厳しくなっている。一般的にプラズマを用いて上記試料を処理するプラズマ処理装置は、ステンレス合金やアルミニウム合金等の金属、石英やアルミナ等のセラミックの材料から構成された部材によって構成される。このような部材の表面への処理用のガスやプラズマによるエッチングや腐食を抑制するため、これらの処理用ガスやプラズマに曝される部材の表面にはプラズマに対して耐性の大きな材料から構成された保護膜が形成されている。
In recent years, as the circuit dimensions of the semiconductor device are further miniaturized, the tolerance of the contamination of the alkali metal or heavy metal that causes the deterioration of the performance of the semiconductor device or the reduction of the yield or the size of the foreign matter adhering to the sample is allowed. The range is smaller and tighter. Generally, a plasma processing apparatus for processing the sample using plasma is constituted by a member made of a metal such as a stainless alloy or an aluminum alloy, or a ceramic material such as quartz or alumina. In order to suppress etching and corrosion due to the processing gas or plasma on the surface of such a member, the surface of the member exposed to the processing gas or plasma is made of a material resistant to plasma. A protective film is formed.

従来からこのような保護膜の材料としては、アルマイトあるいはAl23が用いられていたが、皮膜を構成する材料であるAlとプラズマ中のフッ素(F)とが反応して蒸気圧が非常に低いAlF(133.32Paの時のAlF3の沸点=1238℃)が生成されてしまい、これが処理室内に堆積することによって異物の原因となってしまうという問題が生じていた。このような問題点を解決するため、プラズマに曝される処理室内面には、アルマイト皮膜よりもプラズマによるエッチングレートが小さいセラミクス材料から構成された皮膜が保護膜として用いられている。
Conventionally, alumite or Al 2 O 3 has been used as a material for such a protective film, but the vapor pressure is extremely high due to the reaction between Al, which is a material constituting the film, and fluorine (F) in the plasma. In other words, low AlF (the boiling point of AlF 3 at 133.32 Pa = 1238 ° C.) is generated, and this accumulates in the processing chamber, causing a problem of foreign matters. In order to solve such problems, a film made of a ceramic material having a lower etching rate by plasma than that of the alumite film is used as a protective film on the inner surface of the processing chamber exposed to plasma.

試料上面に成膜されて配置された種類の異なる膜が積層された膜構造を処理するため、一般的なプラズマを用いたエッチング処理では膜種に応じた異なる条件でエッチングが実施される。このような異なる処理の条件(レシピ)での処理を連続して実施する場合には、当該処理のシーケンスは複数の異なる条件で実施される処理ステップから構成される。
In order to process a film structure in which different types of films formed and arranged on the upper surface of the sample are processed, etching is performed under different conditions depending on the film type in a general plasma etching process. When processing under such different processing conditions (recipe) is continuously performed, the processing sequence includes processing steps performed under a plurality of different conditions.

上記の膜構造では、エッチングする回路の寸法に合わせて予め処理対象の膜の上方にマスクのパターンが配置されており、処理対象の膜の処理では当該マスクのパターンに沿って膜をエッチングが実施されていく。この処理の進行に伴って、処理室の内壁面には処理中に処理室内に生成された反応生成物が付着する。
In the above film structure, a mask pattern is arranged in advance above the film to be processed in accordance with the dimensions of the circuit to be etched, and the film is etched along the mask pattern in the processing of the film to be processed. It will be done. As the processing proceeds, reaction products generated in the processing chamber adhere to the inner wall surface of the processing chamber.

このような反応生成物が内壁面上に堆積して形成された膜厚さがある程度以上の厚さになると、処理室内面から剥離してしまい、異物となって試料の表面に付着してしまう。また、処理室の内壁面に反応生成物が付着してこれが増大することにより、処理室の内壁表面の状態やこの表面とプラズマとの間の相互作用が変化してしまいエッチング中のプラズマの特性を変動させてしまうことになる。
When the thickness of the reaction product deposited on the inner wall surface exceeds a certain level, the reaction product peels from the inner surface of the processing chamber and becomes a foreign substance and adheres to the surface of the sample. . In addition, the reaction product adheres to the inner wall surface of the processing chamber and increases, thereby changing the state of the inner wall surface of the processing chamber and the interaction between this surface and the plasma, and the characteristics of the plasma during etching. Will be fluctuated.

このような変動が生じると、処理の結果としての加工の形状が所期のものから変動してしまい、延いては歩留まりを損ってしまうことになる。この問題を回避するため、半導体装置の製造者やプラズマ処理装置の使用者は、エッチングレートや異物数、Defect数などの項目とそれぞれの項目についての異常の有無や洗浄の要否を判定する基準とを設けて、プラズマ処理装置を用いた半導体装置の製造中にこれらの値を定期的に検査してこれらの発生の有無を確認している。
When such a change occurs, the shape of the processing as a result of the process changes from the intended one, and consequently the yield is impaired. In order to avoid this problem, a semiconductor device manufacturer or a plasma processing apparatus user can determine items such as an etching rate, the number of foreign substances, and the number of defects, and whether or not there is an abnormality in each item and whether or not cleaning is necessary. These values are regularly inspected during the manufacture of the semiconductor device using the plasma processing apparatus to confirm the presence or absence of these.

そして、上記の項目がその管理基準を超えた場合には、使用者はプラズマ処理装置の処理室内を大気開放して処理室内の表面を構成する部品を処理室から取り外して薬液等の液体を用いて洗浄(ウエット洗浄)を実施することによって、処理室の内壁面の状態を反応生成物の付着前の状態に近い状態に戻し(リセットし)ている。しかしながら、このようなウエット洗浄後の処理室の内壁面の状態は、実際に複数の試料を連続的に処理する、所謂量産時の運転でのものと異なっている。
When the above items exceed the management standard, the user opens the processing chamber of the plasma processing apparatus to the atmosphere, removes the parts constituting the surface of the processing chamber from the processing chamber, and uses a liquid such as a chemical solution. By performing cleaning (wet cleaning), the state of the inner wall surface of the processing chamber is returned (reset) to a state close to the state before the reaction product is adhered. However, the state of the inner wall surface of the processing chamber after such wet cleaning is different from that in a so-called mass production operation in which a plurality of samples are actually continuously processed.

そこで、試料の処理の再開の前に慣らし(所謂シーズニング)処理といわれる処理室内での放電を行い内壁面の状態を量産での状態に近付けて後、製品の製造としての試料の処理を再開している。このような放電では、実際の試料は用いられず、所謂ダミーウエハを用いて放電して上記の管理項目の基準が満足されれば、量産のための処理が開始されることになるが、異物やDefectに関してはウエット洗浄後でも基準を超えてしまう場合がある。
Therefore, before resuming the processing of the sample, discharge in the processing chamber, which is called a so-called seasoning process, is performed to bring the inner wall surface close to the state of mass production, and then the processing of the sample as a product manufacturing is resumed. ing. In such a discharge, an actual sample is not used, and if a discharge is performed using a so-called dummy wafer and the above criteria for the management items are satisfied, processing for mass production is started. Defect may exceed the standard even after wet cleaning.

発明者らが基準を超えたときの異物やDefectを分析した結果、このような現象は処理室内壁面の保護膜として用いられている皮膜に起因する異物が主な原因であることが判明した。すなわち、当該皮膜はプラズマにより加熱されて半溶融状態にされたセラミクスの粒子を対象の表面に吹き付けて形成する所謂溶射により形成されたものであり、溶射ではフレーム中で加熱された粒子が対象の部材表面に到達して層として形成された後に冷却される際に皮膜の表面に複数のクラックが生じることになる。
As a result of analyzing the foreign matter and defect when the inventors exceeded the standard, it was found that such a phenomenon was mainly caused by the foreign matter caused by the coating used as the protective film on the wall surface of the processing chamber. That is, the coating is formed by so-called thermal spraying by spraying ceramic particles heated to a semi-molten state by plasma on the surface of the target. In thermal spraying, the particles heated in the frame are targeted. A plurality of cracks are generated on the surface of the coating when cooled after reaching the surface of the member and formed as a layer.

このようなクラックによって形成された微粒子は皮膜の表面やクラックの内部に付着する。また、溶射用の粒子がこれが皮膜として覆うべき部材の表面に衝突した際に生じる飛沫も当該皮膜の表面に付着する。発明者らは、皮膜の表面に形成される5〜30μmの凹凸部の特に凹部に付着した微粒子や飛沫、クラック内部に付着している微粒子は、上記のウエット洗浄でも除去され難いため、これらの皮膜に起因する異物が原因となってウエット洗浄後でも異物が検出されたものと推定した。
The fine particles formed by such cracks adhere to the surface of the film and the inside of the crack. Further, splashes generated when the particles for thermal spraying collide with the surface of a member to be covered as a film also adhere to the surface of the film. Since the inventors have difficult to remove the fine particles adhering to the concave portions of the 5-30 μm formed on the surface of the film, in particular the concave portions, the fine particles adhering to the inside of the cracks even by the above wet cleaning, these It was presumed that foreign matter was detected even after wet cleaning due to foreign matter caused by the film.

このような処理室内面の部材の表面から生じる微粒子を除去する技術としては、例えば、特開2005−101539号公報(特許文献1)に開示のものが従来より知られていた。本従来技術では、処理室の内側表面を構成する部材に電圧を印加して部材に付着している微粒子を飛散させる技術が開示されている。
As a technique for removing fine particles generated from the surface of the member on the inside of the processing chamber, for example, a technique disclosed in Japanese Patent Application Laid-Open No. 2005-101539 (Patent Document 1) has been conventionally known. In this prior art, a technique is disclosed in which fine particles adhering to a member are scattered by applying a voltage to the member constituting the inner surface of the processing chamber.

さらに、特開2008−172038号公報(特許文献2)に記載のように、処理室内表面を構成する部材にプラズマ生成用のソース高周波電力を印加して、処理室内表面に付着している微粒子を当該表面から遊離させ、微粒子をガスと共に処理室内から排気して低減する技術が知られている。さらには、特開2010−147238号公報(特許文献3)に記載のように、ウエハの静電吸着用電極からウエハに正の電圧を印加してプラズマの生成と消失を繰り返し、シース上に浮遊する負に帯電した異物をウエハに吸着させて低減するものが知られている。一方、特開2001−176958号公報(特許文献4)には、処理ステップの最後のプラズマが消失する前に、正の電圧を印加していた静電吸着装置の吸着用電極に負の電圧を印加することによりウエハを負に帯電させて、シース上に浮遊する負に帯電した異物がウエハに付着することを抑制するものが開示されている。
Further, as described in Japanese Patent Application Laid-Open No. 2008-172038 (Patent Document 2), the source high-frequency power for plasma generation is applied to the members constituting the surface of the processing chamber, and the fine particles adhering to the surface of the processing chamber are removed. A technique is known in which particles are released from the surface and exhausted together with gas and exhausted from the processing chamber. Further, as described in Japanese Patent Application Laid-Open No. 2010-147238 (Patent Document 3), a positive voltage is applied to the wafer from the electrostatic chucking electrode of the wafer to repeatedly generate and disappear plasma, and float on the sheath. It is known to reduce negatively charged foreign substances by adsorbing them on a wafer. On the other hand, in Japanese Patent Application Laid-Open No. 2001-176958 (Patent Document 4), before the last plasma in the processing step disappears, a negative voltage is applied to the adsorption electrode of the electrostatic adsorption device to which a positive voltage was applied. A device is disclosed that applies a negative charge to the wafer to prevent the negatively charged foreign matter floating on the sheath from adhering to the wafer.

特開2005−101539号公報JP 2005-101539 A 特開2008−172038号公報JP 2008-172038 A 特開2010−147238号公報JP 2010-147238 A 特開2001−176958号公報JP 2001-176958 A

上記の従来技術では次の点についての考慮が不十分であったため問題が生じていた。
The above prior art has a problem because the following points are not sufficiently considered.

すなわち、特許文献1に記載の、処理室内面に付着した微粒子を飛散させるため、プラズマを生成しない状態で静電吸着電源を正または負の高電圧を繰り返し印加する従来の技術では、対象としている微粒子の粒径が160nm以上と大きく、微細化によって問題となっている粒径60nmレベルの微粒子については考慮されていない。粒径が小さくなるほど異物数は増加する傾向にあり、発明者が行った実験によれば、例えば160nm以上の異物が1個だけ検出された場合でも、60nmから160nmまでの異物は100個程度検出された。
That is, in the conventional technique described in Patent Document 1, the electrostatic adsorption power source is repeatedly applied with a positive or negative high voltage in a state where plasma is not generated in order to scatter fine particles adhering to the inner surface of the processing chamber. The particle size of the fine particle having a particle size of 160 nm or more and a problem due to miniaturization is not considered. As the particle size decreases, the number of foreign matters tends to increase. According to experiments conducted by the inventors, for example, even when only one foreign matter of 160 nm or more is detected, about 100 foreign matters from 60 nm to 160 nm are detected. It was done.

つまり、発明者らの検討によれば、特許文献1の従来技術では粒径160nm以下の微粒子を除去することは困難である。また、この従来技術では、飛散した微粒子を除去する手段については考慮されていない。
That is, according to the study by the inventors, it is difficult to remove fine particles having a particle diameter of 160 nm or less with the conventional technique of Patent Document 1. Further, in this prior art, no means for removing scattered fine particles is taken into consideration.

飛散した微粒子は排気されるものもあれば、処理室内面に再付着するものもある。また、例えばターボ分子ポンプの回転翼で反射され、所謂反跳して処理室内面に再付着するものも存在する。このような一旦処理室の内壁の表面から遊離した後内壁面へ再度付着する粒子は、異物やDefectの原因となるため好ましくない。
Some of the scattered fine particles are exhausted, while others are reattached to the inside of the processing chamber. In addition, for example, there are those which are reflected by the rotor blades of a turbo molecular pump and re-attach to the processing chamber surface by so-called recoil. Such particles once released from the surface of the inner wall of the processing chamber and then reattached to the inner wall surface are not preferable because they cause foreign matters and defects.

また、特許文献2に記載の処理室内面に付着した微粒子を飛散させる従来技術は、プラズマを生成しない状態でプラズマ生成用のソース高周波電力を印加するため、入力したパワーが反射して高周波電源やインピーダンス整合機に悪影響を及ぼす虞が有る点について、当該従来技術では考慮されていなかった。
Further, in the conventional technique for scattering fine particles attached to the inside of the processing chamber described in Patent Document 2, since the source high frequency power for plasma generation is applied without generating plasma, the input power is reflected to The conventional technology has not taken into consideration that there is a possibility of adversely affecting the impedance matching machine.

また、特許文献3に開示の、ウエハを正に帯電させてシース上に浮遊する負に帯電した異物をウエハに吸着させる技術は、プラズマが消失している間に処理室内壁面から放出される異物については何ら考慮されていない。発明者らが行った検討によれば、プラズマの消失中であっても異物は放出されており、かつ放出された異物は正に帯電していることが判明した。このことから、当該従来技術が開示するように異物の捕集材を正の電位にした場合には、異物は捕集材に対して反発して処理室の内壁面に再度付着してしまい捕集を奏効できない虞が有る。
Further, the technique disclosed in Patent Document 3 that positively charges the wafer and adsorbs the negatively charged foreign matter floating on the sheath to the wafer is a foreign matter released from the processing chamber wall surface while the plasma is extinguished. There is no consideration for. According to the study conducted by the inventors, it was found that the foreign matter was released even during the disappearance of the plasma, and the released foreign matter was positively charged. Therefore, as disclosed in the related art, when the foreign material collection material is set to a positive potential, the foreign material repels the collection material and reattaches to the inner wall surface of the processing chamber. There is a possibility that the collection cannot be performed.

さらには、ウエハを静電吸着するための電極に常に正の電圧が印加された場合、例えばクーロン電極においてはウエハの電位は0Vのままであり、負に帯電した異物をウエハに吸着させる効果は小さくなってしまう。このような問題点について、本従来技術では考慮されていなかった。
Furthermore, when a positive voltage is always applied to the electrode for electrostatically adsorbing the wafer, for example, in the coulomb electrode, the potential of the wafer remains 0 V, and the effect of adsorbing negatively charged foreign matter to the wafer is It gets smaller. Such problems have not been taken into consideration in the present prior art.

さらに、特許文献4に記載されたウエハを負に帯電させることでシース上に浮遊する負に帯電した異物がウエハに付着することを抑制する技術は、静電吸着用の電極に印加する電圧を正から負に移行させる際に、印加電圧が小さくなりウエハの吸着力が弱まってしまう。一般的な装置では、ウエハ裏面と当該ウエハを載置する試料台の載置面との間にはウエハからの熱伝達を促進するためにHeガス等の伝熱ガスが所定の圧力あるいは流量で供給されているため、ウエハの吸着力が弱まると伝熱ガスの圧力によりウエハが載置面から浮き上がったり正常の位置からズレたりする虞が有った。
Furthermore, the technology described in Patent Document 4 that negatively charges the wafer to prevent the negatively charged foreign matter floating on the sheath from adhering to the wafer is obtained by applying a voltage applied to the electrostatic chucking electrode. When shifting from positive to negative, the applied voltage is reduced, and the attractive force of the wafer is weakened. In a general apparatus, a heat transfer gas such as He gas is applied at a predetermined pressure or flow rate between the back surface of the wafer and the mounting surface of the sample table on which the wafer is mounted in order to promote heat transfer from the wafer. Since the wafer is supplied, if the wafer attracting force is weakened, the heat transfer gas pressure may cause the wafer to rise from the mounting surface or shift from the normal position.

このようなウエハの位置ずれが生じると、試料台やロボットアーム等の搬送用の装置上でウエハが正常な位置に保持されず、場合によってはウエハが試料台やウエハの搬送中のロボットアームから落下したり、周囲の部材と衝突して破損したりする虞があった。さらには、負に帯電したウエハによって反発されたシース上に浮遊していた異物は処理室内面に再付着する可能性があり、この異物が再びウエハに付着する虞が有った点について上記従来技術では考慮されていなかった。
When such a wafer misalignment occurs, the wafer is not held in a normal position on a transfer device such as a sample stage or a robot arm, and in some cases, the wafer may be removed from the sample stage or the robot arm that is transferring the wafer. There was a risk of falling or colliding with surrounding members and being damaged. Furthermore, the above-described conventional point is that foreign matter floating on the sheath repelled by the negatively charged wafer may reattach to the inside of the processing chamber, and this foreign matter may adhere to the wafer again. The technology was not considered.

さらにまた、特許文献1および2に記載の処理室の内壁面に付着した微粒子を飛散させる従来技術は、内壁面をウエット洗浄した後に当該内壁面を量産の処理中の状態に近づけるための慣らし(シーズニング)処理によるコンディション調整とは別の工程として実施せざるを得ない。このため、当該微粒子飛散のための工程の時間だけ量産のための処理の開始が遅れスループットが低下して処理の効率が損われてしまうという点について、これらの従来技術では考慮されていなかった。
Furthermore, the conventional technique for scattering fine particles adhering to the inner wall surface of the processing chamber described in Patent Documents 1 and 2 is a break-in for bringing the inner wall surface closer to a state during mass production after the inner wall surface is wet cleaned ( It must be carried out as a separate process from condition adjustment by seasoning. For this reason, these conventional techniques have not taken into consideration that the start of the process for mass production is delayed by the time of the process for scattering the fine particles, and the throughput is lowered and the efficiency of the process is impaired.

本発明の目的は、処理の歩留まりを向上させたプラズマ処理装置またはその運転方法を提供することにある。また、本発明の別の目的は、処理の効率を向上させたプラズマ処理装置またはその運転方法を提供することにある。
SUMMARY OF THE INVENTION An object of the present invention is to provide a plasma processing apparatus or a method for operating the same, in which the processing yield is improved. Another object of the present invention is to provide a plasma processing apparatus with improved processing efficiency or an operation method thereof.

上記目的は、真空容器の内部に配置され減圧される処理室と、この処理室内にプラズマを形成する電界を供給する電力を供給する高周波電源と、前記処理室内に配置され処理対象のウエハが載せられるステージと、このステージの上部に配置され静電気力を形成して前記ウエハを吸着するための電極と、この電極に接続された直流電源とを備え、前記処理室の内壁面を構成する内側部材の表面が誘電体により構成されたものであって、前記内側部材の表面を洗浄した後に前記ステージ上に載せられた板状の部材を吸着し保持した状態で実施され前記処理室内にプラズマを形成する複数の工程の間において、前記処理室内にプラズマが形成されない状態で前記電源から前記電極に電力を供給して前記板状の部材の電位が負に維持される工程を実施するプラズマ処理装置またはその運転方法により達成される。
The object is to place a processing chamber disposed in a vacuum vessel and depressurized, a high-frequency power source for supplying electric power for supplying an electric field for forming plasma in the processing chamber, and a wafer to be processed disposed in the processing chamber. An inner member that forms an inner wall surface of the processing chamber, and an electrode disposed on the stage for forming an electrostatic force to adsorb the wafer and a DC power source connected to the electrode. The surface of the inner member is made of a dielectric material, and after the surface of the inner member is cleaned, the plate-like member placed on the stage is adsorbed and held, and plasma is formed in the processing chamber. A step of supplying electric power from the power source to the electrode in a state where plasma is not formed in the processing chamber, and maintaining the negative potential of the plate-like member. It is achieved by a plasma processing apparatus or an operation method thereof.

本発明では、処理室内の微粒子の残留を抑制でき、製品ウエハへの異物付着を抑制することが可能となり、デバイス性能や歩留まりを向上させることができる。さらには、これら一連の操作をエージング処理で行うことにより、スループットの低下を抑制することができる。
In the present invention, it is possible to suppress the remaining of fine particles in the processing chamber, and it is possible to suppress the adhesion of foreign matters to the product wafer, thereby improving the device performance and the yield. Furthermore, a decrease in throughput can be suppressed by performing these series of operations by aging processing.

本発明の実施の形態に係るプラズマ処理装置の構成の概略を説明する縦断面図である。It is a longitudinal cross-sectional view explaining the outline of a structure of the plasma processing apparatus which concerns on embodiment of this invention. 図1に示す実施例に係るプラズマ処理装置のウエット洗浄後に実施される動作の流れの概略を示すフローチャートである。It is a flowchart which shows the outline of the flow of the operation | movement implemented after the wet cleaning of the plasma processing apparatus which concerns on the Example shown in FIG. 図1に示す実施例に係るプラズマ処理装置のウエット洗浄後の動作の流れを示すタイムチャートである。It is a time chart which shows the flow of operation | movement after the wet cleaning of the plasma processing apparatus which concerns on the Example shown in FIG. 従来のプラズマ処理装置においてプラズマが消失してからの時間の経過に伴うステージ上のウエハに付着する異物の個数の変化を示すグラフである。It is a graph which shows the change of the number of the foreign materials adhering to the wafer on a stage with progress of time after plasma lose | disappears in the conventional plasma processing apparatus. 図3に示す本実施例の処理及び図11に示す従来技術の処理の後にウエハに付着した異物の除去数を示すグラフである。FIG. 12 is a graph showing the number of foreign matters removed on the wafer after the processing of the present embodiment shown in FIG. 3 and the processing of the prior art shown in FIG. 11. 図1に示す実施例の変形例に係るプラズマ処理装置の構成の概略を模式的に示す縦断面図である。It is a longitudinal cross-sectional view which shows typically the outline of a structure of the plasma processing apparatus which concerns on the modification of the Example shown in FIG. 図6の変形例のウエット洗浄後の動作の流れを示すタイムチャートである。It is a time chart which shows the flow of operation | movement after the wet cleaning of the modification of FIG. 図1に示す実施例に係るプラズマ処理装置の別の変形例における慣らし放電処理の工程の動作の流れを示すタイムチャートである。It is a time chart which shows the flow of operation | movement of the process of a break-in discharge process in another modification of the plasma processing apparatus based on the Example shown in FIG. 図1に示す実施例に係るプラズマ処理装置のさらに別の変形例における慣らし放電処理の工程の動作の流れを示すタイムチャートである。It is a time chart which shows the flow of operation | movement of the process of a break-in discharge process in another modification of the plasma processing apparatus concerning the Example shown in FIG. 図1に示す実施例に係るプラズマ処理装置のさらに別の変形例における慣らし放電処理の工程の動作の流れを示すタイムチャートである。It is a time chart which shows the flow of operation | movement of the process of a break-in discharge process in another modification of the plasma processing apparatus concerning the Example shown in FIG. 従来の技術の処理シーケンスを示すタイムチャートである。It is a time chart which shows the processing sequence of a prior art.

本発明の実施の形態を以下図面を用いて説明する。
Embodiments of the present invention will be described below with reference to the drawings.

本発明は、半導体デバイスの製造や検査の分野に限定されるものではなく、フラットパネルディスプレイの製造の他プラズマを用いた処理装置等の様々な分野に適用可能である。本実施の形態では、半導体デバイスを製造するためのプラズマエッチング装置について以下に説明する。
The present invention is not limited to the field of semiconductor device manufacturing and inspection, but can be applied to various fields such as flat panel display manufacturing and processing equipment using plasma. In this embodiment, a plasma etching apparatus for manufacturing a semiconductor device will be described below.

発明者らは、プラズマの消失後にウエハに付着する異物の時間依存性、形状、成分、溶射部材の温度を調べた。その結果、複数の処理ステップから構成される処理シーケンスにおいて、各処理ステップにおけるプラズマが生成された後にこれが消火されることによって、当該消火後に処理室の内壁面を構成する部材の表面に配置された誘電体製の皮膜の表面またはその内部や部材の母材の表面との接合面に発生した熱応力により、当該皮膜の表面に付着した微粒子や飛沫、クラック内部に付着した微粒子が当該表面から離脱し処理室内に飛散、浮遊することを見出した。さらにこの際に浮遊している微粒子は正に帯電しているものが大多数であるという知見を得た。
The inventors examined the time dependence, shape, components, and temperature of the sprayed member of foreign matter adhering to the wafer after the disappearance of plasma. As a result, in a processing sequence composed of a plurality of processing steps, after the plasma is generated in each processing step, it is extinguished so that it is disposed on the surface of the member constituting the inner wall surface of the processing chamber after the extinction. Due to the thermal stress generated on the surface of the dielectric film, the inside of the film, or the joint surface with the surface of the base material of the member, the fine particles or droplets adhering to the surface of the film or the fine particles adhering to the inside of the crack are detached from the surface. It was found that it was scattered and floated in the processing chamber. Furthermore, it was found that the majority of the fine particles floating at this time are positively charged.

本実施の形態は、上記の知見を利用して想到されたものであり、処理室内でのプラズマを消火した後にウエハが載せられるステージの内部の双極型の電極を構成する電極それぞれに印加する静電吸着用の直流電圧をその平均値が負となるように調節し、その状態を保持することによってステージ上のウエハの電位を負にすることで、皮膜から放出される微粒子を処理室内面に再付着させずにウエハに吸着させる。また、このような操作を製品ウエハ以外のウエハ(以下、ダミーウエハと称す)をステージ上に載せて吸着し保持した状態で実施してダミーウエハに微粒子を吸着させて保持する。そのような微粒子を吸着させる処理を終了させた後に当該ダミーウエハを処理室外へ搬出することによって、処理室内の微粒子を低減してウエハへの異物の吸着を低減する。
The present embodiment has been conceived by using the above knowledge, and the static electricity applied to each of the electrodes constituting the bipolar electrode inside the stage on which the wafer is placed after the plasma in the processing chamber is extinguished. By adjusting the DC voltage for electroadsorption so that the average value is negative and maintaining the state, the potential of the wafer on the stage is made negative, so that the fine particles released from the coating can be placed inside the processing chamber. Adsorb to the wafer without reattachment. Further, such an operation is performed in a state where a wafer other than the product wafer (hereinafter referred to as a dummy wafer) is placed on the stage and is sucked and held, and the dummy wafer is sucked and held. After the process for adsorbing such fine particles is completed, the dummy wafer is carried out of the processing chamber, thereby reducing the fine particles in the processing chamber and reducing the adsorption of foreign matters to the wafer.

本発明の実施例を図1乃至5を用いて説明する。図1は、本発明の実施の形態に係るプラズマ処理装置の構成の概略を説明する縦断面図である。特に、本実施例のプラズマ処理装置は、真空容器内部の処理室にプラズマを形成するためマイクロ波の電界とともにこれと相互作用を奏する磁界を供給してエレクトロンサイクロトロン共鳴(Electron Cyclotron Resonance:ECR)を用い、半導体ウエハ等の基板状の試料の上面に予め配置された処理対象の膜構造をエッチングして回路構造を形成する装置を示している。
An embodiment of the present invention will be described with reference to FIGS. FIG. 1 is a longitudinal sectional view for explaining the outline of the configuration of a plasma processing apparatus according to an embodiment of the present invention. In particular, the plasma processing apparatus of this embodiment supplies an electron cyclotron resonance (ECR) by supplying a magnetic field that interacts with a microwave electric field in order to form plasma in a processing chamber inside the vacuum vessel. 1 shows an apparatus for forming a circuit structure by etching a film structure to be processed which is previously arranged on the upper surface of a substrate-like sample such as a semiconductor wafer.

本実施例のプラズマ処理装置100は、大きく分けて内部にプラズマが形成される処理室7を有する真空容器61と、その上方に配置され処理室7内にプラズマを形成するための電界または磁界を形成するプラズマ形成部と、真空容器61の下方に配置され処理室7と連通され内側の空間を排気して減圧するターボ分子ポンプ等の真空ポンプを有する排気部とを備えている。処理室7は円筒形を有する空間であってこれを外周で囲んで配置される真空容器61は少なくともその上部に金属製の円筒形部分を有している。
The plasma processing apparatus 100 according to the present embodiment is roughly divided into a vacuum vessel 61 having a processing chamber 7 in which plasma is formed, and an electric field or magnetic field for forming plasma in the processing chamber 7 disposed above the vacuum vessel 61. A plasma forming unit to be formed and an exhaust unit having a vacuum pump such as a turbo molecular pump that is disposed below the vacuum vessel 61 and communicates with the processing chamber 7 to exhaust and decompress the inner space. The processing chamber 7 is a space having a cylindrical shape, and the vacuum vessel 61 disposed so as to surround the outer periphery of the processing chamber 7 has a metal cylindrical portion at least in the upper part thereof.

真空容器61の円筒形を有する側壁の上方には当該側壁の上端に載せられ円板形状を有して上記マイクロ波の電界が内部を透過できる石英等の誘電体材料によって構成された窓部材3が配置されている。側壁の上端と窓部材3の外周縁の下面との間には処理室7の内部と大気圧にされる外部の空間との間を気密に封止する図示しないOリング等のシール部材が挟まれ保持されており、窓部材3は真空容器61を構成している。また、処理室7内部の下方には円筒形を有したステージ6が設けられ、この上面の上には円板形状を有した半導体ウエハ等の基板状の試料(以下、ウエハ)4が載置される円形の載置面が備えられている。
Above the cylindrical side wall of the vacuum vessel 61, the window member 3 is made of a dielectric material such as quartz that is placed on the upper end of the side wall and has a disk shape and allows the microwave electric field to pass through the inside. Is arranged. Between the upper end of the side wall and the lower surface of the outer peripheral edge of the window member 3, a seal member such as an O-ring (not shown) that hermetically seals between the inside of the processing chamber 7 and the external space that is set to atmospheric pressure is sandwiched. The window member 3 constitutes a vacuum container 61. A cylindrical stage 6 is provided below the inside of the processing chamber 7, and a substrate-like sample (hereinafter referred to as a wafer) 4 such as a semiconductor wafer having a disk shape is placed on the upper surface. A circular mounting surface is provided.

真空容器61の円筒形を有した上部部分の側壁の上部にはガス導入管18が連結されている。ガス導入管18は内部を流れる処理用ガスが窓部材3の下方に配置されたシャワープレート2の中央部に配置されこれを貫通する複数のガス導入孔9を通り処理室7に導入される。処理室7内に導入された処理用ガスは、処理室7内に供給された電界及び磁界の相互作用により励起されてプラズマ15が形成される。
A gas introduction pipe 18 is connected to the upper part of the side wall of the upper part of the vacuum vessel 61 having a cylindrical shape. The gas introduction pipe 18 is introduced into the processing chamber 7 through a plurality of gas introduction holes 9 which are disposed in the central portion of the shower plate 2 disposed below the window member 3 and flow through the gas introduction pipe 18. The processing gas introduced into the processing chamber 7 is excited by the interaction between the electric field and the magnetic field supplied into the processing chamber 7 to form plasma 15.

ステージ6の下方の処理室7の下部には排気口17が配置され排気部と処理室7内部とを連通している。処理室7に導入された処理ガスやプラズマ、ウエハ4の処理中に生じた反応生成物等の処理室7内の粒子が排気部の動作により排気口17を通り排気される。
An exhaust port 17 is disposed in the lower part of the processing chamber 7 below the stage 6 and communicates the exhaust section and the inside of the processing chamber 7. Particles in the processing chamber 7 such as processing gas and plasma introduced into the processing chamber 7 and reaction products generated during processing of the wafer 4 are exhausted through the exhaust port 17 by the operation of the exhaust unit.

排気口17の下方に真空ポンプの一種であるターボ分子ポンプ等から構成された排気ポンプ12がバタフライバルブ16を挟んで連結されて配置されている。本実施例では、バタフライバルブ17は、水平方向に延在して排気口17またはこれと排気ポンプ12の入り口との間を連結する流路を横切る軸周りに回転して流路の断面積を増減する。
Below the exhaust port 17, an exhaust pump 12 constituted by a turbo molecular pump or the like, which is a kind of vacuum pump, is disposed and connected with a butterfly valve 16 interposed therebetween. In the present embodiment, the butterfly valve 17 extends in the horizontal direction and rotates around an axis that crosses the exhaust port 17 or the flow path that connects the exhaust port 17 and the inlet of the exhaust pump 12, thereby reducing the cross-sectional area of the flow path. Increase or decrease.

このようなバタフライバルブ17は、処理室7内の空間に連通されて圧力を検知する圧力センサ11からの出力に基いて、上記の回転の動作によって流路の開度を増減することで排気口17からの排気の量とガス導入孔9からの処理ガスの流入量とのバランスを調節する。このような動作は、図示しない制御装置からの指令信号に基いて調節されるものであり、制御装置からの指令に応じて処理室7の圧力が処理に適した圧力(本例では数Pa程度)に調節される。
Such a butterfly valve 17 is configured to increase or decrease the opening of the flow path by increasing or decreasing the opening of the flow path based on the output from the pressure sensor 11 that communicates with the space in the processing chamber 7 and detects the pressure. The balance between the amount of exhaust from 17 and the amount of inflow of processing gas from the gas introduction hole 9 is adjusted. Such an operation is adjusted based on a command signal from a control device (not shown), and the pressure in the processing chamber 7 is a pressure suitable for processing (in this example, about several Pa in accordance with a command from the control device). ).

真空容器61の処理室7の上方のプラズマ形成部は、内部をマイクロ波の電界が伝播する導波管21とこの導波管21の端部に配置され発振してマイクロ波の電界を導波管21内に形成するマグネトロン発振機20を備えている。また、導波管21の他端部は窓部材3の上方に配置された円筒形の空間の上部と連結されている。
The plasma forming section above the processing chamber 7 of the vacuum vessel 61 is disposed inside the waveguide 21 through which the microwave electric field propagates and the end of the waveguide 21 and oscillates to guide the microwave electric field. A magnetron oscillator 20 formed in the tube 21 is provided. The other end of the waveguide 21 is connected to the upper part of a cylindrical space arranged above the window member 3.

マグネトロン発振機20により生成されたマイクロ波の電界は、導波管21を通り処理室7上方に配置されて実質的に処理室7または窓部材3と同径の円筒形の空間に上方から導入され、マイクロ波の電界は当該空間の内部でその特定のモードが共振されて増大される。マイクロ波の電界は、このような状態で窓部材3及びその下方に配置された誘電体製で円形を有したシャワープレート2を通して処理室7内に上方から導入される。
The microwave electric field generated by the magnetron oscillator 20 passes through the waveguide 21 and is disposed above the processing chamber 7 and is introduced from above into a cylindrical space having substantially the same diameter as the processing chamber 7 or the window member 3. Then, the electric field of the microwave is increased by resonating the specific mode inside the space. In this state, the microwave electric field is introduced into the processing chamber 7 from above through the window member 3 and the shower plate 2 made of a dielectric and having a circular shape.

また、真空容器61内部の処理室7の上方及びこの処理室7及び導波管21の水平方向の周囲には、処理室7を囲んで複数個のソレノイドコイル22,23が配置されて、これに直流電力が印加されて形成された磁界が処理室7内に供給される。磁界は、ECRを形成するようにマイクロ波の電界の周波数に適合した密度あるいは強度に調節されている。
A plurality of solenoid coils 22 and 23 are disposed surrounding the processing chamber 7 above the processing chamber 7 in the vacuum vessel 61 and around the processing chamber 7 and the waveguide 21 in the horizontal direction. A magnetic field formed by applying DC power to is supplied into the processing chamber 7. The magnetic field is adjusted to a density or intensity that matches the frequency of the microwave electric field to form an ECR.

なお、本実施例では、図示していないが、半導体ウエハであるウエハ4の温度を制御するために、ステージ6の内部に配置された冷媒流路に冷媒を通流させ、冷媒とステージ延いてはウエハ4との間で熱交換させている。ステージ6内に配置された冷媒流路には冷媒が内部を流れる管路を介して温調ユニットが連結されており、チラー等の温調ユニットにおいてその温度が所定の値の範囲内に調節された冷媒が管路を通り冷媒流路に流入して通過しつつ熱交換した後に排出され管路を通して温調ユニットに戻って循環する冷媒の経路が構成されている。
In the present embodiment, although not shown, in order to control the temperature of the wafer 4 which is a semiconductor wafer, the refrigerant is passed through the refrigerant flow path arranged inside the stage 6 and the refrigerant and the stage are extended. Heat exchange with the wafer 4. A temperature control unit is connected to the refrigerant flow path disposed in the stage 6 via a conduit through which the refrigerant flows, and the temperature is adjusted within a predetermined value range in the temperature control unit such as a chiller. The refrigerant passes through the pipe and flows into the refrigerant flow path and passes through the heat exchange to be discharged, and is then discharged and returned to the temperature control unit through the pipe to be circulated.

また、ステージ6内部には図示しない金属製の円筒形または円板形状の基材が配置され、当該基材はその内部に上記冷媒流路を有するとともに、高周波電力を供給する高周波電源14に整合機13を介して電気的に接続されている。また、ステージ6は、その上面は上方にウエハ4が載置される円形を有した平面を構成するとともに、当該円形の上面の外周を囲んでステージ6をプラズマ15から覆って保護するカバーが配置される凹部を備えている。
In addition, a metal cylindrical or disk-shaped base material (not shown) is disposed inside the stage 6, and the base material has the refrigerant flow path therein and is matched with a high-frequency power source 14 that supplies high-frequency power. It is electrically connected via the machine 13. In addition, the stage 6 has a circular upper surface on which the wafer 4 is placed, and a cover that covers the outer periphery of the circular upper surface and covers the stage 6 from the plasma 15 to protect it. Provided with a recessed portion.

ステージ6には、その基材の上面にウエハ4の載置面を構成しY23やAl23等のセラミクスから構成された誘電体膜が配置され、その内部に膜状の複数の電極であってウエハ4を誘電体膜に吸着して保持する双極型の電極30が配置されている。電極30には直流電源55が電気的に接続され、ウエハ4を誘電体膜に吸着するために1つ以上の電極から構成されて各々が概略等面積を有した複数の電極の集合に各々別の極性が付与される。
The stage 6 is provided with a dielectric film made of ceramics such as Y 2 O 3 and Al 2 O 3, which constitutes the mounting surface of the wafer 4 on the upper surface of the base material, and a plurality of film-like films are disposed inside the stage 6. A bipolar electrode 30 is disposed to adsorb and hold the wafer 4 on the dielectric film. A direct current power source 55 is electrically connected to the electrode 30 and is composed of one or more electrodes for adsorbing the wafer 4 to the dielectric film, each of which is divided into a set of a plurality of electrodes each having an approximately equal area. The polarity is given.

正負の各々の極性が付与される複数の電極の集合各々の面積の合計は、等しいかこれと見做せる程度に近似した値となっている。このような電極30によってウエハ4を保持するための吸着力は、当該電極30の各々に印加される直流電源55からの電圧が図示しない制御装置により調節される。
The sum of the areas of each of the plurality of sets of electrodes to which the positive and negative polarities are given is a value that is equal to or approximated to the extent that it can be considered as this. The adsorption force for holding the wafer 4 by such an electrode 30 is adjusted by a control device (not shown) by a voltage from a DC power supply 55 applied to each of the electrodes 30.

ステージ6上面の誘電体膜の上面には、ウエハ4が誘電体膜上に載せられた状態でウエハ4裏面と誘電体膜との間の隙間に、He等の熱伝導性を有したガスを供給するためのガス供給機構51に連結された開口が配置されている。ウエハ4がステージ6の載置面上に載せられた状態で、電極30に印加された直流電力によって誘電体膜上に形成された電荷による静電気力を用いてウエハ4が誘電体膜に吸着され、ウエハ4と誘電体膜との隙間に熱伝達ガスが供給されることによってウエハ4とステージ6(及び内部の冷媒流路を通流する冷媒)との間の熱伝達が促進され、ステージ6延いてはウエハ4がその処理に適した温度の範囲内の値に調節される。
On the upper surface of the dielectric film on the upper surface of the stage 6, a gas having thermal conductivity such as He is placed in the gap between the back surface of the wafer 4 and the dielectric film in a state where the wafer 4 is placed on the dielectric film. An opening connected to the gas supply mechanism 51 for supply is arranged. In a state where the wafer 4 is placed on the mounting surface of the stage 6, the wafer 4 is attracted to the dielectric film by using the electrostatic force generated by the electric charge formed on the dielectric film by the DC power applied to the electrode 30. By supplying the heat transfer gas to the gap between the wafer 4 and the dielectric film, heat transfer between the wafer 4 and the stage 6 (and the refrigerant flowing through the internal refrigerant flow path) is promoted, and the stage 6 As a result, the wafer 4 is adjusted to a value within the temperature range suitable for the processing.

さらに、ステージ6内の金属製の基材には、これにウエハ4の処理中にバイアス電位を形成するための高周波電力を供給する高周波電源ための高周波電源14が整合機13を介して電気的に接続されている。処理室7内にプラズマ15が形成された状態で高周波電源14から供給された高周波電力によりウエハ4の上面には、プラズマ15の電位に応じた値のバイアス電位が形成される。
Further, a high-frequency power source 14 for supplying a high-frequency power for supplying a high-frequency power for forming a bias potential during processing of the wafer 4 is electrically connected to the metal base material in the stage 6 via the matching machine 13. It is connected to the. A bias potential having a value corresponding to the potential of the plasma 15 is formed on the upper surface of the wafer 4 by the high frequency power supplied from the high frequency power supply 14 in a state where the plasma 15 is formed in the processing chamber 7.

真空容器61の上部は、ステージ6の上方の空間であってプラズマ15が形成される円筒形状を有した放電用の空間囲む金属製の部材により構成されている。この真空容器61の上部の部材は円筒形を有し、その下端部が真空容器61の下部の部材の上部に載せられて接続された放電室容器41を構成する。本実施例では、放電室容器41はステンレス合金やアルミニウム合金等の金属から構成れている。また、これら上部の部材と下部の部材との間には、円筒形またはリング形状を有した金属製の部材が挟まれて、放電室容器41の内壁面の下部を処理室7またはプラズマ15に対して覆って配置されており、その内壁面は放電室容器41の上部の内壁面と共に処理室7の内壁面を構成する。
The upper part of the vacuum vessel 61 is constituted by a metal member surrounding the discharge space having a cylindrical shape in which the plasma 15 is formed, which is a space above the stage 6. The upper member of the vacuum vessel 61 has a cylindrical shape, and the lower end portion of the vacuum vessel 61 is placed on the upper portion of the lower member of the vacuum vessel 61 to constitute the discharge chamber vessel 41 connected thereto. In this embodiment, the discharge chamber container 41 is made of a metal such as a stainless alloy or an aluminum alloy. A metal member having a cylindrical shape or a ring shape is sandwiched between the upper member and the lower member, and the lower part of the inner wall surface of the discharge chamber container 41 is placed in the processing chamber 7 or the plasma 15. The inner wall surface forms the inner wall surface of the processing chamber 7 together with the upper inner wall surface of the discharge chamber container 41.

処理中に形成されるプラズマ15に面する金属製のリング状の部材は、ステンレス合金やアルミニウム合金等の材料から構成され接地された真空容器61の下部の部材と接して電気的に導通されることで、処理中はプラズマ15に対してアース電極40として動作する。アース電極40、放電室容器41のプラズマに面する内壁面には耐プラズマ性の高い保護膜45が配置されてこれに覆われている。
A metal ring-shaped member facing the plasma 15 formed during processing is in electrical contact with a lower member of the vacuum vessel 61 which is made of a material such as stainless steel or aluminum alloy and is grounded. Thus, during processing, it operates as the ground electrode 40 for the plasma 15. A protective film 45 having high plasma resistance is disposed and covered on the inner wall surfaces of the ground electrode 40 and the discharge chamber container 41 facing the plasma.

本実施例では、保護膜45の材料としてプラズマによるエッチングレートが小さいY23を用いているが他の種類のセラミクス等の耐プラズマ性が高い材料を用いても良い。さらに、本実施例では、上記セラミクスをリング状または円筒形を有した金属製の母材のプラズマ15に面する部位の表面に溶射することによって皮膜が形成されている。
In this embodiment, Y 2 O 3 having a low etching rate by plasma is used as the material of the protective film 45, but a material having high plasma resistance such as other types of ceramics may be used. Further, in this embodiment, the coating is formed by spraying the ceramics on the surface of the metal base material having a ring shape or cylindrical shape facing the plasma 15.

このような皮膜を有することにより、処理室7の内壁面を構成する部材であるアース電極40や放電室容器41の金属製の基材が腐食することが抑制され、また、皮膜自体も溶射により形成されたことで温度の変化による収縮に起因した外力が印加された場合でも損傷することが抑制される。このため処理室7内でのプラズマ15の電位が安定に維持される。
By having such a film, it is possible to suppress corrosion of the metal base material of the ground electrode 40 and the discharge chamber container 41 which are members constituting the inner wall surface of the processing chamber 7, and the film itself is also thermally sprayed. Even if an external force resulting from contraction due to a change in temperature is applied, damage is suppressed. For this reason, the potential of the plasma 15 in the processing chamber 7 is stably maintained.

放電室容器41のプラズマ15に面する内壁表面には、耐プラズマ性の高い材料による保護膜46を溶射または陽極酸化により形成しても良い。放電室容器41の表面に陽極酸化によりアルマイトの皮膜を形成した場合は、プラズマ15との相互作用による当該皮膜の消耗を抑制するため、例えばアルマイト皮膜とプラズマの間に石英ガラス(不図示)やイットリア焼結体(不図示)等のセラミックス部材を設置することがより好ましい。
A protective film 46 made of a material having high plasma resistance may be formed on the inner wall surface facing the plasma 15 of the discharge chamber 41 by thermal spraying or anodic oxidation. When an alumite film is formed on the surface of the discharge chamber container 41 by anodic oxidation, for example, quartz glass (not shown) or the like between the alumite film and the plasma is used to suppress the consumption of the film due to the interaction with the plasma 15. It is more preferable to install a ceramic member such as a yttria sintered body (not shown).

上記のように構成されたプラズマ処理装置の真空容器61の側壁には図示していない別の真空容器が連結され、この別の真空容器内部に配置された搬送用の空間であって内部に搬送用のロボットが配置された真空搬送室との間はウエハが搬送されて通過する通路であるゲートにより連通されている。処理前のウエハ4は、真空搬送室内のロボットの伸縮するアーム上に保持された状態で真空容器61と真空搬送室との間のゲートの連通を開放または気密に封止する図示しないゲートバルブが開放された状態で、真空搬送室から処理室7内に搬入されてステージ6に受け渡されて載置面の上面に載せられる。
Another vacuum vessel (not shown) is connected to the side wall of the vacuum vessel 61 of the plasma processing apparatus configured as described above, and is a transfer space arranged inside the other vacuum vessel and transferred to the inside. A vacuum transfer chamber in which a robot is disposed communicates with a gate which is a passage through which a wafer is transferred. A wafer valve (not shown) that opens or hermetically seals the gate 4 between the vacuum vessel 61 and the vacuum transfer chamber while the wafer 4 before processing is held on a telescopic arm of a robot in the vacuum transfer chamber. In an open state, the wafer is carried into the processing chamber 7 from the vacuum transfer chamber, transferred to the stage 6 and placed on the upper surface of the mounting surface.

載置面と接してその上に載せられたウエハ4は、図示しない静電チャックに電力が供給されて載置面を構成する誘電体の部材に形成された電荷の静電気力により載置面上に静電吸着される。この状態で、ウエハ4の裏面と載置面との間に熱He等の伝達用のガスが供給されて、ウエハ4と載置面の誘電体材料延いてはステージ6との間の熱伝達が促進される。
The wafer 4 placed on and in contact with the placement surface is placed on the placement surface by an electrostatic force of electric charges formed on a dielectric member constituting the placement surface when electric power is supplied to an electrostatic chuck (not shown). Is electrostatically adsorbed on the surface. In this state, a transfer gas such as heat He is supplied between the back surface of the wafer 4 and the mounting surface, so that heat transfer between the wafer 4 and the dielectric material of the mounting surface and the stage 6 is performed. Is promoted.

図示しないガス源から供給されガス流量調節器10により流量または速度が調節された処理用ガスがガス導入管18を通り、間隙8に導入されてその内部で分散した後ガス導入孔から処理室7の上部からその内部に供給されるとともに、排気ポンプ12及びバタフライバルブ16の動作によって排気口17からの処理室7内のガスまたは粒子が処理室7外に排出される。処理ガスの導入量と排気口17からの粒子の排気量(速度)とのバランスにより、処理室7内部の圧力が所期の範囲内の値に調節される。
A processing gas supplied from a gas source (not shown) and whose flow rate or speed is adjusted by the gas flow rate regulator 10 passes through the gas introduction pipe 18 and is introduced into the gap 8 and dispersed therein. The gas or particles in the processing chamber 7 from the exhaust port 17 are discharged out of the processing chamber 7 by the operation of the exhaust pump 12 and the butterfly valve 16. The pressure inside the processing chamber 7 is adjusted to a value within an intended range by the balance between the amount of processing gas introduced and the amount (velocity) of particles exhausted from the exhaust port 17.

この状態で、処理室7内に導波管21と窓部材3とを通してマイクロ波の電界とソレノイドコイル22,23により生成された磁場とが供給され、マイクロ波の電界とソレノイドコイル22,23からの磁界との相互作用により形成されたECRを用いて処理ガスの粒子が励起されて処理室7内にプラズマ15が生成される。ステージ6の載置面に保持されたウエハ4の上面に配置された処理対象の膜は、プラズマ15中の荷電粒子と励起された活性粒子との相互作用によりエッチングが施される。本実施例では、処理中に温度調節された冷媒が循環してステージ6内部に供給される循環路を備えたことにより、ステージ6ひいてはウエハ4の温度が処理に適した値の範囲内になるように調節される。
In this state, a microwave electric field and a magnetic field generated by the solenoid coils 22 and 23 are supplied into the processing chamber 7 through the waveguide 21 and the window member 3, and from the microwave electric field and the solenoid coils 22 and 23. The processing gas particles are excited using the ECR formed by the interaction with the magnetic field, and the plasma 15 is generated in the processing chamber 7. The film to be processed disposed on the upper surface of the wafer 4 held on the stage 6 mounting surface is etched by the interaction between the charged particles in the plasma 15 and the excited active particles. In the present embodiment, the temperature of the stage 6 and thus the wafer 4 is within a range suitable for processing by providing a circulation path through which the temperature-controlled refrigerant circulates and is supplied into the stage 6 during processing. Adjusted as follows.

図示しない処理の終点を判定する検出器により処理の終了が検出されると、電界及び磁界の供給が停止されてプラズマ15が消火され、ゲートバルブが開放されて搬送用のロボットのアームが伸長されて処理室7内に進入し、ウエハ4をステージ6上の位置からアーム上に受け取った後アームが収縮されてウエハ4が処理室7外に搬出された後、別の処理前のウエハ4が別のアーム上に保持されている場合には処理室7内に搬入される。
When the end of the process is detected by a detector for determining the end point of the process (not shown), the supply of the electric and magnetic fields is stopped, the plasma 15 is extinguished, the gate valve is opened, and the arm of the robot for transfer is extended. After entering the processing chamber 7 and receiving the wafer 4 from the position on the stage 6 onto the arm, the arm is contracted and the wafer 4 is carried out of the processing chamber 7. When it is held on another arm, it is carried into the processing chamber 7.

プラズマ処理装置100の処理室7内で複数のウエハ4の処理が実施され、処理の累積時間あるいは処理したウエハ4の枚数が所定の値に到達したと図示しない制御装置が検出すると、制御装置はプラズマ処理装置100の処理室7での次のウエハ4の処理を一旦停止して、プラズマ処理装置100の保守または点検をすべきことを使用者に報知し、使用者からの指令また選択、或いは制御装置内のソフトウエアに記載されたアルゴリズムに基づいて制御装置が自動的にプラズマ処理装置100の運転をウエハ4の処理による半導体デバイスの量産のための運転から保守、点検のための運転に切り替える。
When a plurality of wafers 4 are processed in the processing chamber 7 of the plasma processing apparatus 100 and a control device (not shown) detects that the accumulated processing time or the number of processed wafers 4 has reached a predetermined value, the control device The processing of the next wafer 4 in the processing chamber 7 of the plasma processing apparatus 100 is temporarily stopped to notify the user that maintenance or inspection of the plasma processing apparatus 100 should be performed, and a command or selection from the user, or Based on the algorithm described in the software in the control device, the control device automatically switches the operation of the plasma processing apparatus 100 from the operation for mass production of semiconductor devices by processing the wafer 4 to the operation for maintenance and inspection. .

保守または点検の運転において、プラズマ処理装置100は真空容器61内部が雰囲気または大気の圧力と同等にされて窓部材3が真空容器61の本体から取り外されて処理室7の内側が開放(大気開放)される。保守または点検の作業者、或いは使用者は、開放された処理室7内壁面を構成する部材の少なくとも一部を取り外して処理室7外に取り出して、当該部材の表面を薬液や無水アルコール、蒸留水等の液体で洗浄(ウエット洗浄)する、或いは既に洗浄済の部材と交換する。
In the maintenance or inspection operation, the plasma processing apparatus 100 is configured such that the inside of the vacuum vessel 61 is made equal to the atmosphere or atmospheric pressure, the window member 3 is removed from the main body of the vacuum vessel 61, and the inside of the processing chamber 7 is opened (open to the atmosphere). ) An operator or user of maintenance or inspection removes at least a part of the member constituting the open inner wall surface of the processing chamber 7 and takes it out of the processing chamber 7, and removes the surface of the member from the chemical solution, anhydrous alcohol, or distillation. Wash with a liquid such as water (wet washing) or replace with a member that has already been washed.

処理室7の内壁面を構成する部材を処理室7または真空容器61から取り外すことが困難か多くの作業の量や時間を要する場合には、直接内壁面を液を用いて洗浄する。このようなウエット洗浄後に、これが存在する場合には部材を処理室7内に取り付けて真空容器61内部を密封後に真空容器61または処理室7内を高い真空度まで減圧して、洗浄の際に内壁面に付着あるいは進入した粒子を内壁面とその内部から遊離させて外部に排気する。
When it is difficult to remove the members constituting the inner wall surface of the processing chamber 7 from the processing chamber 7 or the vacuum vessel 61 or when a large amount of work and time are required, the inner wall surface is directly cleaned with a liquid. After such wet cleaning, if it exists, a member is attached in the processing chamber 7, the inside of the vacuum vessel 61 is sealed, and then the vacuum vessel 61 or the processing chamber 7 is depressurized to a high degree of vacuum to perform cleaning. The particles adhering to or entering the inner wall surface are released from the inner wall surface and the inside thereof and exhausted to the outside.

真空排気を実施して粒子の数が十分に低下した(と予め実験等で設定された期間だけ実施した、または排気に含まれる上記粒子を計数した値が所定値より低いことが検出された)後、処理室7内壁面をウエハ4の処理に適した状態にするための慣らし処理(シーズニング)運転を実施する。当該シーズニング処理の後、未処理のウエハ4がプラズマ処理装置100の処理室7に搬送されて量産の処理が開始される。
The number of particles was sufficiently reduced after vacuum evacuation (and it was carried out only for a period set in advance by experiment or the value of counting the particles contained in the exhaust was detected to be lower than a predetermined value) Then, a break-in process (seasoning) operation is performed to bring the inner wall surface of the process chamber 7 into a state suitable for the processing of the wafer 4. After the seasoning process, the unprocessed wafer 4 is transferred to the processing chamber 7 of the plasma processing apparatus 100, and the mass production process is started.

図2を用いて保守の作業後のプラズマ処理装置の動作の流れを説明する。図2は、図1に示す実施例に係るプラズマ処理装置のウエット洗浄後に実施される動作の流れの概略を示すフローチャートである。
An operation flow of the plasma processing apparatus after the maintenance work will be described with reference to FIG. FIG. 2 is a flowchart showing an outline of the flow of operations performed after wet cleaning of the plasma processing apparatus according to the embodiment shown in FIG.

図2において、本実施例のプラズマ処理装置100では、まず、ステップ201において真空容器7の内壁表面またはこれを構成する部材の表面のウエット洗浄が実施される。その後に、当該ウエット洗浄のために処理室7内から取り外された部材を元の位置に取り付けし(ステップ202)て真空容器61内部の処理室7の内外が気密に封止された後、処理室7内の真空引きが開始される(ステップ203)。
In FIG. 2, in the plasma processing apparatus 100 of the present embodiment, first, in step 201, wet cleaning is performed on the surface of the inner wall of the vacuum vessel 7 or the surface of a member constituting the same. Thereafter, the member removed from the processing chamber 7 for wet cleaning is attached to the original position (step 202), and the inside and outside of the processing chamber 7 inside the vacuum vessel 61 are hermetically sealed. Vacuuming in the chamber 7 is started (step 203).

本実施例での真空引きのステップでは、処理室7は、ウエハ4の処理の際に処理室7内で実現される圧力よりも更に低い圧力(高い真空度)にされる。所定の時間が経過して目標の真空度(圧力値)に到達したことが図示しない処理室用圧力センサの出力から検出された後に、処理室7内の真空リークチェックが行われる(ステップ204)。
In the evacuation step in the present embodiment, the processing chamber 7 is set to a pressure (higher vacuum) that is lower than the pressure realized in the processing chamber 7 when the wafer 4 is processed. After it is detected from the output of the processing chamber pressure sensor (not shown) that the predetermined degree of time has passed and the target degree of vacuum (pressure value) has been reached, a vacuum leak check in the processing chamber 7 is performed (step 204). .

当該リークチェックの結果、処理室7が目標の真空度まで減圧することができず洩れ(リーク)があったと判定された場合には、再度ステップ201に戻って部材の取付けや処理室7の真空を維持するためのOリング等のシール用部材の状態の確認が行われる。
As a result of the leak check, if it is determined that the processing chamber 7 cannot be depressurized to the target degree of vacuum and there is a leak (leak), the process returns to step 201 again to attach a member or vacuum the processing chamber 7. The state of the sealing member such as an O-ring for maintaining the above is confirmed.

処理室7が所定の真空度を維持できることが確認できた場合は、ステップ205において処理室7内壁面の状態を量産時の状態に復帰するためのコンディション調整が行われる。コンディション調整のステップ205は少なくとも1つの工程から構成され、本実施例ではヒーティング処理(ステップ206)、クリーニング処理(ステップ207)、慣らし放電(シーズニング)処理(ステップ208)の3つの工程を、ダミーウエハを処理室7内のステージ6上部の載置面上に載置して行う。
When it is confirmed that the processing chamber 7 can maintain a predetermined degree of vacuum, in step 205, the condition adjustment for returning the state of the inner wall surface of the processing chamber 7 to the state at the time of mass production is performed. The condition adjustment step 205 includes at least one process. In this embodiment, the three processes of the heating process (step 206), the cleaning process (step 207), and the break-in discharge (seasoning) process (step 208) are performed as a dummy wafer. Is placed on the placement surface above the stage 6 in the processing chamber 7.

このようなプラズマ処理装置100をウエット洗浄後に量産に適した状態に戻す、所謂立ち上げの手順は、当該プラズマ処理装置100が設置される量産ライン毎に決められているため、ステップ204のコンディション調整はこれら全ての工程を行う場合や一部の工程の組み合わせ、一工程のみを実施する場合等、使用者が選択して設定、実施することができる。また、慣らし放電処理のみを単独で行う場合等、使用者の選択に応じて種々のコンディション調整の工程が実施される。さらには、ダミーウエハをステージ6に載置しないコンディション調整が実施されても良い。
Since the so-called startup procedure for returning the plasma processing apparatus 100 to a state suitable for mass production after wet cleaning is determined for each mass production line in which the plasma processing apparatus 100 is installed, the condition adjustment in step 204 is performed. Can be selected and set by the user when performing all these processes, combining some processes, or performing only one process. In addition, when only the break-in discharge process is performed alone, various condition adjustment steps are performed according to the user's selection. Further, a condition adjustment that does not place the dummy wafer on the stage 6 may be performed.

ステップ205のヒーティング処理は、処理室7の内壁面を構成する部材を加熱するためのものである。半導体デバイスを量産する処理では、プラズマ15の生成が処理室7内で繰り返されるため、処理室7の内壁面を構成する部材はプラズマ15から受ける熱によって加熱される。そのため、本ステップのヒーティング処理では、これの量産の工程での条件に近い温度にするために、ダミーウエハをステージ6に載置して静電吸着して保持した状態で希ガス、例えばArガス等を用いたプラズマ15を処理室7内に生成することで、ダミーウエハとプラズマ15との相互作用が抑制された状態で処理室7の内壁面を構成する部材の表面を加熱する。
The heating process in step 205 is for heating the members constituting the inner wall surface of the processing chamber 7. In the process of mass-producing semiconductor devices, the generation of the plasma 15 is repeated in the processing chamber 7, so that the members constituting the inner wall surface of the processing chamber 7 are heated by the heat received from the plasma 15. Therefore, in the heating process of this step, in order to obtain a temperature close to the conditions in the mass production process, a rare gas, for example, Ar gas, is placed in a state where the dummy wafer is placed on the stage 6 and held by electrostatic adsorption. By generating the plasma 15 using the above in the processing chamber 7, the surface of the member constituting the inner wall surface of the processing chamber 7 is heated in a state where the interaction between the dummy wafer and the plasma 15 is suppressed.

ステップ206のクリーニング処理は、処理室7の内壁面の付着物を取り除き(クリーニングして)量産の工程の開始時の表面の状態に近似させる工程である。このステップにおいても、処理室7内に所定のガス、例えば複数のウエハ6を連続的にプラズマ処理装置100に搬送して処理し半導体デバイスを製造し量産する運転において、複数のウエハ6を処理する工程同士の間、或いは1つのウエハ6を複数のステップで処理する場合のステップ同士の間において実施されるクリーニング処理と同じ組成及び流量の単一または複数種類のものが混合されたたガス或いはこれに相当するガスが用いられる。
The cleaning process in step 206 is a process of removing (cleaning) deposits on the inner wall surface of the processing chamber 7 to approximate the surface condition at the start of the mass production process. Also in this step, a plurality of wafers 6 are processed in an operation in which a predetermined gas, for example, a plurality of wafers 6 is continuously transferred to the plasma processing apparatus 100 and processed in the processing chamber 7 to manufacture and mass-produce semiconductor devices. Gas mixed with single or plural kinds of the same composition and flow rate as the cleaning process performed between the processes or between the steps when one wafer 6 is processed in a plurality of steps. A gas corresponding to is used.

ステップ207の慣らし放電(シーズニング)処理は、処理室7内壁面の粗さや材料の構成、物性等の状態の特性を量産の運転の際のものに近似したものにするための工程である。このために、量産の運転の際に実施される処理と同等の運転の条件やダミーウエハの表面に形成されるバイアス電気を製品の半導体デバイスを製造する際の運転の条件より高くして当該運転で生じる処理室7の内壁表面の変化を加速させる条件で処理室7内にプラズマを生成する。ステップ208においてこのような慣らし放電処理を所定の回数繰り返して実施した後に、ステップ209において内壁面の状態が所期のものになっているかを判定する装置性能チェックが行われる。
The break-in discharge (seasoning) process in step 207 is a process for approximating the characteristics of the inner wall surface of the processing chamber 7, the composition of the material, the physical properties and the like to those in the mass production operation. For this reason, the operation conditions equivalent to the processing performed during mass production operation and the bias electricity formed on the surface of the dummy wafer are set higher than the operation conditions when manufacturing the semiconductor device of the product. Plasma is generated in the processing chamber 7 under the condition of accelerating the change in the inner wall surface of the processing chamber 7 that occurs. After such a break-in discharge process is repeated a predetermined number of times in step 208, a device performance check is performed in step 209 to determine whether the inner wall surface is in the desired state.

ステップ209の装置性能チェックは、例えば、表面に酸化膜(二酸化シリコンにより構成された膜層)を備えた膜構造や樹脂により構成されたレジストによるマスク層を処理対象の膜の上方に備えた膜構造において、処理対象の膜をエッチング処理した際のエッチングレートや異物、汚染、エッチング処理後の加工形状の寸法とその分布等、処理の特性を示す項目についての検出と予め定められたこれらの基準値に対する比較が制御装置によって行われる。制御装置は、上記の項目の検出値全てと予め定められた各々基準値との比較の結果、検出値が基準値を含む許容範囲内であると判定した場合に、プラズマ処理装置100のコンディション調整を終了させ、製品を製造するための処理、つまり量産の運転を開始するようにプラズマ処理装置100に指令を発信する(ステップ210)。
The apparatus performance check in step 209 is performed by, for example, a film structure having an oxide film (a film layer made of silicon dioxide) on the surface or a mask layer made of a resist made of a resin above the film to be processed. In the structure, detection of items indicating characteristics of processing such as etching rate, foreign matter, contamination, processed shape size and distribution after etching processing when etching a film to be processed, and these predetermined criteria Comparisons to values are made by the controller. When the control device determines that the detected value is within an allowable range including the reference value as a result of comparison between all the detected values of the above items and respective predetermined reference values, the condition adjustment of the plasma processing apparatus 100 is performed. And a command is transmitted to the plasma processing apparatus 100 so as to start a process for manufacturing a product, that is, a mass production operation (step 210).

ステップ208において何れかの項目の検出値が許容範囲内に無いと判定された場合は、ステップ205に戻り再度ステップ204のコンディション調整が実施される。本実施例のステップ204のコンディション調整は、量産の運転のためプラズマ処理装置100の処理室7内壁面を構成する部材の表面に付着した生成物であって異物を生起する付着物を除去することが目的である。
If it is determined in step 208 that the detected value of any item is not within the allowable range, the process returns to step 205 and the condition adjustment in step 204 is performed again. Condition adjustment in step 204 of the present embodiment is to remove a product that adheres to the surface of a member that constitutes the inner wall surface of the processing chamber 7 of the plasma processing apparatus 100 for mass production, and that generates a foreign matter. Is the purpose.

図3を用いて、本実施例のプラズマ処理装置100において、ウエット洗浄後の慣らし放電処理中に皮膜に付着した異物を離脱させてこれをダミーウエハに付着させる動作を説明する。図3は、図1に示す実施例に係るプラズマ処理装置100のウエット洗浄後の動作の流れを示すタイムチャートである。
With reference to FIG. 3, in the plasma processing apparatus 100 according to the present embodiment, an operation of separating the foreign matter attached to the film during the break-in discharge process after wet cleaning and attaching it to the dummy wafer will be described. FIG. 3 is a time chart showing the flow of operation after wet cleaning of the plasma processing apparatus 100 according to the embodiment shown in FIG.

特に図3では、図2においてステップ208として示した、ウエット洗浄後に処理室7内壁面を構成する部材の表面を、量産の運転の際のものに近い状態にするためのコンディション調整ステップの1つの工程である慣らし放電処理において、Y23が母材の表面に溶射されて形成された皮膜の表面に付着した微粒子(異物)を当該表面から離脱または飛遊させて、ステージ6の載置面上に保持されたダミーウエハの表面に吸着させた後当該ダミーウエハを処理室7から搬出することで処理室7内から異物を除去する工程のシーケンスを示している。ステップ207の慣らし放電処理は、量産の運転中における処理の際のものと同等のエッチング条件の下でプラズマ15が処理室7内に形成されて実施されるため、複数の処理の条件が複数ステップから構成されるが、ここではその一例として3つの処理ステップで構成された場合を示している。
In particular, in FIG. 3, one of the condition adjustment steps shown as step 208 in FIG. 2 is a condition adjustment step for bringing the surface of the member constituting the inner wall surface of the processing chamber 7 after wet cleaning into a state close to that in mass production operation. In the break-in discharge process which is a process, the fine particles (foreign matter) adhering to the surface of the coating formed by spraying Y 2 O 3 on the surface of the base material are separated from or flew away from the surface, and the stage 6 is placed. A sequence of a process of removing foreign matter from the processing chamber 7 by adsorbing the dummy wafer held on the surface to the surface of the dummy wafer and then carrying the dummy wafer out of the processing chamber 7 is shown. The break-in discharge process in step 207 is performed by forming the plasma 15 in the processing chamber 7 under the etching conditions equivalent to those in the process during mass production operation. Here, as an example, a case of three processing steps is shown.

量産の運転におけるウエハ4の場合と同様に、搬送用ロボットのアームによりダミーウエハが処理室7内に搬入され、ステージ6の載置面を構成する誘電体膜上に載置される。その後、直流電源55から誘電体膜内に配置された双極型の複数の電極30各々に正の電圧と負の電圧が印加されて形成された静電気力によってダミーウエハが載置面上に吸着され保持される。
As in the case of the wafer 4 in the mass production operation, the dummy wafer is carried into the processing chamber 7 by the arm of the transfer robot and is placed on the dielectric film constituting the placement surface of the stage 6. Thereafter, the dummy wafer is attracted and held on the mounting surface by the electrostatic force formed by applying a positive voltage and a negative voltage to each of the plurality of bipolar electrodes 30 arranged in the dielectric film from the DC power supply 55. Is done.

電極30がジョンソン・ラーベックタイプのものであれば、例えば+500Vと−500Vの電圧が印加される。また、電極30がクーロンタイプのものであれば、例えば、+1500Vと−1500Vの電圧が印加される。この時の正の電圧と負の電圧の平均値は0Vである(時刻a)。
If the electrode 30 is a Johnson-Rahbek type, for example, voltages of +500 V and −500 V are applied. Moreover, if the electrode 30 is a Coulomb type, for example, voltages of +1500 V and −1500 V are applied. The average value of the positive voltage and the negative voltage at this time is 0V (time a).

次に、処理室7内に処理ステップ1に用いられる予め定められた組成及び流量を有する処理ガスが供給されると共に処理室7内の圧力が処理ステップ1の処理に適した所定の値となるように調節される。当該圧力の調節は、制御装置によりプラズマ処理装置100の量産の運転における処理の際と同様に排気ポンプ12、バタフライバルブ16の動作が調節されて実現される。制御装置によって、この処理ステップ1のエッチング条件の各パラメータが所期の値に到達したことが検出された後に導波管21からのマイクロ波の電界とソレノイドコイル22,23からの磁界とが処理室7内に供給され処理室7内にプラズマ15が生成される(時刻b)。
Next, a processing gas having a predetermined composition and flow rate used in the processing step 1 is supplied into the processing chamber 7 and the pressure in the processing chamber 7 becomes a predetermined value suitable for the processing in the processing step 1. Adjusted as follows. The adjustment of the pressure is realized by adjusting the operations of the exhaust pump 12 and the butterfly valve 16 by the control device in the same manner as in the mass production operation of the plasma processing apparatus 100. After the controller detects that the parameters of the etching conditions in the processing step 1 have reached the desired values, the microwave electric field from the waveguide 21 and the magnetic fields from the solenoid coils 22 and 23 are processed. Plasma 15 is generated in the processing chamber 7 by being supplied into the chamber 7 (time b).

処理ステップ1が開始されて所定の時間が経過した後にマイクロ波の電界と磁界の印加が停止されプラズマ15が消火され処理用ガスの供給が停止されて処理ステップ1が終了される(時刻c)。さらに、処理ステップ1用の処理用ガスの供給が停止され処理室7内が排気される。この間において、ダミーウエハを保持するための双極型の電極30それぞれに印加する静電吸着用の直流電圧はその平均値が負になるように調節されてその状態が維持される(時刻c〜d)。
After a predetermined time has elapsed after the processing step 1 is started, the application of the microwave electric field and magnetic field is stopped, the plasma 15 is extinguished, the supply of the processing gas is stopped, and the processing step 1 is ended (time c). . Further, the supply of the processing gas for processing step 1 is stopped and the processing chamber 7 is exhausted. During this period, the DC voltage for electrostatic adsorption applied to each bipolar electrode 30 for holding the dummy wafer is adjusted so that the average value becomes negative and the state is maintained (time cd). .

処理ステップ1とステップ2との間の時刻c〜dの間で、電極30がジョンソン・ラーベックタイプのものであれば、例えば双極型の各々の極性を付与される電極30に供給された+500Vと−500Vの電圧は+300Vと−700Vとの値に変更される。一方、クーロンタイプの場合の印加タイミングを調べた結果、電圧を移行するタイミングが重要であることが判った。
If the electrode 30 is a Johnson-Rahbek type between the times c to d between the processing step 1 and the step 2, for example, +500 V supplied to the electrode 30 to which each polarity of bipolar type is applied. The voltage of -500V is changed to a value of + 300V and -700V. On the other hand, as a result of examining the application timing in the case of the coulomb type, it was found that the timing of voltage transfer is important.

すなわち、電極30がクーロンタイプのものであれば、完全にプラズマ15が処理室7内から消滅した後、より具体的にはマイクロ波の電界の出力値が0Wにされた後に、双極型の各々の極性が付与される電極30に供給された+1500Vと−1500Vの直流電圧は、+1300Vと−1700Vの値に変更される。このような電圧の値に変更してその値が維持されることにより、ステージ6上においてダミーウエハの全体的な電位は負となる。
That is, if the electrode 30 is of the Coulomb type, after the plasma 15 is completely extinguished from the inside of the processing chamber 7, more specifically, after the output value of the microwave electric field is set to 0 W, each of the bipolar type DC voltages of +1500 V and −1500 V supplied to the electrode 30 to which the polarity of +1 is applied are changed to values of +1300 V and −1700 V. By changing to such a voltage value and maintaining the value, the overall potential of the dummy wafer on the stage 6 becomes negative.

このようにダミーウエハの表面の電位が負にされている時刻c〜dの期間では、プラズマ15が消滅しており、溶射皮膜及びその基材であるアース電極40および放電室容器41の内壁面の温度が処理中及び処理後に変化したことによって離脱して処理室7内に浮遊している微粒子がダミーウエハ4の表面に吸着する。また、本実施例の上記した電圧の変更では、電圧の値が正から負にされることがないため、瞬間的に電圧値が小さくなることが抑制される。このため、ダミーウエハの吸着力を維持したまま静電吸着のための電圧の平均値を負にすることが可能となり、電圧を変更する際にウエハずれが生じてしまうことが抑制される。
Thus, during the period from time c to d when the surface potential of the dummy wafer is negative, the plasma 15 is extinguished, and the thermal spray coating and the ground electrode 40 as its base material and the inner wall surface of the discharge chamber container 41 Fine particles floating away in the processing chamber 7 due to the change in temperature during and after processing are adsorbed on the surface of the dummy wafer 4. Moreover, since the voltage value is not changed from positive to negative in the above-described voltage change of the present embodiment, the voltage value is suppressed from instantaneously decreasing. For this reason, it becomes possible to make the average value of the voltage for electrostatic attraction negative while maintaining the attraction force of the dummy wafer, and it is possible to suppress the wafer shift when changing the voltage.

図4に、ステージ6上のウエハの電位を負にした際に、プラズマ消失中にダミーウエハ4上に付着する異物の増加率を示す。図4は、プラズマ15が消失してからの時間の経過に伴うステージ6上のウエハ4に付着する異物の個数の変化を示すグラフである。
FIG. 4 shows the rate of increase of foreign matter adhering to the dummy wafer 4 during the disappearance of the plasma when the potential of the wafer on the stage 6 is made negative. FIG. 4 is a graph showing the change in the number of foreign substances adhering to the wafer 4 on the stage 6 over time after the plasma 15 disappears.

発明者らは、プラズマを消滅した後の経過した時間毎にダミーウエハの表面の異物の個数を計数して検討した結果、本図に示す通り、プラズマ15が消失してからの時間が長いほどウエハ4に付着する異物が増加するという関係が有ることを知見として得た。発明者らの検討によれば、この異物の成分を分析したところイットリアが検出された。つまり、プラズマ15が消失している期間に処理室7の内壁面を構成する部材の皮膜から異物が放出され処理室7内に浮遊して滞在していることが判った。本実施例はこのような知見に基づいて想起されたものである。
As a result of counting and examining the number of foreign substances on the surface of the dummy wafer every time elapsed after the plasma is extinguished, the inventors have found that the longer the time after the plasma 15 disappears, the longer the wafer becomes. As a result, it was found that there is a relation that foreign matter adhering to 4 increases. According to the study by the inventors, yttria was detected when the component of the foreign matter was analyzed. That is, it was found that the foreign matter was released from the film of the member constituting the inner wall surface of the processing chamber 7 during the period in which the plasma 15 was extinguished and floated and stayed in the processing chamber 7. The present embodiment has been conceived based on such knowledge.

処理ステップ1が終了し、処理ステップ2の処理が開始されるまでの時刻c〜dの期間は、処理ステップ1用の処理ガスの排気および処理ステップ2用の処理ガスの供給と処理室7内の圧力の調節が実施されている期間である。本実施例では、時刻c〜dのプラズマ15が消失している時間は3秒から10秒程度となる。一方、処理ステップ1用の処理ガスを処理室7内から排気して高い真空度まで減圧した状態を維持する時間が長い場合は、プラズマが消失している時間はさらに長くなる。
During the period from time c to d after processing step 1 ends and processing of processing step 2 is started, exhaust of processing gas for processing step 1 and supply of processing gas for processing step 2 and the inside of processing chamber 7 are performed. This is a period during which the pressure is adjusted. In the present embodiment, the time during which the plasma 15 from time c to d disappears is about 3 to 10 seconds. On the other hand, when the process gas for the process step 1 is exhausted from the process chamber 7 and maintained in a state where the pressure is reduced to a high degree of vacuum, the time during which the plasma disappears is further increased.

また、エッチング条件の項目の一つであるウエハの温度が処理ステップ1と処理ステップ2で異なる場合はウエハの温度調整の時間が必要であることからこの場合もプラズマ15が消失している時間はさらに長くなる。このように、プラズマ15が消失している時間は使用者が選択するウエハ4のエッチングの条件に依存する。そのため、10秒よりもさらに長い、例えば20秒程度になることもある。
If the wafer temperature, which is one of the etching condition items, is different between the processing step 1 and the processing step 2, it takes time to adjust the temperature of the wafer. It gets even longer. Thus, the time during which the plasma 15 is extinguished depends on the etching conditions of the wafer 4 selected by the user. Therefore, it may be longer than 10 seconds, for example, about 20 seconds.

次に、処理ステップ2用の処理ガスを処理室7内に供給して、処理室7内の圧力が処理ステップ2における処理に適した値に到達したことが検出され、電極30に印加する電圧以外の処理ステップ2のエッチング条件が所期の値に到達したことが検出された後に、ダミーウエハを保持するための双極型の電極30各々に印加する静電吸着用の直流電圧の平均値が0Vになるように変更されてその状態が維持される。このように、処理ステップ2の処理が開始される直前まで静電吸着電圧の平均値を負にすることで、プラズマ消失中に生じる異物を効率よくダミーウエハに吸着させることができる。
Next, the processing gas for processing step 2 is supplied into the processing chamber 7, and it is detected that the pressure in the processing chamber 7 has reached a value suitable for processing in the processing step 2. After it is detected that the etching conditions in process step 2 other than the above have reached the desired values, the average value of the DC voltage for electrostatic adsorption applied to each bipolar electrode 30 for holding the dummy wafer is 0V. The state is maintained by being changed. In this way, by making the average value of the electrostatic chucking voltage negative until just before the processing of the processing step 2 is started, foreign matters generated during the disappearance of the plasma can be efficiently sucked onto the dummy wafer.

電極30がジョンソン・ラーベックタイプのものであれば、当該電極30に供給される+300Vと−700Vの電圧から+500Vと−500Vの電圧に変更される。また、電極30がクーロンタイプのものであれば、+1300Vと−1700Vの印加電圧から+1500Vと−1500Vの電圧に変更される。その後に、マイクロ波の電界とソレノイドコイル22,23からの磁界が供給され処理ガスが励起されて処理室7内にプラズマ15が生成されて、処理ステップ2が開始される(時刻d)。
If the electrode 30 is of the Johnson Rabeck type, the voltage of +300 V and −700 V supplied to the electrode 30 is changed to the voltage of +500 V and −500 V. Further, if the electrode 30 is of the coulomb type, the applied voltages of + 1300V and -1700V are changed to voltages of + 1500V and -1500V. Thereafter, a microwave electric field and a magnetic field from the solenoid coils 22 and 23 are supplied to excite the processing gas, thereby generating plasma 15 in the processing chamber 7 and processing step 2 is started (time d).

予め定められた時間が経過して処理ステップ2が終了した時刻(時刻e)から処理ステップ3が開始される時刻(時刻f)までの間においても、上記の時刻c〜dの期間と同様に処理ステップ2におけるプラズマ15が消失する際に双極型の電極30各々に印加する静電吸着用の直流電圧の平均値を負に変化させて、その後その状態を維持する。次に、時刻fにおいて、処理ステップ3を開始するためにプラズマ15を生成する際にはその平均値を0Vに変更されて処理ステップ3が開始され当該処理ステップ3の期間中はその状態が維持される。電極30のタイプによって印加する電圧および印加するタイミングは上記と同様である。
Similarly to the period from time c to d described above, the period from time (time e) when processing step 2 is completed after a predetermined time has elapsed to time (time f) when processing step 3 is started. When the plasma 15 in the processing step 2 disappears, the average value of the DC voltage for electrostatic adsorption applied to each bipolar electrode 30 is changed to a negative value, and then the state is maintained. Next, at the time f, when generating the plasma 15 in order to start the processing step 3, the average value is changed to 0V, the processing step 3 is started, and the state is maintained during the period of the processing step 3. Is done. The voltage to be applied and the timing to apply depending on the type of the electrode 30 are the same as described above.

時刻fに処理ステップ3が開始されて所定の時間が経過した後に、制御装置によりマイクロ波の電界及び磁界の供給が停止されプラズマ15が消失する(時刻g)。その後、処理ステップ3用の処理ガスの供給が停止されて処理室7内が排気されて減圧される。この際に、ダミーウエハを保持するための双極型の電極30それぞれに印加する静電吸着電圧の平均値が負になるように変更され、その状態が時刻hまで維持される。その後、直流電源55から印加される静電吸着用の直流電圧がOFFにされる(時刻h)。このような複数の工程によってダミーウエハを吸着する静電気力が解除され、ダミーウエハが載置面から持ち上げられて搬送用のロボットアームに受け渡され処理室7外へ搬出される。
After a predetermined time has elapsed since processing step 3 was started at time f, the supply of microwave electric field and magnetic field is stopped by the control device, and the plasma 15 disappears (time g). Thereafter, the supply of the processing gas for processing step 3 is stopped, and the processing chamber 7 is evacuated and decompressed. At this time, the average value of the electrostatic chucking voltage applied to each bipolar electrode 30 for holding the dummy wafer is changed to be negative, and this state is maintained until time h. Thereafter, the DC voltage for electrostatic adsorption applied from the DC power supply 55 is turned off (time h). The electrostatic force that adsorbs the dummy wafer is released by such a plurality of steps, and the dummy wafer is lifted from the mounting surface, transferred to the transfer robot arm, and carried out of the processing chamber 7.

本図に示すように、ステップ207の慣らし放電処理において、プラズマ15の生成と消失とが繰り返されることにより、処理室7の内壁面を構成するアース電極40および放電室容器41の表面に形成されたセラミクス(本例ではY23)により構成された皮膜には、加熱と冷却が繰り返され引っ張りおよび圧縮の熱応力が繰り返し生起する。
As shown in this figure, in the break-in discharge process of step 207, the generation and disappearance of the plasma 15 are repeated, so that the ground electrode 40 and the discharge chamber container 41 constituting the inner wall surface of the process chamber 7 are formed. Further, in the film formed by the ceramics (Y 2 O 3 in this example), heating and cooling are repeated, and tensile and compressive thermal stresses are repeatedly generated.

本実施例においては、慣らし放電処理の際のマイクロ波の電界の強度は処理室7において製品製造のための処理の際にウエハを複数の処理ステップで処理した場合のマイクロ波の時間平均値よりも大きくされている。これにより、慣らし放電効果と上記誘電体の皮膜とその基材であるアース電極40及び放電室容器41の温度の上昇が大きくなる。
In this embodiment, the intensity of the microwave electric field during the break-in discharge process is based on the time average value of the microwave when the wafer is processed in a plurality of processing steps in the processing chamber 7 during the process for manufacturing the product. Has also been enlarged. As a result, the break-in discharge effect and the temperature increase of the dielectric film and the ground electrode 40 and the discharge chamber container 41 as the base material are increased.

上記の熱応力が繰り返し生起することで、溶射により形成されたアース電極40及び放電室容器41の皮膜の表面に付着した微粒子や飛沫、クラック内部に付着した微粒子が処理室7内に効果的に離脱させ、これらを処理室7内に浮遊させることができる。さらに、プラズマ15が消失した際に双極型の電極30各々に印加される静電吸着用の直流電圧の平均値を負に変化させ、プラズマ15が消失している期間その状態が保持されることによって、表面が負の電位にされたダミーウエハ上に処理室7内に飛散した微粒子を吸着させることができ、処理室7内への微粒子の残留を抑制することが可能となる。特に、慣らし放電処理において上記の工程を実施することによりスループット低下と処理の歩留まりの低下を抑制することができる。
When the thermal stress is repeatedly generated, fine particles and droplets adhering to the surface of the coating of the ground electrode 40 and the discharge chamber container 41 formed by thermal spraying, and fine particles adhering to the inside of the crack are effectively contained in the processing chamber 7. They can be detached and floated in the processing chamber 7. Furthermore, when the plasma 15 disappears, the average value of the DC voltage for electrostatic adsorption applied to each bipolar electrode 30 is changed to be negative, and the state is maintained while the plasma 15 disappears. Thus, the fine particles scattered in the processing chamber 7 can be adsorbed on the dummy wafer whose surface has a negative potential, and the remaining of the fine particles in the processing chamber 7 can be suppressed. In particular, by performing the above steps in the break-in discharge process, it is possible to suppress a decrease in throughput and a decrease in process yield.

発明者らによれば、上記皮膜から遊離した微粒子が正の電位に帯電する理由は以下のように考えられる。すなわち、プラズマ15が消失した後の処理室7の内壁面を構成する部材の温度が低下することによる熱収縮により、溶射して形成された皮膜の表面の凹部及びクラックの隙間が狭まり、当該皮膜表面の凹部及びクラックの内部に進入していた微粒子や破片が割れたり剥離したりすることが生起されると考えられる。
According to the inventors, the reason why the fine particles released from the film are charged to a positive potential is considered as follows. That is, due to thermal contraction caused by a decrease in the temperature of the member constituting the inner wall surface of the processing chamber 7 after the plasma 15 disappears, the recesses on the surface of the coating formed by thermal spraying and the gaps between the cracks are narrowed. It is considered that the fine particles or fragments that have entered the concave portions and cracks on the surface are cracked or peeled off.

上記に加え、プラズマ15に晒されている最中の上記皮膜の表面では、巨視的に見ると絶縁物の壁に入射するプラズマ15中の負電荷と正電荷は釣り合って、アース電極40の電位等との関係で決まるある電位(Vf)になる。しかし、より詳細に見た場合には、皮膜の表面の平坦部に比べ凸部では大きく負の電位の値に偏り、凹部やクラック内では平坦部に比べ大きく正の電位の値に偏っていることが推定される。
In addition to the above, on the surface of the film being exposed to the plasma 15, when viewed macroscopically, the negative charge and the positive charge in the plasma 15 incident on the wall of the insulator are balanced, and the potential of the earth electrode 40. It becomes a certain potential (Vf) determined by the relationship with the above. However, when viewed in more detail, the convex portion is largely biased to a negative potential value compared to the flat portion of the surface of the film, and the concave portion or crack is biased to a positive potential value that is larger than the flat portion. It is estimated.

何故なら、プラズマ15が処理室7の内壁表面に接している際には当該壁の表面にはプラズマ15によるシースが形成される。当該シースは原理的には電子の壁表面への入射方向の運動エネルギーがほぼなくなる電位差(Vpプラズマ電位−Vf浮遊電位(処理室7の内壁の電位))を持つように形成される。このことから、シースに入射した電子の壁面に向う向きの運動エネルギーは壁面に到達した時点でほとんどなくなっている。
This is because when the plasma 15 is in contact with the inner wall surface of the processing chamber 7, a sheath made of the plasma 15 is formed on the surface of the wall. In principle, the sheath is formed so as to have a potential difference (Vp plasma potential−Vf floating potential (potential of the inner wall of the processing chamber 7)) in which the kinetic energy in the direction of incidence of electrons on the surface of the wall is almost eliminated. For this reason, the kinetic energy in the direction toward the wall surface of the electrons incident on the sheath almost disappears when reaching the wall surface.

一方で、シースに入射した電子のシース内の壁面の方向への運動によっては電子の壁面に対して平行な方向の運動のエネルギーにはなんら影響はない。このことから、プラズマ15からシースに入射する電子は壁面に向かう方向に比べ壁に平行な方向について速度が非常に大きなものばかりとなる。
On the other hand, the movement of electrons incident on the sheath in the direction of the wall surface in the sheath has no effect on the energy of the movement in the direction parallel to the wall surface of the electrons. For this reason, the electrons incident on the sheath from the plasma 15 are much faster in the direction parallel to the wall than in the direction toward the wall surface.

これに対して、正電荷を有するイオンはシースに入射した後壁面に垂直に入射する向きに加速される一方で、壁面に対して平行方向の速度には影響がない。このことから、イオンは壁面に垂直に向かう方向の速度が大きなものが多くなる。
On the other hand, ions having a positive charge are accelerated so as to be incident perpendicularly to the wall surface after entering the sheath, while the velocity in the direction parallel to the wall surface is not affected. For this reason, many ions have a large velocity in the direction perpendicular to the wall surface.

上記の定性的な議論を定量的に以下で説明する。プラズマに接する表面では、シース電位差(Vp(プラズマ電位)−Vf(浮遊電位))は以下の式に表せることが知られている。

Vp−Vf={kTe/(2e)}ln(mi/(2.3me))

ここで、k=ボルツマン定数(1.38×10−23J/K)、Te=電子温度、e=電気素量(1.60×10−19C)、mi=正イオンの質量、me=電子質量である。ここで、Te=2.32×104K(kTe=2eV),正イオンを一価のアルゴンイオンとして、mi/(2.3me)=31930を用いて(Vp−Vf)を計算すると、以下の値となる。

(Vp−Vf)=10.4V

電子はシースを壁に向かって横切ることにより、e(Vp−Vf)の運動エネルギーを失う。一方、正イオンは、e(Vp−Vf)の運動エネルギーを得る。
The above qualitative discussion will be explained quantitatively below. It is known that the sheath potential difference (Vp (plasma potential) −Vf (floating potential)) can be expressed by the following equation on the surface in contact with plasma.

Vp-Vf = {kTe / ( 2e)} ln (m i /(2.3m e))

Here, k = Boltzmann's constant (1.38 × 10-23J / K), Te = electron temperature, e = elementary charge (1.60 × 10-19C), the mass of m i = positive ions, m e = Electron mass. Here, Te = 2.32 × 104K (kTe = 2eV), the positive ions as argon ions monovalent, calculating the (Vp-Vf) using m i /(2.3m e) = 31930, the following It becomes the value of.

(Vp-Vf) = 10.4V

The electrons lose the kinetic energy of e (Vp−Vf) by crossing the sheath toward the wall. On the other hand, positive ions obtain kinetic energy of e (Vp−Vf).

運動エネルギーE=(3/2)kTeより、電子は壁におよそ到達した時点で、概算だが、以下のような運動状態になる。

(処理室内壁面に対して垂直方向の電子の運動エネルギー)
/(処理室内壁面に対して平行方向の電子の運動エネルギー)
=(3eV−10.4eV)/3eV=〜0eV/3eV

また、正イオンは、プラスマ中で室温レベルの運動エネルギー(0.05eV)をもつとして、以下のような運動状態となる。

(処理室内壁面に対して垂直方向の正イオンの運動エネルギー)
/(処理室内壁面に対して平行方向の正イオンの運動エネルギー)
=(0.05eV+10.4eV)/0.05eV≒209

運動エネルギーE=1/2mv2より、速度の比率はこの平方根となる。この概算から、電子は、少数の初期高エネルギーをもつ電子のみしか到達できないとわかる。
From the kinetic energy E = (3/2) kTe, when the electron reaches the wall approximately, it is approximate, but the following kinetic state is obtained.

(Electron kinetic energy in the direction perpendicular to the processing chamber wall)
/ (Kinetic energy of electrons parallel to the processing chamber wall)
= (3eV-10.4eV) / 3eV = ~ 0eV / 3eV

In addition, assuming that the positive ions have a kinetic energy (0.05 eV) at room temperature in the plasma, the following kinetic state is obtained.

(Kinematic energy of positive ions in the direction perpendicular to the processing chamber wall)
/ (Kinematic energy of positive ions parallel to the processing chamber wall)
= (0.05 eV + 10.4 eV) /0.05 eV≈209

From the kinetic energy E = 1/2 mv 2 , the speed ratio becomes this square root. From this approximation, it can be seen that electrons can only reach a few electrons with an initial high energy.

また、内壁表面に到達した電子も、この内壁表面に対して平行方向に速い運動を行っているものが多いと推定され、アスペクトの高いくぼみに入射できる電子は壁に入射する電子のごく一部となる。一方、正電荷を有するイオンは、内壁表面に垂直な方向に入射する向きの速度成分は平行な方向の速度成分に対して概してアスペクト14.5(209の平方根)となることから、多くの正に帯電したイオンは狭いクラック内にも到達する。
In addition, it is estimated that many of the electrons that have reached the inner wall surface are moving fast in the direction parallel to the inner wall surface, and only a small part of the electrons incident on the wall can enter the hollow with a high aspect ratio. It becomes. On the other hand, for ions having a positive charge, the velocity component in the direction perpendicular to the inner wall surface is generally aspect 14.5 (the square root of 209) with respect to the velocity component in the parallel direction. The ions charged in a short distance reach even in a narrow crack.

その結果、凹部やクラックという垂直方向に凹んだ場所に到達した粒子は正電荷を有するイオンが多くなり、このような凹み部分内に存在する粒子は正に帯電し易くなる。このような凹んだ部は平面或いは凸部と比べてウエット洗浄によっても粒子を取り除くことが困難となる。一方で、凸部は内壁面の上面に沿って移動する多数の電子に曝されて負に偏って帯電すると推定される。
As a result, the particles that reach the concave portions or cracks in the vertical direction have a large number of positively charged ions, and the particles existing in such concave portions are easily positively charged. It is difficult to remove particles from such a recessed portion even by wet cleaning as compared to a flat surface or a convex portion. On the other hand, it is presumed that the convex part is exposed to a large number of electrons moving along the upper surface of the inner wall surface and charged negatively.

プラズマ15が形成されている期間は、内壁表面から遊離してプラズマ15中で浮遊している粒子は、内壁表面から離脱した瞬間にはどちらの極性に帯電していたとしても、プラズマ15に入った後にプラズマ15中で飛び交っている電子と衝突してこれによって負に帯電する。しかし、プラズマ15が消火された後には内壁面を構成する部材の熱変形によって凹部やクラックの隙間から遊離してくる粒子は、内壁を構成する部材の表面の皮膜が絶縁物の場合は、プラズマ15が無い処理室7内でもプラズマ15が形成されていた期間中の電荷と極性とを維持するものとなる。このような離脱した粒子が皮膜の凹部やクラック内に保持されていた場合には、これらの箇所では正電荷が過剰に存在するためその正電荷の一部をもって飛び出ることが多くなり、その結果、プラズマ消火後に処理室7内に離脱して浮遊している粒子は正に帯電しているものと考えられる。
During the period in which the plasma 15 is formed, particles that are released from the inner wall surface and suspended in the plasma 15 enter the plasma 15 regardless of which polarity is charged at the moment of separation from the inner wall surface. After that, it collides with electrons flying in the plasma 15 and thereby negatively charged. However, after the plasma 15 is extinguished, the particles released from the gaps of the recesses and cracks due to the thermal deformation of the member constituting the inner wall surface are plasma if the coating on the surface of the member constituting the inner wall is an insulator. Even in the processing chamber 7 without 15, the charge and polarity during the period in which the plasma 15 was formed are maintained. When such detached particles are held in the recesses and cracks of the coating, the positive charges are excessively present at these locations, and often jump out with some of the positive charges. It is considered that particles that have left the processing chamber 7 after the plasma is extinguished and floated are positively charged.

図11は、ウエット洗浄後に慣らし放電処理を実施する際にプラズマ15を断続的に形成と消火とを繰り返している間でも静電吸着用の直流電圧の平均値は0Vに維持されている従来の技術の処理シーケンスを示している。
FIG. 11 shows that the average value of the DC voltage for electrostatic adsorption is maintained at 0 V even while the plasma 15 is intermittently formed and extinguished during the break-in discharge treatment after wet cleaning. The processing sequence of the technology is shown.

図5を用いて、図11で示した従来技術の処理シーケンスに対する本実施例の効果について説明する。図5は、図3に示す本実施例の処理及び図11に示す従来技術の処理の後にウエハに付着した異物の除去数を示すグラフである。
The effect of this embodiment on the processing sequence of the prior art shown in FIG. 11 will be described with reference to FIG. FIG. 5 is a graph showing the number of foreign matters removed on the wafer after the processing of this embodiment shown in FIG. 3 and the prior art processing shown in FIG.

この図に示すように、本実施例では、従来技術では除去できないかその数が相対的に著しく小さい160nm以下の微粒子についても除去できていることが分かる。つまり、プラズマ15が消失する際に双極型の電極30に印加するダミーウエハを静電吸着するための電圧の平均値を負に変化させて当該電圧値を所定の期間維持することによって、Y23で構成された皮膜の表面から離脱して処理室7内に飛散した微粒子をダミーウエハに捕集する効果を高くすることができたといえる。
As shown in this figure, in this example, it can be seen that fine particles of 160 nm or less which cannot be removed by the conventional technique or whose number is relatively small can be removed. That is, when the plasma 15 disappears, the average value of the voltage for electrostatically adsorbing the dummy wafer applied to the bipolar electrode 30 is changed negatively, and the voltage value is maintained for a predetermined period, so that Y 2 O It can be said that the effect of collecting the fine particles separated from the surface of the coating film 3 and scattered in the processing chamber 7 on the dummy wafer can be enhanced.

このように、本実施例によれば、処理室7の内壁面を構成する部材の表面をウエット洗浄後、慣らし放電処理の工程を実施しつつ異物の原因となる微粒子を捕集して処理室7から取り除いて製品製造用のウエハ4への異物の付着を抑制することができる。さらに、ウエット洗浄後に製品を製造する量産の運転を早期に開始することができ歩留まりやスループットの向上を図ることができる。
As described above, according to the present embodiment, after wet cleaning the surface of the member constituting the inner wall surface of the processing chamber 7, the processing chamber is adapted to collect fine particles that cause foreign matters while performing a break-in discharge process. 7, it is possible to suppress adhesion of foreign matter to the wafer 4 for product manufacture. Furthermore, mass production operations for manufacturing products after wet cleaning can be started early, and yield and throughput can be improved.

変形例Modified example

上記実施例では、ウエハ4をステージ6の載置面に静電吸着させる直流電力が印加される電極30として、双極型のものを用いた例を示した。電極30として単極型ものを用いても同様の作用・効果を奏することができる。
In the above-described embodiment, an example in which a bipolar type electrode 30 is used as the electrode 30 to which direct current power for electrostatically attracting the wafer 4 to the mounting surface of the stage 6 is applied. Even if a single electrode type electrode 30 is used, the same operation and effect can be obtained.

図6を用いて、単極型の電極30を適用した変形例について説明する。図6は、図1に示す実施例の変形例に係るプラズマ処理装置の構成の概略を模式的に示す縦断面図である。
A modified example to which the monopolar electrode 30 is applied will be described with reference to FIG. FIG. 6 is a longitudinal sectional view schematically showing the outline of the configuration of a plasma processing apparatus according to a modification of the embodiment shown in FIG.

本図において、本変形例の図1の実施例との構成の差異は、ステージ6上に配置された双極型の電極30に換えて単極型の電極36を適用した点である。本例の単極型の電極36に関わる部分以外の構成は、図1で示した実施例と同等であるので必要の無い限り説明を省くものとする。
In this figure, the difference of the configuration of this modification from the embodiment of FIG. 1 is that a monopolar electrode 36 is applied instead of the bipolar electrode 30 disposed on the stage 6. Since the configuration other than the portion related to the unipolar electrode 36 of this example is the same as that of the embodiment shown in FIG. 1, the description will be omitted unless necessary.

また、図7を用いて、本変形例においてウエット洗浄後に実施する慣らし放電処理の工程の処理シーケンスを説明する。図7は、図6の変形例のウエット洗浄後の動作の流れを示すタイムチャートである。特に、Y23の皮膜に付着した微粒子(異物)を飛散させてダミーウエハに吸着させ除去する処理シーケンスを示している。ここでは、実施例で示した場合と同様に、3つの処理ステップで構成された場合を示している。
In addition, a processing sequence of a break-in discharge process performed after wet cleaning in the present modification will be described with reference to FIG. FIG. 7 is a time chart showing the flow of operation after wet cleaning of the modification of FIG. In particular, a processing sequence is shown in which fine particles (foreign matter) adhering to the Y 2 O 3 film are scattered and adsorbed to a dummy wafer to be removed. Here, similarly to the case shown in the embodiment, a case is shown in which three processing steps are configured.

図6に示す通り、本例では単極型の電極36がステージ6の上面である載置面を構成する誘電体膜内部においてウエハ4またはシャワープレート2に平行に対向して配置されている。電極36は、電極30と同様に、誘電体膜内に配置された金属製の膜状の電極であって、電圧を調整する手段である直流電源56と電気的に接続されている。電極36に印加されて形成される静電吸着力は直流電源56からの電力を図示しない制御装置が増減することによって調節される。
As shown in FIG. 6, in this example, a unipolar electrode 36 is disposed in parallel with the wafer 4 or the shower plate 2 inside a dielectric film that constitutes a mounting surface that is the upper surface of the stage 6. Similarly to the electrode 30, the electrode 36 is a metal film-like electrode disposed in the dielectric film, and is electrically connected to a DC power source 56 which is a means for adjusting the voltage. The electrostatic attraction force formed by being applied to the electrode 36 is adjusted by increasing or decreasing the power from the DC power source 56 by a control device (not shown).

ダミーウエハが処理室7に搬入されてステージ6上に載置されると、電極36には直流電源56から負の電圧が印加され、誘電体膜内に形成された電荷によりダミーウエハが誘電体膜に吸着されて保持される。電極36がジョンソン・ラーベックタイプのものであれば、例えば−500Vの電圧が供給される。また、電極36がクーロンタイプのものであれば、例えば、−1500Vの電圧が印加される(時刻a)。
When the dummy wafer is carried into the processing chamber 7 and placed on the stage 6, a negative voltage is applied to the electrode 36 from the DC power source 56, and the dummy wafer is applied to the dielectric film by the charge formed in the dielectric film. Adsorbed and held. If the electrode 36 is a Johnson-Rahbek type, for example, a voltage of -500 V is supplied. Moreover, if the electrode 36 is a Coulomb type, for example, a voltage of −1500 V is applied (time a).

次に、図3の処理ステップ1と同様に、処理ステップ1用の処理ガスが供給され処理室7内の圧力が処理ステップ1に適した所定の値に調整される。処理ステップ1のエッチング条件が得られたことが検出された後、制御装置からの指令に基づいてプラズマ15が生成され、処理ステップ1が開始される(時刻b)。
Next, similarly to the processing step 1 of FIG. 3, the processing gas for the processing step 1 is supplied, and the pressure in the processing chamber 7 is adjusted to a predetermined value suitable for the processing step 1. After detecting that the etching conditions of the processing step 1 are obtained, the plasma 15 is generated based on a command from the control device, and the processing step 1 is started (time b).

処理ステップ1が開始されて予め定められた時間が経過した後マイクロ波の電界及び磁界の供給が停止されプラズマ15が消火され処理ステップ1の処理ガスの供給が停止されて処理ステップ1が終了される(時刻c)排気する。さらに、処理ステップ1用の処理用ガスの供給が停止され処理室7内が排気される。この間において、ダミーウエハを保持するための電極36に印加する静電吸着用の直流電圧は処理中に印加した電圧値よりも負になるように調節されてその状態が維持される(時刻c〜d)。
After the processing step 1 is started and a predetermined time has elapsed, the supply of the microwave electric field and magnetic field is stopped, the plasma 15 is extinguished, the supply of the processing gas in the processing step 1 is stopped, and the processing step 1 is ended. (Time c) Exhaust. Further, the supply of the processing gas for processing step 1 is stopped and the processing chamber 7 is exhausted. During this time, the direct current voltage for electrostatic attraction applied to the electrode 36 for holding the dummy wafer is adjusted to be more negative than the voltage value applied during the processing, and the state is maintained (time cd). ).

電極36がジョンソン・ラーベックタイプのものであれば、例えば−500Vの電圧から−700Vの電圧に変更される。電極36がクーロンタイプのものであれば、電圧が変更されるタイミングは上述した通りである。つまり、クーロンタイプの電極36の場合は、完全にプラズマ15が消火された後に、具体的にはマイクロ波の電界の出力値が0Wになった後に、例えば、−1500Vの電圧値から−1700Vの電圧値に変更される。
If the electrode 36 is a Johnson-Rahbek type, for example, the voltage is changed from -500 V to -700 V. If the electrode 36 is of the coulomb type, the timing at which the voltage is changed is as described above. That is, in the case of the Coulomb type electrode 36, after the plasma 15 is completely extinguished, specifically, after the output value of the electric field of the microwave becomes 0 W, for example, the voltage value of -1500V is reduced to -1700V. The voltage value is changed.

このような構成により、ステージ6上のダミーウエハの表面の電位は負となる。処理ステップ1が開始された際に電極36には負の電圧が印加され、プラズマ15が消火され電圧値を変更する際にその値が正から負になることがないため、瞬間的に電圧値が小さくなることはない。そのため、ダミーウエハの吸着力を維持したまま当該電圧を変化させて電圧の変更時のウエハの位置ずれが発生することが抑制される。
With such a configuration, the surface potential of the dummy wafer on the stage 6 becomes negative. A negative voltage is applied to the electrode 36 when the processing step 1 is started, and the plasma 15 is extinguished so that the value does not change from positive to negative when the voltage value is changed. Will never be smaller. For this reason, it is possible to prevent the wafer from being displaced when the voltage is changed by changing the voltage while maintaining the suction force of the dummy wafer.

このプラズマが消失している間に溶射皮膜とその基材である処理室内壁40および処理室内壁41の温度変化により発生した微粒子をダミーウエハ4に吸着する。
While this plasma is extinguished, fine particles generated due to temperature changes of the thermal spray coating and the processing chamber inner wall 40 and the processing chamber inner wall 41 as the base material are adsorbed to the dummy wafer 4.

処理ステップ1が終了し、処理ステップ2の処理が開始されるまでの間は、処理ステップ1の処理ガスの排気、および処理ステップ2の処理ガスの供給と処理室内の圧力調整が行われる。プラズマが消失している時間や装置動作の状態は上述した通りである。
Until the processing step 1 is completed and the processing step 2 is started, the processing gas is exhausted in the processing step 1, the processing gas is supplied in the processing step 2, and the pressure in the processing chamber is adjusted. The time during which plasma is extinguished and the state of operation of the apparatus are as described above.

次に、処理ステップ2用の処理ガスを供給し、処理室7内の圧力を含め電極36に印加する電圧以外の処理ステップ2のエッチング条件が予め定められた処理ステップ2に適した値に到達したことが検出された後、ダミーウエハを保持するための単極型の電極36に印加される電圧が処理ステップ1を開始時の電圧値になるように変更され、その状態が維持される。このように、処理ステップ2の処理が開始される直前まで変更した静電吸着用の電圧を維持することで、プラズマ15が形成されていない期間に生じる異物を効率よくダミーウエハに吸着させることができる。
Next, the processing gas for the processing step 2 is supplied, and the etching conditions of the processing step 2 other than the voltage applied to the electrode 36 including the pressure in the processing chamber 7 reach a value suitable for the predetermined processing step 2. After being detected, the voltage applied to the unipolar electrode 36 for holding the dummy wafer is changed to the voltage value at the time of starting the processing step 1, and the state is maintained. In this way, by maintaining the electrostatic chucking voltage that has been changed until immediately before the processing of processing step 2 is started, foreign matters generated during a period in which the plasma 15 is not formed can be efficiently attracted to the dummy wafer. .

電極36がジョンソン・ラーベックタイプのものであれば、−700Vから−500Vの電圧値に変更する。また、電極36がクーロンタイプのものであれば、−1700Vから−1500Vの電圧値に変更する。その後に、マイクロ波の電界と磁界とが処理室7内に供給されプラズマ15が生成されて処理ステップ2が開始される(時刻d)。
If the electrode 36 is of the Johnson Rabeck type, the voltage value is changed from -700V to -500V. Further, if the electrode 36 is of a coulomb type, the voltage value is changed from -1700V to -1500V. Thereafter, an electric field and a magnetic field of microwaves are supplied into the processing chamber 7 to generate plasma 15 and processing step 2 is started (time d).

時刻dから予め定められた時間が経過して処理ステップ2が終了した時刻(時刻e)から処理ステップ3が開始される時刻(時刻f)までの間においても、プラズマ15が消失する際に単極型の電極36に印加する電圧の値が処理ステップ2の期間において印加された電圧値よりも負になるように変更されその状態が維持される。次に、時刻fにおいてプラズマ15を生成する際には電極36の電圧値が処理ステップ1または2開始時の電圧値に変更されて処理ステップ3が開始され、当該処理ステップ3の期間中はその状態が維持される。電極36のタイプによって印加する電圧および印加するタイミングは上述した通りである。
Even during a period from the time (time e) when the processing step 2 is completed after a predetermined time has elapsed from the time d to the time (time f) when the processing step 3 is started, when the plasma 15 disappears, The voltage value applied to the polar electrode 36 is changed so as to be more negative than the voltage value applied during the processing step 2, and the state is maintained. Next, when the plasma 15 is generated at time f, the voltage value of the electrode 36 is changed to the voltage value at the start of the processing step 1 or 2, and the processing step 3 is started. State is maintained. The voltage to be applied and the timing of application are as described above depending on the type of the electrode 36.

時刻fにおいて処理ステップ3が開始され予め定められた時間が経過後にマイクロ波の電界と磁界との供給が停止されプラズマ15が消火される(時刻g)。さらに、処理ステップ3用の処理ガスの供給が停止され、処理室7内が排気されて減圧される。この際に、ダミーウエハを載置面上に保持するための単極型の電極36に印加される静電吸着用の電圧値を処理中に印加される値よりも負になるように変更され、その状態が維持されて時刻hにおいて直流電源56から印加される静電吸着用の電圧がOFFにされる。
Processing step 3 is started at time f, and after a predetermined time has elapsed, the supply of the microwave electric field and magnetic field is stopped and the plasma 15 is extinguished (time g). Further, the supply of the processing gas for processing step 3 is stopped, and the processing chamber 7 is evacuated and decompressed. At this time, the voltage value for electrostatic attraction applied to the unipolar electrode 36 for holding the dummy wafer on the mounting surface is changed to be more negative than the value applied during processing, The state is maintained, and the electrostatic chucking voltage applied from the DC power source 56 is turned off at time h.

本変形例のようにウエハ4またはダミーウエハを静電吸着する電極として単極型の電極36を用いる場合には、処理が終了した後にも電荷が誘電体膜内に残留するため、電極36に印加される電圧がOFFにされてもウエハを吸着する静電気力が残留している。このため、処理ステップ3が終了した後に除電ステップ(時刻h〜i)が必要となる。この除電ステップでは、処理室7内に除電用のガスとしてArガス等の希ガスを供給しこれを用いてプラズマ15を生成する。
When the unipolar electrode 36 is used as an electrode for electrostatically attracting the wafer 4 or the dummy wafer as in the present modification, the charge remains in the dielectric film even after the processing is completed. Even when the applied voltage is turned off, the electrostatic force that attracts the wafer remains. For this reason, after the process step 3 is complete | finished, a static elimination step (time h ~ i) is needed. In this static elimination step, a rare gas such as Ar gas is supplied into the processing chamber 7 as a static elimination gas, and plasma 15 is generated using the rare gas.

本例では、Arガスを供給して処理室7内が所定の圧力に到達した後にマイクロ波の電界と磁界とが供給されてプラズマ15が生成される(時刻h)。直流電源56はOFFにされているため、これから電極36へ電圧は印加されず、プラズマ15によって残留していた電荷を誘電体膜内から逃がすことができ、これによってダミーウエハの静電吸着力も低減され、ダミーウエハを誘電体膜から離脱させ処理室7外へ搬出することが可能となる。
In this example, after Ar gas is supplied and the inside of the processing chamber 7 reaches a predetermined pressure, a microwave electric field and a magnetic field are supplied to generate plasma 15 (time h). Since the DC power supply 56 is turned off, no voltage is applied to the electrode 36 from now on, and the charge remaining by the plasma 15 can be released from the dielectric film, thereby reducing the electrostatic attraction force of the dummy wafer. The dummy wafer can be detached from the dielectric film and carried out of the processing chamber 7.

以上のように、単極型の電極36を用いた場合でも、双極型の電極30を用いた場合と同様に、プラズマ15が消火された際に電極36に負の電圧を供給し、ステージ6上に保持されたダミーウエハの電位を負にすることで、処理室7の内壁面を構成する皮膜から放出される微粒子を処理室7内壁面に再度付着することを抑制しダミーウエハに吸着させることができる。このようなダミーウエハが処理室7外に搬出されることで処理室7内の異物が除去される。
As described above, even when the unipolar electrode 36 is used, a negative voltage is supplied to the electrode 36 when the plasma 15 is extinguished, similarly to the case where the bipolar electrode 30 is used, and the stage 6 By making the potential of the dummy wafer held above negative, it is possible to suppress the fine particles released from the coating that forms the inner wall surface of the processing chamber 7 from adhering to the inner wall surface of the processing chamber 7 again, and to adsorb the dummy wafer. it can. Such a dummy wafer is carried out of the processing chamber 7 to remove foreign matters in the processing chamber 7.

以上の例では、ウエット洗浄後の慣らし放電処理の工程が3つの処理ステップで構成され、かつ各処理ステップ同士の間で処理室7内を高真空に排気した場合の処理シーケンスを説明した。しかしながら、各処理ステップ同士の間においてガスを供給しても良い。
In the above example, the process sequence in the case where the process of the break-in discharge process after the wet cleaning is composed of three process steps and the inside of the process chamber 7 is evacuated to a high vacuum between the process steps has been described. However, gas may be supplied between the processing steps.

図8を用いてこのような例を説明する。図8は、図1に示す実施例に係るプラズマ処理装置の別の変形例における慣らし放電処理の工程の動作の流れを示すタイムチャートである。
Such an example will be described with reference to FIG. FIG. 8 is a time chart showing the flow of the operation of the break-in discharge process in another modification of the plasma processing apparatus according to the embodiment shown in FIG.

本図では、当該慣らし放電処理の工程において、処理ステップと処理ステップの間に希ガスを供給した場合の処理シーケンスを示す。本図の時刻aからbまでの処理シーケンスは図3に示した実施例の工程と同じである。
This figure shows a processing sequence when a rare gas is supplied between the processing steps in the break-in discharge processing. The processing sequence from time a to b in this figure is the same as the process of the embodiment shown in FIG.

時刻bに処理ステップ1が開始され予め定められた時間が経過した後マイクロ波の電界および磁界の供給が停止されプラズマ15が消火され処理ステップ1用の処理ガスの供給が停止されて処理ステップ1が終了する(時刻c)。この際に、処理ガスの排気と並行して、処理室7に例えばArガスのような希ガスの供給が開始されて処理室7内の圧力が所定の圧力にされる。
After step 1 is started at time b and a predetermined time has elapsed, the supply of the microwave electric field and magnetic field is stopped, the plasma 15 is extinguished, and the supply of the processing gas for processing step 1 is stopped. Ends (time c). At this time, in parallel with the exhaust of the processing gas, supply of a rare gas such as Ar gas to the processing chamber 7 is started, and the pressure in the processing chamber 7 is set to a predetermined pressure.

このようなArガスの処理室7内への供給により、処理ガスを供給するためのガス導入管18から処理室7内に残留している処理ステップ1用の処理ガスを排気口17から短時間で外部に排気することができる。また、希ガスの供給により、処理室7内には処理室7上部から排気口17へ向かう上下方向のガス流れが生じるため、このようなガス流れを用いて処理室7内に浮遊している異物をステージ6の下方の排気口17に輸送することによって、異物を処理室7内から用意に排出することが可能となる。
By supplying Ar gas into the processing chamber 7, the processing gas for the processing step 1 remaining in the processing chamber 7 from the gas introduction pipe 18 for supplying the processing gas is briefly supplied from the exhaust port 17. It can be exhausted outside. In addition, since the gas flow in the vertical direction from the upper part of the processing chamber 7 toward the exhaust port 17 is generated in the processing chamber 7 by the supply of the rare gas, the gas flow is used to float in the processing chamber 7. By transporting the foreign matter to the exhaust port 17 below the stage 6, the foreign matter can be easily discharged from the processing chamber 7.

さらに、希ガスを供給すると共にダミーウエハを保持するために異なる極性が付与される双極型の電極30各々に供給される静電吸着用の直流電圧をその平均値が負になるように変更し、その状態を維持する(時刻c〜d)。電極30がジョンソン・ラーベックタイプのものであれば、例えば+500Vと−500Vとから+300Vと−700Vとの電圧値に変更する。
Furthermore, the DC voltage for electrostatic attraction supplied to each bipolar electrode 30 to which a different polarity is applied in order to supply the rare gas and hold the dummy wafer is changed so that the average value becomes negative, That state is maintained (time cd). If the electrode 30 is of the Johnson Rahbek type, for example, the voltage value is changed from +500 V and -500 V to +300 V and -700 V.

電極36がクーロンタイプのものであれば電圧を変化させるタイミングは上述した通りである。つまり、クーロンタイプの電極30の場合には、処理室7内から完全にプラズマが消火された後に、具体的にはマイクロ波の電界の出力値が0Wになった後に、+1500Vと−1500Vとの値から+1300Vと−1700Vとのの電圧値に変化させる。
If the electrode 36 is of the coulomb type, the timing for changing the voltage is as described above. That is, in the case of the coulomb type electrode 30, after the plasma is completely extinguished from the inside of the processing chamber 7, specifically, after the output value of the microwave electric field becomes 0 W, + 1500V and −1500V The voltage value is changed to + 1300V and -1700V.

電極30に印加される直流電力はこのような電圧値に変化させその状態が維持されることにより、ステージ6上のダミーウエハの表面の電位が負にされる。このため、プラズマ15が消失している間に処理室7の内表面を構成するアース電極40や放電室容器41とこれらの内側表面に配置された皮膜との温度が変化したことにより皮膜から遊離して処理室7内に浮遊している微粒子がダミーウエハ上に吸着してこれに保持される。
The direct-current power applied to the electrode 30 is changed to such a voltage value and is maintained so that the potential of the surface of the dummy wafer on the stage 6 is made negative. For this reason, while the plasma 15 is extinguished, the temperature of the ground electrode 40 and the discharge chamber container 41 constituting the inner surface of the processing chamber 7 and the coating disposed on the inner surface of the processing chamber 7 is changed, so that it is released from the coating. As a result, the fine particles floating in the processing chamber 7 are adsorbed onto the dummy wafer and held therein.

このように、プラズマ15の消失の際に希ガスを処理室7への供給とともにダミーウエハの電位を負にすることにより、ガス流れを用いた微粒子の輸送と静電気力による微粒子の吸着の効果によって異物の原因となる粒子を処理室7内から除去することができる。処理ステップ1が終了し、処理ステップ2の処理が開始されるまでの間(時刻c〜d)では、処理室7内において処理ステップ1用の処理ガスの排気と希ガスの供給および処理ステップ2用の処理ガスの供給と処理室7内の圧力の調節が行われる。本例では、プラズマ15が形成されておらず圧力の調節がされる時間は3秒から10秒程度であるが、上記のようにウエハの温度を変化させ調節する時間が必要な場合にはさらに長くなる。
In this way, when the plasma 15 disappears, the rare gas is supplied to the processing chamber 7 and the potential of the dummy wafer is made negative, whereby foreign particles are transported by the gas flow and the effect of adsorption of the fine particles by electrostatic force. Can be removed from the processing chamber 7. During the period from the end of the processing step 1 to the start of the processing of the processing step 2 (time cd), the processing chamber 1 is exhausted with the processing gas for the processing step 1 and supplied with the rare gas and the processing step 2. The processing gas is supplied and the pressure in the processing chamber 7 is adjusted. In this example, the time during which the plasma 15 is not formed and the pressure is adjusted is about 3 to 10 seconds. However, if it is necessary to change the temperature of the wafer as described above, it is further necessary. become longer.

処理ステップ2用の処理ガスの供給を開始する際には、希ガスの供給を止めて処理室7内から排気するとともに処理ガスの供給を開始する。処理室7内の圧力が処理ステップ2の処理に圧力に到達し、処理室7内の圧力を含め電極36に印加する電圧以外の処理ステップ2のエッチング条件が予め定められた処理ステップ2に適した値に到達したことが検出された後、ダミーウエハを保持するための双極型の電極30各々に供給される静電吸着用の直流電圧の平均値が0Vになるように変更され、その状態が保持される。その後、マイクロ波による電界と磁界とが供給され処理ガスが励起されてプラズマ15が処理室7内に生成され処理ステップ2が開始される(時刻d)。このように、処理ステップ2の処理が開始される直前まで静電吸着のための直流電圧の平均値を負にすることで、プラズマ15が形成れていない期間に生じる微粒子を効率よくダミーウエハに吸着させることができる。
When the supply of the processing gas for the processing step 2 is started, the supply of the rare gas is stopped and the processing chamber 7 is exhausted, and the supply of the processing gas is started. The pressure in the processing chamber 7 reaches the pressure in the processing in the processing step 2, and the etching conditions in the processing step 2 other than the voltage applied to the electrode 36 including the pressure in the processing chamber 7 are suitable for the processing step 2 in which the etching conditions are predetermined. Is detected so that the average value of the DC voltage for electrostatic attraction supplied to each bipolar electrode 30 for holding the dummy wafer is changed to 0 V, and the state is changed. Retained. Thereafter, an electric field and a magnetic field by microwaves are supplied, the processing gas is excited, plasma 15 is generated in the processing chamber 7, and processing step 2 is started (time d). In this way, by making the average value of the DC voltage for electrostatic adsorption negative until just before the processing of processing step 2 is started, fine particles generated during the period in which the plasma 15 is not formed are efficiently adsorbed to the dummy wafer. Can be made.

処理ステップ2の終了(時刻e)から処理ステップ3が開始(時刻f)するまでのプラズマ15が消失している間は、上述したように処理ステップ2の処理ガスの供給停止とともに、希ガスの供給を開始し、双極型の電極30それぞれに印加する静電吸着用の直流電圧はその平均値が負となるように変更され、その状態が時刻fまで維持される。
While the plasma 15 from the end of the processing step 2 (time e) to the start of the processing step 3 (time f) is extinguished, the supply of the processing gas in the processing step 2 is stopped as described above, and the rare gas Supply is started, and the DC voltage for electrostatic attraction applied to each bipolar electrode 30 is changed so that the average value becomes negative, and the state is maintained until time f.

プラズマ15を生成する際には希ガスの供給が停止され処理ステップ3用の処理ガスの処理室7内への供給が開始される。時刻fにおいてマイクロ波の電界および磁界が処理室7内に供給され処理ガスが励起されてプラズマ15が形成され、処理ステップ3が開始される。
When the plasma 15 is generated, the supply of the rare gas is stopped and the supply of the processing gas for the processing step 3 into the processing chamber 7 is started. At time f, an electric field and a magnetic field of microwaves are supplied into the processing chamber 7, the processing gas is excited to form plasma 15, and processing step 3 is started.

その際に、電極30の各々に供給される直流電圧はその平均値が0Vに変更され処理ステップ3の間その状態が維持される。電極30のタイプによって印加される電圧の値および印加するタイミングの例は上述した通りである。
At that time, the average value of the DC voltage supplied to each of the electrodes 30 is changed to 0 V, and the state is maintained during the processing step 3. Examples of the value of the voltage applied depending on the type of the electrode 30 and the timing of application are as described above.

処理ステップ3が開始され所定の時間を経過した後に、予め定められた時間が経過後にマイクロ波の電界と磁界との供給が停止されプラズマ15が消火される(時刻g)。さらに、処理ステップ3用の処理ガスの供給が停止され、処理室7内が排気されて減圧される。プラズマが消火された後、ダミーウエハを保持するための双極型の電極30それぞれに印加される直流電圧はその平均値が負になるように変更され(時刻g)、その状態が維持される。
After processing step 3 is started and a predetermined time elapses, the supply of the microwave electric field and magnetic field is stopped after a predetermined time elapses, and the plasma 15 is extinguished (time g). Further, the supply of the processing gas for processing step 3 is stopped, and the processing chamber 7 is evacuated and decompressed. After the plasma is extinguished, the DC voltage applied to each bipolar electrode 30 for holding the dummy wafer is changed so that the average value becomes negative (time g), and the state is maintained.

その後、所定の時間が経過した後に、直流電源55からの電力の供給をOFFにして希ガスの供給も停止される(時刻h)。これによってダミーウエハを保持する静電気力も解除される。さらにその後、ダミーウエハがステージ6上から搬送用のロボットアームに受け渡されて処理室7外へ搬出される。
Thereafter, after a predetermined time has elapsed, the supply of power from the DC power supply 55 is turned off and the supply of the rare gas is also stopped (time h). As a result, the electrostatic force holding the dummy wafer is also released. Thereafter, the dummy wafer is transferred from the stage 6 to the transfer robot arm and carried out of the processing chamber 7.

なお、本例では、処理ステップ3の終了後に希ガスの供給を実施しない例を示したが、希ガスを供給した場合でも、ダミーウエハに異物を吸着することができることは明らかである。
In this example, the rare gas is not supplied after completion of the processing step 3. However, it is obvious that the foreign substance can be adsorbed to the dummy wafer even when the rare gas is supplied.

図8の変形例は、ウエット洗浄後の慣らし放電処理において複数の処理ステップ同士の間でプラズマが形成されていない期間に希ガスを供給するものであるが、希ガスではなく複数の処理ステップのうちの後の処理ステップ用の処理ガスを供給しても良い。この場合の処理シーケンスを図9に示す。図9は、図1に示す実施例に係るプラズマ処理装置のさらに別の変形例における慣らし放電処理の工程の動作の流れを示すタイムチャートである。
In the modified example of FIG. 8, in the break-in discharge process after wet cleaning, a rare gas is supplied during a period in which plasma is not formed between a plurality of process steps. A processing gas for a later processing step may be supplied. The processing sequence in this case is shown in FIG. FIG. 9 is a time chart showing an operation flow of a break-in discharge process in still another modification of the plasma processing apparatus according to the embodiment shown in FIG.

本例のように、複数の処理ステップを含んで構成された慣らし放電処理において、前の処理ステップが終了した後に次の処理ステップ用の処理ガスを処理室7内に供給する場合には、時刻cのように処理ステップ1用の処理ガスの供給停止とともに次の処理ステップである処理ステップ2用の処理ガスの処理室7内への供給を開始する(時刻c)。これにより処理ステップ1が終了した直後に圧力を含め処理ステップ2用のエッチング条件の実現のための動作を開始することができる(時刻c〜d)。そのため、処理シーケンス全体に要する時間を短縮することができる。
In the break-in discharge process configured to include a plurality of process steps as in this example, when supplying the process gas for the next process step into the process chamber 7 after the previous process step is completed, As shown in c, the supply of the processing gas for the processing step 2 which is the next processing step into the processing chamber 7 is started simultaneously with the stop of the supply of the processing gas for the processing step 1 (time c). As a result, immediately after the processing step 1 is completed, the operation for realizing the etching conditions for the processing step 2 including the pressure can be started (time cd). Therefore, the time required for the entire processing sequence can be shortened.

このような工程は、処理ステップ2と処理ステップ3との間でも実施できる。上記のように、複数の処理ステップを含む慣らし放電処理において前の処理ステップとその次の処理ステップとの間に希ガスあるいは次の処理ステップの処理ガスを供給した場合でも、高真空排気した場合と同様に、プラズマ15が形成されていない間にウエハを静電吸着するための電極に負の電圧を印加してステージ6上のウエハの電位を負にすることで、処理室7の内壁面を構成する皮膜から放出される微粒子を処理室7内壁面に再付着させずにウエハに吸着させて、異物を除去することができる。
Such a process can be performed between the processing step 2 and the processing step 3. As described above, even in the case where the rare gas or the processing gas of the next processing step is supplied between the previous processing step and the next processing step in the break-in discharge processing including a plurality of processing steps, Similarly to the above, by applying a negative voltage to the electrode for electrostatically adsorbing the wafer while the plasma 15 is not formed to make the wafer potential on the stage 6 negative, the inner wall surface of the processing chamber 7 The foreign matter can be removed by adsorbing the fine particles released from the film constituting the film to the wafer without reattaching to the inner wall surface of the processing chamber 7.

上記実施例では、慣らし放電処理が3つの処理ステップで構成された例を示したが、処理ステップが1つの場合にも適用可能であり図10を用いて説明する。図10は、図1に示す実施例に係るプラズマ処理装置のさらに別の変形例における慣らし放電処理の工程の動作の流れを示すタイムチャートである。
In the above-described embodiment, an example in which the break-in discharge process is configured by three process steps has been described. FIG. 10 is a time chart showing an operation flow of a break-in discharge process in still another modification of the plasma processing apparatus according to the embodiment shown in FIG.

本例では、処理ステップが1つの場合の処理シーケンスを示す。処理ステップが1つの場合は、当該処理ステップ用の処理ガスを処理室7内に供給した状態で処理室7でのプラズマ15の生成と消失とが繰り返される。そして、プラズマ15の生成と消失との各々の時刻に合わせて電極30または電極36に供給される静電吸着用の直流電圧をその平均値が0Vに維持される期間(時刻b〜c、時刻d〜e、時刻f〜g)と負に維持される期間(時刻c〜d、時刻e〜f、時刻g〜h)とが相互に繰り返される。
In this example, a processing sequence in the case of one processing step is shown. When there is one processing step, generation and disappearance of the plasma 15 in the processing chamber 7 are repeated with the processing gas for the processing step being supplied into the processing chamber 7. Then, the period during which the average value of the DC voltage for electrostatic adsorption supplied to the electrode 30 or the electrode 36 is maintained at 0 V in accordance with the time of generation and disappearance of the plasma 15 (time b to c, time d to e, time f to g) and a period (time c to d, time e to f, time g to h) maintained negatively are repeated.

この構成により、プラズマ15が処理室7内に形成されていない期間中に電極30または電極36に供給される静電吸着用の直流電圧をその平均値が負に維持される期間が同期するまたは前者に後者が含まれることになる。本例では、このような構成によって複数の処理ステップで構成された処理シーケンスの場合と同じ効果を得ることができる。
With this configuration, the period during which the average value of the DC voltage for electrostatic adsorption supplied to the electrode 30 or the electrode 36 during the period in which the plasma 15 is not formed in the processing chamber 7 is maintained negative is synchronized. The former includes the latter. In this example, the same effect as in the case of a processing sequence configured by a plurality of processing steps can be obtained by such a configuration.

上記の実施例では、処理室7の内壁面を構成するアース電極40は、ステンレス合金やアルミニウム合金等の金属基材から構成された基材の表面に保護膜としてY23等の耐プラズマ性の高いセラミクスの材料を溶射して形成された皮膜を有する構成を示している。これに対して、アース電極40の材料として導電性を有したセラミクスのように金属以外の材料を用いても良い。この場合には、セラミクス材料から構成された皮膜をアース電極40の表面に備えなくても良い。
In the above embodiment, the ground electrode 40 constituting the inner wall surface of the processing chamber 7 has a plasma resistance such as Y 2 O 3 as a protective film on the surface of a base material made of a metal base material such as stainless steel or aluminum alloy. 1 shows a configuration having a film formed by thermal spraying a highly ceramic material. On the other hand, a material other than metal, such as ceramic having conductivity, may be used as the material of the ground electrode 40. In this case, the surface of the ground electrode 40 may not be provided with a film made of a ceramic material.

また、放電室容器41も同様に導電性を有したセラミクスから構成された部材で構成しても良い。さらに、この場合には、アース電極40と一体にこれを構成することができ、部材の数を低減してプラズマ処理装置100の製造コストの増大を抑えることができる。特に、これらの部材を、例えばY23のように耐プラズマ性の高いセラミクスから構成した場合は、プラズマによるエッチングレートが小さく消耗を抑制することができるため、これらの部材の表面にはこれを保護する皮膜は備えられていなくても良い。
Similarly, the discharge chamber container 41 may be formed of a member made of ceramic having conductivity. Further, in this case, it can be configured integrally with the ground electrode 40, and the number of members can be reduced to suppress an increase in manufacturing cost of the plasma processing apparatus 100. In particular, when these members are made of ceramics having high plasma resistance, such as Y 2 O 3 , the etching rate by plasma is small and wear can be suppressed. The film which protects may not be provided.

また、これらの部材がセラミクス材料のバルク材から構成された場合には、上記した金属製の基材とこの表面に溶射等の製造方法で配置された皮膜とを備えた構成において部材の温度の増減に起因して皮膜内部や接合面で生じる引っ張りや圧縮の熱応力は、本質的に生じない。バルク材に生じる引っ張りおよび圧縮の熱応力は皮膜と基材とが接合されたもので生じるものよりも小さいこと、皮膜の表面のクラックや欠損、及びこれらクラック内部の微粒子の離脱が少ないことから、プラズマ15が形成されていない間にダミーウエハに吸着させることができる異物は、実施例1で示したようにアース電極40や放電室容器41が金属製の基材とその表面の皮膜とで構成された場合と比較して少なくなる。しかし、バルク材は成型に起因するボイドや研磨による研磨痕が表面に存在するためこれらに起因する異物を低減することは可能である。
Further, when these members are made of a bulk material of a ceramic material, the temperature of the members in the configuration provided with the above-described metal base material and a coating disposed on the surface by a manufacturing method such as thermal spraying. There is essentially no tensile or compressive thermal stress caused by the increase or decrease within the film or at the joint surface. Because the tensile and compressive thermal stresses generated in the bulk material are smaller than those produced by joining the film and the substrate, cracks and defects on the surface of the film, and the separation of fine particles inside these cracks is less, As shown in the first embodiment, the foreign material that can be adsorbed to the dummy wafer while the plasma 15 is not formed is composed of the ground electrode 40 and the discharge chamber container 41 made of a metal base material and a coating on the surface thereof. It becomes less compared with the case. However, since the bulk material has voids due to molding and polishing marks due to polishing on the surface, it is possible to reduce foreign substances due to these.

一方、プラズマ15によってセラミクスの導電性の性質が変わるような場合や、導電性の機能が失われる場合は、Y23等のセラミクスの皮膜を導電性セラミックスにより構成された部材の表面に配置することによって、これを回避できる。また、導電性セラミクスの材質がプラズマによるエッチングレートが大きい、例えばAl23製のようなものである場合はY23により構成された皮膜を溶射によりその表面に配置することで、基材の導電性セラミクスの消耗を抑制することができる。
On the other hand, when the conductive properties of the ceramics are changed by the plasma 15 or when the conductive function is lost, a ceramic film such as Y 2 O 3 is disposed on the surface of the member made of conductive ceramics. This can be avoided. In addition, when the conductive ceramic material has a high etching rate by plasma, for example, made of Al 2 O 3 , a film composed of Y 2 O 3 is disposed on the surface by thermal spraying. The consumption of the conductive ceramics of the material can be suppressed.

本実施例では、慣らし放電処理を対象とした処理シーケンスについて説明したが、慣らし放電処理だけではなく、コンディション調整の一つであるヒーティング処理やクリーニング処理にもダミーウエハを用いることで適用することが可能である。さらには、例えばロット間あるいは数枚製品ウエハ処理ごとにダミーウエハを搬入して行うこともできる。その際、ArガスやO2ガスといった単独ガスや複数のガスによる混合ガスを用いてプラズマ15を生成、消失する場合においても適用可能であることは言う迄もない。また、プラズマを用いて処理室7内面の反応生成物の除去を目的としたクリーニング中に本実施例を適用することも可能である。この場合、反応生成物の除去とY23により構成された皮膜上に付着した微粒子の除去を並行して行うことができる。
In the present embodiment, the processing sequence for the break-in discharge process has been described. However, not only the break-in discharge process but also a heating process or a cleaning process, which is one of the condition adjustments, can be applied by using a dummy wafer. Is possible. Furthermore, for example, a dummy wafer can be carried in between lots or every several wafers processed. In this case, it goes without saying that the present invention can also be applied to the case where the plasma 15 is generated and disappears using a single gas such as Ar gas or O 2 gas or a mixed gas of a plurality of gases. It is also possible to apply this embodiment during cleaning for the purpose of removing reaction products on the inner surface of the processing chamber 7 using plasma. In this case, removal of the reaction product and removal of the fine particles adhering to the film composed of Y 2 O 3 can be performed in parallel.

また、本実施例では、プラズマ消失時に移行する静電吸着電圧の平均値を−200Vとしたが、例えば−100Vであっても−300Vであっても負の電圧であれば適用することができる。
In this embodiment, the average value of the electrostatic adsorption voltage that shifts when the plasma disappears is -200 V. However, for example, any negative voltage can be applied regardless of whether it is -100 V or -300 V. .

また、上記の例では処理室7内壁面を構成する部材の金属製の基材の表面に配置された保護膜45,46としてY23製の皮膜が用いられたが、例えばイットリウムを含有するYAGやYF3等の皮膜を用いてもよい。また、イットリウムの原子量(88.9)よりも大きい原子、例えばLa(原子量:138.9)やGd(原子量:157.3)やYb(原子量:173.0)等を含有する皮膜を用いても良い。また、導電性セラミックスとして、Y23を用いたが、例えばイットリウムを含有するYAGやYF3等の導電性セラミックスを用いてもよい。また、イットリウムの原子量(88.9)よりも大きい原子、例えばLa(原子量:138.9)やGd(原子量:157.3)やYb(原子量:173.0)等を含有する導電性セラミックスを用いても良い。
In the above example, the Y 2 O 3 film is used as the protective films 45 and 46 disposed on the surface of the metal base material of the member constituting the inner wall surface of the processing chamber 7. For example, it contains yttrium. A film such as YAG or YF 3 may be used. Further, a film containing an atom larger than the atomic weight (88.9) of yttrium, for example, La (atomic weight: 138.9), Gd (atomic weight: 157.3), Yb (atomic weight: 173.0) or the like is used. Also good. Moreover, although Y 2 O 3 is used as the conductive ceramic, conductive ceramics such as YAG or YF 3 containing yttrium may be used. Further, conductive ceramics containing atoms larger than the atomic weight (88.9) of yttrium, such as La (atomic weight: 138.9), Gd (atomic weight: 157.3), Yb (atomic weight: 173.0), etc. It may be used.

以上の通り、実施の形態に係るプラズマエッチング装置では、ウエット洗浄後に実施される処理室7内の表面の状態を調整する工程において、複数の処理ステップにおけるプラズマの生成と消失によって、消失後に処理室7の内壁面を構成する部材の表面に配置された皮膜に発生した熱応力により、当該皮膜の表面に付着した微粒子や飛沫やクラック内部に付着した微粒子を当該表面から離脱させ処理室7内に浮遊させる。そして、プラズマ15を消火する際に、ステージ6の誘電体膜の内部に配置された静電吸着用の電極に印加する直流電圧の平均値を負に変化させ、この状態を維持することによって、皮膜から放出される微粒子をステージ6の誘電体膜上に載せられて保持されたウエハ4に吸着させる。
その後、処理が終了した後にウエハ4を処理室7外へ搬出することによって、処理室7内に微粒子が残留することを抑制でき、ウエハ4への異物の付着を抑制することができ、デバイス性能や歩留まりを向上させることができる。さらには、これら一連の操作を慣らし放電処理で行うことにより、スループットの低下を抑制することができる。
As described above, in the plasma etching apparatus according to the embodiment, in the step of adjusting the surface state in the processing chamber 7 performed after the wet cleaning, the processing chamber after the disappearance is generated by the generation and disappearance of the plasma in a plurality of processing steps. In the processing chamber 7, fine particles adhering to the surface of the film, droplets adhering to the inside of the crack, or fine particles adhering to the inside of the crack are separated from the surface by the thermal stress generated on the surface of the member constituting the inner wall surface of the member 7. Let it float. Then, when extinguishing the plasma 15, the average value of the DC voltage applied to the electrode for electrostatic adsorption disposed inside the dielectric film of the stage 6 is changed negatively, and this state is maintained, The fine particles released from the film are adsorbed to the wafer 4 held on the dielectric film of the stage 6.
Thereafter, by carrying the wafer 4 out of the processing chamber 7 after the processing is completed, it is possible to suppress the fine particles from remaining in the processing chamber 7 and to suppress the adhesion of foreign matter to the wafer 4. And yield can be improved. Furthermore, a decrease in throughput can be suppressed by performing a series of these operations by a break-in discharge process.

2…セラミックプレート、3…窓部材、4…ウエハ、6…ステージ、7…処理室、8…間隙、9…ガス導入孔、10…ガス流量調節器、11…圧力センサ、12…排気ポンプ、13…整合機、14…高周波電源、15…プラズマ、16…バタフライバルブ、17…排気口、18…ガス導入管、20…マグネトロン発振器、21…導波管、22,23…ソレノイドコイル、30…電極、40…アース電極、41…放電室容器、45,46…保護膜、51…ガス供給機構、55…直流電源。 DESCRIPTION OF SYMBOLS 2 ... Ceramic plate, 3 ... Window member, 4 ... Wafer, 6 ... Stage, 7 ... Processing chamber, 8 ... Gap, 9 ... Gas introduction hole, 10 ... Gas flow controller, 11 ... Pressure sensor, 12 ... Exhaust pump, DESCRIPTION OF SYMBOLS 13 ... Matching machine, 14 ... High frequency power supply, 15 ... Plasma, 16 ... Butterfly valve, 17 ... Exhaust port, 18 ... Gas introduction pipe, 20 ... Magnetron oscillator, 21 ... Waveguide, 22, 23 ... Solenoid coil, 30 ... Electrode, 40 ... ground electrode, 41 ... discharge chamber container, 45, 46 ... protective film, 51 ... gas supply mechanism, 55 ... DC power source.

Claims (10)

真空容器の内部に配置され減圧される処理室と、この処理室内にプラズマを形成する電界を供給する電力を供給する高周波電源と、前記処理室内に配置され処理対象のウエハが載せられるステージと、このステージの上部に配置され静電気力を形成して前記ウエハを吸着するための電極と、この電極に接続された直流電源とを備え、
前記処理室の内壁面を構成する内側部材の表面が誘電体により構成されたものであって、
前記内側部材の表面を洗浄した後に前記ステージ上に載せられた板状の部材を吸着し保持した状態で実施され前記処理室内にプラズマを形成する複数の工程の間において、前記処理室内にプラズマが形成されない状態で前記電源から前記電極に電力を供給して前記板状の部材の電位が負に維持される工程を実施するプラズマ処理装置。
A processing chamber disposed in the vacuum chamber and depressurized; a high-frequency power source for supplying electric power for supplying an electric field for forming plasma in the processing chamber; a stage disposed in the processing chamber on which a wafer to be processed is placed; An electrode for adsorbing the wafer by forming an electrostatic force disposed on the stage, and a DC power source connected to the electrode;
The surface of the inner member constituting the inner wall surface of the processing chamber is made of a dielectric,
Plasma is generated in the processing chamber during a plurality of steps that are performed in a state where the plate-like member placed on the stage is adsorbed and held after the surface of the inner member is cleaned and is formed in the processing chamber. A plasma processing apparatus for performing a step of supplying electric power from the power source to the electrode without being formed to maintain the potential of the plate-like member negative.
請求項1に記載のプラズマ処理装置にであって、
前記処理室内にプラズマを形成する複数の工程の間において、前記処理室内にプラズマが形成されない状態で前記直流電源から前記ステージの上部に配置された誘電体製の膜内に配置された膜状の前記電極に電力を供給してその電位を負に維持される前記工程を実施するプラズマ処理装置。
The plasma processing apparatus according to claim 1,
Between a plurality of steps of forming plasma in the processing chamber, a film-like film disposed in a dielectric film disposed on the stage from the DC power source without plasma being formed in the processing chamber A plasma processing apparatus for performing the step of supplying electric power to the electrode and maintaining the potential at a negative level.
請求項1または2に記載のプラズマ処理装置であって、
前記処理室内にプラズマを形成する複数の工程の間において、前記処理室に供給される前記電界が0になった後に前記直流電源から前記電極に電力を供給してその電位を負に維持される前記工程を実施するプラズマ処理装置。
The plasma processing apparatus according to claim 1 or 2,
During a plurality of steps of forming plasma in the processing chamber, power is supplied from the DC power source to the electrode after the electric field supplied to the processing chamber becomes zero, and the potential is maintained negative. A plasma processing apparatus for performing the process.
請求項1乃至3の何れかに記載のプラズマ処理装置であって、
前記電極がクーロン力を用いて前記ウエハ及び板状の部材を吸着するものであって、
前記直流電源から前記電極に供給された電力により前記板状の部材に形成される電位は、前記プラズマが形成される1つの工程において前記処理室に供給された前記電界が0になった後に負の値であって且つ当該プラズマが形成される1つの工程における前記板状の部材に形成される電位の値より低い所定の値に変更され、前記プラズマが形成されない状態で実施される前記工程が前記所定の値を維持されて実施されるプラズマ処理装置。
A plasma processing apparatus according to any one of claims 1 to 3,
The electrode adsorbs the wafer and the plate-like member using Coulomb force,
The potential formed in the plate-like member by the power supplied from the DC power source to the electrode is negative after the electric field supplied to the processing chamber becomes zero in one step in which the plasma is formed. And the process is performed in a state where the plasma is not formed and is changed to a predetermined value lower than the value of the potential formed on the plate-like member in one process in which the plasma is formed. A plasma processing apparatus which is carried out while maintaining the predetermined value .
請求項1乃至4の何れかに記載のプラズマ処理装置であって、
前記内側部材の表面を洗浄した後であって製品を製造するために前記ウエハを処理する運転を開始する前において、前記板状の部材の電位が負に維持されて当該板状の部材に前記処理室内の粒子を吸着させる工程を実施するプラズマ処理装置。
A plasma processing apparatus according to any one of claims 1 to 4,
After cleaning the surface of the inner member and before starting the operation of processing the wafer to manufacture a product, the potential of the plate-like member is maintained negative so that the plate-like member A plasma processing apparatus that performs a process of adsorbing particles in a processing chamber.
真空容器の内部に配置され減圧される処理室と、この処理室内にプラズマを形成する電界を供給する電力を供給する高周波電源と、前記処理室内に配置され処理対象のウエハが載せられるステージと、このステージの上部に配置され静電気力を形成して前記ウエハを吸着するための電極と、この電極に接続された直流電源とを備え、前記処理室内に供給された処理ガスを用いて形成された前記プラズマにより前記ウエハを処理するプラズマ処理装置の運転方法であって、
前記処理室の内壁面を構成する内側部材の表面が誘電体により構成され、
前記内側部材の表面を洗浄した後に、前記ステージ上に板状の部材を載せてこれを吸着し保持した状態で前記処理室内にプラズマを形成する複数の工程を実施するものであって、これらの工程の間において前記処理室内にプラズマが形成されない状態で前記電源から前記電極に電力を供給して前記板状の部材の電位が負に維持される工程を実施するプラズマ処理装置の運転方法。
A processing chamber disposed in the vacuum chamber and depressurized; a high-frequency power source for supplying electric power for supplying an electric field for forming plasma in the processing chamber; a stage disposed in the processing chamber on which a wafer to be processed is placed; An electrode disposed on the stage for forming an electrostatic force to adsorb the wafer and a DC power source connected to the electrode and formed using a processing gas supplied into the processing chamber An operation method of a plasma processing apparatus for processing the wafer with the plasma,
The surface of the inner member constituting the inner wall surface of the processing chamber is made of a dielectric,
After cleaning the surface of the inner member, a plurality of steps of forming plasma in the processing chamber in a state where a plate-like member is placed on the stage and is adsorbed and held are performed. A method of operating a plasma processing apparatus, wherein a step of supplying electric power from the power source to the electrode and maintaining the potential of the plate-like member negative while plasma is not formed in the processing chamber during the process.
請求項6に記載のプラズマ処理装置の運転方法にであって、
前記処理室内にプラズマを形成する複数の工程の間において、前記処理室内にプラズマが形成されない状態で前記直流電源から前記ステージの上部に配置された誘電体製の膜内に配置された膜状の前記電極に電力を供給してその電位を負に維持される前記工程を実施するプラズマ処理装置の運転方法。
It is the operating method of the plasma processing apparatus according to claim 6,
Between a plurality of steps of forming plasma in the processing chamber, a film-like film disposed in a dielectric film disposed on the stage from the DC power source without plasma being formed in the processing chamber A method for operating a plasma processing apparatus, wherein the step of supplying electric power to the electrode and maintaining the potential negative is performed.
請求項6または7に記載のプラズマ処理装置の運転方法であって、
前記処理室内にプラズマを形成する複数の工程の間において、前記処理室に供給される前記電界が0になった後に前記直流電源から前記電極に電力を供給してその電位を負に維持される前記工程を実施するプラズマ処理装置の運転方法。
It is the operating method of the plasma processing apparatus of Claim 6 or 7,
During a plurality of steps of forming plasma in the processing chamber, power is supplied from the DC power source to the electrode after the electric field supplied to the processing chamber becomes zero, and the potential is maintained negative. A method for operating a plasma processing apparatus for performing the above steps.
請求項6乃至8の何れかに記載のプラズマ処理装置の運転方法であって、
前記電極がクーロン力を用いて前記ウエハ及び板状の部材を吸着するものであって、
前記プラズマが形成される1つの工程において前記処理室に供給された前記電界が0になった後に前記直流電源から前記電極に供給された電力によって前記板状の部材に形成される電位を負の値であって且つ当該プラズマが形成される1つの工程における前記板状の部材に形成される電位の値より低い所定の値に変更し、前記プラズマが形成されない状態で実施される前記工程を前記電位を当該所定の値に維持して実施するプラズマ処理装置の運転方法。
A method of operating a plasma processing apparatus according to any one of claims 6 to 8,
The electrode adsorbs the wafer and the plate-like member using Coulomb force,
In one step of forming the plasma , after the electric field supplied to the processing chamber becomes 0, the potential formed in the plate-like member by the power supplied from the DC power source to the electrode is negative . change to the plate of a predetermined value lower than the value potential which is formed on the member in one step and the plasma a value is formed, the said process is carried out in a state in which the plasma is not formed A method for operating a plasma processing apparatus, which is carried out while maintaining the potential at the predetermined value .
請求項6乃至9の何れかに記載のプラズマ処理装置の運転方法であって、
前記内側部材の表面を洗浄した後であって製品を製造するために前記ウエハを処理する運転を開始する前において、前記板状の部材の電位が負に維持されて当該板状の部材に前記処理室内の粒子を吸着させる工程を実施するプラズマ処理装置の運転方法。
An operation method of the plasma processing apparatus according to any one of claims 6 to 9,
After cleaning the surface of the inner member and before starting the operation of processing the wafer to manufacture a product, the potential of the plate-like member is maintained negative so that the plate-like member A method for operating a plasma processing apparatus for performing a step of adsorbing particles in a processing chamber.
JP2014150325A 2014-07-24 2014-07-24 Plasma processing apparatus and method of operating plasma processing apparatus Active JP6397680B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2014150325A JP6397680B2 (en) 2014-07-24 2014-07-24 Plasma processing apparatus and method of operating plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014150325A JP6397680B2 (en) 2014-07-24 2014-07-24 Plasma processing apparatus and method of operating plasma processing apparatus

Publications (2)

Publication Number Publication Date
JP2016025291A JP2016025291A (en) 2016-02-08
JP6397680B2 true JP6397680B2 (en) 2018-09-26

Family

ID=55271786

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014150325A Active JP6397680B2 (en) 2014-07-24 2014-07-24 Plasma processing apparatus and method of operating plasma processing apparatus

Country Status (1)

Country Link
JP (1) JP6397680B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6635888B2 (en) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 Plasma processing system
JP7404217B2 (en) * 2017-04-28 2023-12-25 アプライド マテリアルズ インコーポレイテッド A method for cleaning a vacuum system used in the manufacture of an OLED device, a method for vacuum deposition on a substrate for manufacturing an OLED device, and a vacuum on a substrate for manufacturing an OLED device. equipment for deposition
JP2019186579A (en) * 2019-07-31 2019-10-24 東京エレクトロン株式会社 Plasma treatment system and focus ring exchanging method

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3301408B2 (en) * 1998-04-13 2002-07-15 日本電気株式会社 Particle removing apparatus and method of removing particles in semiconductor manufacturing apparatus
JP3990076B2 (en) * 1999-06-30 2007-10-10 株式会社東芝 Manufacturing method of semiconductor device
JP2001176958A (en) * 1999-12-15 2001-06-29 Hitachi Ltd Plasma processing method
CN100477107C (en) * 2004-01-28 2009-04-08 东京毅力科创株式会社 Method for cleaning process chamber of substrate processing apparatus, substrate processing apparatus and method for processing substrate
JP2008172038A (en) * 2007-01-12 2008-07-24 Hitachi High-Technologies Corp Plasma treatment method and plasma treatment device
JP5295748B2 (en) * 2008-12-18 2013-09-18 東京エレクトロン株式会社 Component cleaning method and storage medium
JP5976377B2 (en) * 2012-04-25 2016-08-23 東京エレクトロン株式会社 Method for controlling adhesion of fine particles to substrate to be processed and processing apparatus

Also Published As

Publication number Publication date
JP2016025291A (en) 2016-02-08

Similar Documents

Publication Publication Date Title
JP4847231B2 (en) Device to prevent contamination by exfoliation caused by electric field
KR101903831B1 (en) Plasma processing apparatus and plasma processing method
JP4418193B2 (en) Particle removal apparatus, particle removal method, and plasma processing apparatus
US8236109B2 (en) Component cleaning method and storage medium
US10069443B2 (en) Dechuck control method and plasma processing apparatus
TWI778245B (en) Plasma processing apparatus and member for plasma processing apparatus, and method for producing plasma processing apparatus and method for producing member for plasma processing apparatus
JP5973840B2 (en) Detachment control method and plasma processing apparatus
TWI567862B (en) A particle adhesion control method and a processing device for the substrate to be processed
JP4504061B2 (en) Plasma processing method
JP5281811B2 (en) Annular parts for plasma processing, plasma processing apparatus, and outer annular member
JP6462283B2 (en) Plasma processing equipment
JP6397680B2 (en) Plasma processing apparatus and method of operating plasma processing apparatus
KR100782621B1 (en) Plasma processing method and plasma processing device
JP2007324154A (en) Plasma treating apparatus
JP2002100614A (en) Apparatus and method for manufacturing semiconductor
US20090288942A1 (en) Particulate capture in a plasma tool
JP2002100573A (en) System and method for producing semiconductor
JPH11274140A (en) Plasma etching method and apparatus therefor
JP7286026B1 (en) Recycling method of inner wall member
WO2023228232A1 (en) Method for reproducing inner wall member
JP2008311384A (en) Plasma treatment equipment
JPH04103770A (en) Plasma processing device
JP2004296753A (en) Plasma exposure component and its surface treatment method as well as plasma processing device
JPH08241887A (en) Plasma processor and plasma processing method

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20170117

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20170124

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170721

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20170721

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20170804

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180606

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180612

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180724

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180807

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180903

R150 Certificate of patent or registration of utility model

Ref document number: 6397680

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350