JP6357231B2 - 化学的研磨平坦化の方法 - Google Patents

化学的研磨平坦化の方法 Download PDF

Info

Publication number
JP6357231B2
JP6357231B2 JP2016528123A JP2016528123A JP6357231B2 JP 6357231 B2 JP6357231 B2 JP 6357231B2 JP 2016528123 A JP2016528123 A JP 2016528123A JP 2016528123 A JP2016528123 A JP 2016528123A JP 6357231 B2 JP6357231 B2 JP 6357231B2
Authority
JP
Japan
Prior art keywords
solubility
substrate
planarization film
changing agent
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016528123A
Other languages
English (en)
Other versions
JP2016539362A (ja
Inventor
ジェイ デヴィリアーズ,アントン
ジェイ デヴィリアーズ,アントン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2016539362A publication Critical patent/JP2016539362A/ja
Application granted granted Critical
Publication of JP6357231B2 publication Critical patent/JP6357231B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Micromachines (AREA)

Description

本願は、2013年11月8日に出願された”Method for Chemical Polishing and Planarization”と題する、米国仮特許出願第61/901,768号の利益を主張する。同出願の全ての内容は、ここに参照として援用される。
本発明は、集積回路の微細加工を含む微細加工に関する。半導体製造には、フォトリソグラフィー処理が含まれる。いくつかのリソグラフィー処理には、マイクロチップを生成する処理として、底部反射防止コーティング(BARC)材料の薄膜でウェハを覆い、それに続いて、レジストで覆い、その後に、光のパターンでウェハを露光することが含まれる。フォトリソグラフィー処理は、典型的には、ウェハにパターンを形成するのに用いられる種々の膜及びレジストを付着させるのに平坦面を必要とする。膜は、典型的には、所与の付与処理に依存して、特定の高さを有するように指定され、所定の仕様内に収まるように平坦化される。
平坦化は、通常、化学的機械研磨/平坦化(CMP)を用いて行われる。CMPは、腐食性薬剤と研磨パッドを用いて、ウェハの表面を平坦化する処理である。CMPは、多層構造の絶縁体と導電体を平坦化し得る。この平坦化は、ウェハの他の層に電子機器を積層する、又はフォトリソグラフィーのパターンの形成のためにウェハを平坦化するのに用いられる。CMPは、また、レジストを既知の高さに設定して、露光領域を最適化する、リソグラフィー露光を精巧に調整するのにも用いられる。
BARCは基板とレジスト層の間に置かれる薄膜であり、露光中の残光を吸収することで、露光中の反射光により生成される粗いエッジを防ぐ。BARCは、また、複数回の層の露光の間にも用いることができ、前に露光された層がもう一度露光されてしまうのを防ぐ。
化学的機械研磨は、ウェハの平坦な表面を提供し得るが、CMPを用いるための制限、及び用いることによる不利がある。CMPは、典型的には、スピンコートガラス(SOG)をウェハに適用して、その後に、腐食性薬剤及び物理的研磨を用いて、実行する。ゆえに、CMPは他の微細加工技術と比較して、非常に手荒な物理的研磨を伴う処理である。したがって、CMPは、集積回路の微細加工の多くの処理工程で使用することができない。例えば、CMPは、トランジスタ及び他のフロントエンドライン構造―特にゲート酸化物が露光されるときは―の微細加工には使用できない。さらに、CMP処理は、稼働に非常に費用が掛かり、行いづらく、典型的には、機能的に動作する集積回路の生成を低下させてしまう。
本明細書において開示された技術は、機械研磨を用いない化学的平坦化処理を提供する。技術には、現像可能な平坦化材料を用いること、この平坦化材料の高さを目標値まで下げることが含まれる。例えば、現像剤に可溶な底部反射防止コート(DBARC)はフォトレジストと同時に現像されるBARCである。シリコン(Si)DBARC―その他のデベロッパフィル材料―を用いて、構造化された特徴を有するウェハはCMPを用いることなく平坦化し得る。一特定例においては、ウェハ全体を相対的に多量のSi-DBARCで覆い、平坦でない構造上に平坦化膜を提供する。その後に、Si-DBARCは、酸で覆われ、Si-DBARCを可溶性にし、溶解することにより、垂直方向下方に向かって、設定された停止位置まで、ある量のSi-DBARCを除去する。ウェハを平坦化した後、半導体製造処理は継続し、あらゆるCMP工程を省くことで、時間と費用を節約し得る。本明細書において開示されるこの技術は、化学的研磨平坦化(CPP)処理と称することができる。このCPP処理により、トラックツールなどのコータ/デベロッパツールにウェハを留めたまま、一度に、複数の層(多層)に構造を形成又はそのパターンを形成したりできる。ゆえに、この方法は、プラズマエッチング工程を省き(二つのトランスポート工程を取り除く)、基板を節約することができ、それに続くパターン形成のためのレジストの選択をより柔軟にし得る。
一実施形態は、複数の工程を含む基板を平坦化する方法を含む。複数の微細加工構造が前記基板の上部を横切る方向において平坦でない形状を規定するように互いに対して高さの異なる該複数の微細加工構造を有する基板を受け取る。平坦化膜が前記基板の上部上に第一平坦面を生み出すように、前記基板に該平坦化膜を付着させる。該平坦化膜は溶解性が可変な材料を含む。その後に、溶解性変更作用剤を前記平坦化膜の第一平坦面に付着させる。前記溶解性変更作用剤が前記平坦化膜の頂部の溶解性を変更するように、前記溶解性変更作用剤を活性化する。前記平坦化膜の頂部は、前記平坦化膜の第一平坦面から前記平坦化膜内の所定の深さにまで垂直方向に延びる厚さを有する。その後に、前記平坦化膜の頂部を除去して、目標平坦面を有する前記基板を生じさせる。
もちろん、本明細書で記載するように、別々の工程についての説明を明確性の目的のためにある順序で提示する。一般的に、これらの工程は任意の適切な順序で実行され得る。また、本明細書において、別々の特徴、技術、構成等のそれぞれを、本開示の別々の箇所で説明するが、各概念は、互いに独立して実行しても、又は互いに組み合わせて実行してもよいものとする。したがって、本発明は多くの異なるやり方で組み込む、及び捉え得る。
この発明の概要では、全ての実施形態及び/又は本開示若しくは特許請求の範囲に記載された発明の付加的な新規な観点を特定しない。代わりに、この発明の概要は、別々の実施形態の予備的な検討及びこれに対応する従来技術に対する新規な点のみを提供する。本発明及び実施形態の追加の詳細及び/又は可能性としてあり得る観点については、以下にさらに説明するように、発明の詳細な説明及びこれに対応する図面を参照されたい。
本発明の種々の実施形態とそれらに伴う多くの効果についてのより完全な理解が、添付の図面とともに考慮される以下の詳細な説明を参照することにより、容易に得られる。図面は縮尺通りである必要はなく、代わりに、特徴、原理及び概念を図示することに強調が置かれている。
本明細書の実施形態による、プロセスフローを示す基板断片例の概略断面図である。
本明細書の実施形態による、プロセスフローを示す基板断片例の概略断面図である。
本明細書の実施形態による、プロセスフローを示す基板断片例の概略断面図である。
本明細書の実施形態による、プロセスフローを示す基板断片例の概略断面図である。
本明細書の実施形態による、プロセスフローを示す基板断片例の概略断面図である。
本明細書の実施形態による、プロセスフローを示す基板断片例の概略断面図である。
本明細書の実施形態による、プロセスフローを示す基板断片例の概略断面図である。
本明細書の実施形態による、プロセスフローを示す基板断片例の概略断面図である。
本明細書の実施形態による、プロセスフローを示す基板断片例の概略断面図である。
本明細書の実施形態による、プロセスフローを示す基板断片例の概略断面図である。
本明細書の実施形態による、プロセスフローを示す基板断片例の概略断面図である。
本明細書で開示される技術は、機械研磨(mechanical abrasion)を用いずに基板を平坦化し得る化学的平坦化処理を提供する。その技術には、現像可能な平坦化材料を付着させ、その後に、溶解性変更作用剤を用いて、平坦化材料の高さを目標値に減らす(slimming)ことが含まれる。化学的研磨平坦化(CPP)処理は、基板(シリコンウェハ等)が線分、台地、溝、その他の構造等の形状的な特徴を有するときに用いられ得る。
平坦化及び現像可能な材料(Si-DBARC)の薄膜は、薄膜が全ての構造を完全に覆うように、スピンコーティング、スプレーコーティング又は浸漬コーティングの技術により、基板に適用される。次に、薄いコート又は相対的に少量の溶解性変更作用剤(温度性酸発生剤(TAG)又は他の適合性のある酸)が、スピンコーティング、スプレーコーティング、蒸発暴露又は浸漬コーティングの技術を介して、適用される。その後に、溶解性変更作用剤は、平坦化膜全体の深さに影響を与える、又は平坦化膜全体の深さを変更することなく、平坦化膜(Si-DBARC)の上層(top layer)に拡散、又はそれを化学的に変化させ得る。この上層又は頂部は、例えば、平坦化膜の上面(top surface)から基板にある最も高い構造の上面にまで延びる厚さ、又は平坦化膜の上面からそのもっとも高い構造よりも上方の地点にまで延びる厚さを有し得る。TAGを用いる場合、解放する酸の量をベーキングの温度及び継続時間によって精密に制御し得る。TAGをベーキングすると、特定の量の酸を解放し、特定又は目標の深さに達する。その後に、この上層は、従来のフォトレジスト材料の現像と同様に、現像工程で溶解し、洗い流し得る。これにより、滑らかで、より一層平坦(even plane)な上面を生成する。Si-DBARCを硬くし、それを現像不可能にするため、その処理に続いてより高い温度でSi-DBARCをベーキングし得る。ゆえに、従来のCMP処理は、本明細書で開示された現像剤ベースの平坦化技術に完全取って代わられる可能性がある。また、現像剤ベースの平坦化は、リソグラフィーを介して、基板に複数の高さのものを同時にエッチングするための構造を付加することを可能にする。現状高さが異なるにもかかわらず、すでにある任意の構造の上面に一度の工程で新たな構造を生成し得る。これにより、所与のマイクロチップの微細加工処理を完了するために必要な工程数を減らす。
本明細書における技術には、ウェットスリム(wet slimming)平坦化技術が含まれる。これは、拡散が制限された現像剤の工程を用いて、実装し得る。その後にCMPが続くスピンオンガラスを用いる代わりに、本明細書における技術は、現像可能なフィルコート(fill-coat)材料を用いる。現像可能な材料は、光酸、酸及び/又はベースと相互作用し、反応に応じて溶解性が変更するものである。過去には、現像可能な材料は、付着すると一般的には共形膜(つまり、構造の形状(topography)が想定される膜)を形成していた。最近では、非共形の付着挙動を呈する材料(平坦層を生成し得る膜)が開発可能となっている。そのような現像可能な材料を生成することによって、結果として生じる膜は現像溶液と相互作用し、及び/又は特異的な溶解性(differential solubility)を有し得る(変更された溶解性を有する能力)。
溶解性変更作用剤(酸又は光酸等)は、正確な制御により、現像可能な材料に拡散し得る。これは、例えば、特定の数ナノメータ等、拡散する。本明細書における光酸は、活性化のためにリソグラフィーによる露光を必要としない。例えば、光酸はすでに露光されたものと合成され得るので、露光を必要としない。代わりに、溶解性変更作用剤の処理は、光ベースの処理を別の選択しとして利用可能なままにしつつ、温度ベース及び/又は時間ベースの処理のものであり得る。したがって、本明細書における技術は、走査処理の代わりに温度による酸発生剤処理を用い得る。光酸は、現像可能な平坦層への材料として導入され得る。この光酸材料を、その上面から下方に向かって、既知の拡散長さ、例えば、現像可能な平坦化膜によって覆われた最も高い構造の上面等にまでべーキングする。光酸を、停止する(to stop on queue)既知の長さでべーキングし得る。用いられる酸の量、べーキング時間及びべーキング温度は、平坦化膜の種類及び目標とする拡散深さに依存する。横方向の重要な次元についてのウェットスリムについての従来データを、十分なべーキングの温度、べーキングの時間及び溶解性変更作用剤を特定するのに翻訳及び補外し得る。
図1から図9は、基板を平坦化するコータ/デベロッパのツールをベースとした平坦化処理を用いて、平坦でない基板の化学的平坦化の種々の工程を図示する基板断片例の概略断面図である。第一工程は、互いに対して高さの異なる微細加工構造を有する基板を受け取る、生成する、そうでなければ提供する工程である。微細加工構造は、基板の上部を横切る方向において、平坦でない形状を規定する。図1は、平坦でない形状を有する基板105を示す。構造107は、基板105の底面108(下面)に対して構造106よりも高いことに留意する。非限定的な例として、複数の構造は、FinFET(fin field effect transistor)のフィン構造及びゲート構造であり得る。それらの構造は微細加工されているので、高さの違いは、マイクロメータのスケール又はナノメータのスケール及びそれらよりも小さい可能性がある。平坦でない基板においては、例えば、所与の基板のいくつかの構造は、数ミクロンから数十ミクロン異なっていてよく、別の基板の構造は、数ナノメータから数十ナノメータ異なっていてよい。
図2を参照する。平坦でない基板105を受け取った後、平坦化膜110が基板105の上部114上に第一平坦面112を生み出すように、平坦化膜110を基板に付着させる。平坦化膜は、溶解性が可変な材料を含む、そうでなれば、溶解性がシフトし得る組成物を有する。ゆえに、平坦化膜の少なくとも一つの構成材料は、特定の処理に応じて、及び/又は一つ以上の溶解性変更作用剤(agent)との反応に応じて、平坦化膜の溶解性をシフトし得る。そのような平坦は、当初構造に対する相対的なものであり得ることに留意する。例えば、複数の構造が40ナノメータ異なるのであれば、第一平坦面112は概ね平坦(flat)であるが、数ナノメータでの高さの不均一性があり得る。半導体のデベロッパツールで現像することのできる任意の平坦化材料を選択し得る。現像可能な材料は、所定の作用剤と相互作用し、その溶解性がシフトする。溶解性シフトは、所与の現像剤に対する溶解度を上げること又は下げることのいずれかであり得る。例えば、DBARCs又はシリコンを含むDBARCsを用いることができる。反射防止コーティングは必要とされないが、ARCを用いると、平坦化能力が一定しており、種々の種類の構造を効率的に充填ことができるという利点がある。フォトレジストも用い得るが、特定の粗さ許容度(roughness tolerance)を指定する場合は受け入れ可能な平坦化特性にするために追加的な作業を必要とする可能性がある。本明細書において、平坦化材料の他の選択肢としては、光増感剤をスピンオン炭素層(spin-on carbon layer)に付加したものがある。
図3を参照する。溶解性変更作用剤120が、平坦化膜110の第一平坦面112上に付着される。溶解性変更作用剤120は、スピンコーティング、液体分注、浸漬又は蒸気相蒸着により付着し得る。溶解性変更作用剤120は、溶解性が可変な材料と相互作用し、平坦化膜110の溶解性をシフトさせる。溶解性変更作用剤120を平坦面(基板105を横切る方向において概して平坦である)に付着させることで、Z方向高さの溶解性のシフトを実現し得る。溶解性変更作用剤120は、種々の酸を含むいくつかの材料から選択し得る。溶解性変更作用剤120は、種々の酸を含むいくつかの材料から選択し得る。平坦化膜が、例えば、ネガティブトーンレジスト(negative tone resist)のタイプである場合、溶解性変更作用剤120はベースとして選択し得る。種々の現像可能な材料と溶解性変更作用剤との適合性(compatibility)は、微細加工及びフォトリソグラフィーの当業者の知識の範囲内である。
図4を参照する。平坦化膜110の頂部115におけるZ方向高さの溶解性のシフトを生成し、平坦化膜110内の特定の場所で停止させる技術を用いる。溶解性変更作用剤120が平坦化膜110の頂部115の溶解性を変更するように、溶解性変更作用剤120は活性化される。平坦化膜110の頂部115は、例えば、平坦化膜110の第一平坦面112から、平坦化膜110内の所定の深さ117にまで垂直方向(vertically)に延びる厚さを有し得る。必要ではないが、この所定の深さは、平坦化膜110によって覆われた構造特徴のもっとも高い所まで延び得る。
溶解性変更作用剤120を活性化することには、溶解性変更作用剤120が平坦化膜に所定の深さまで拡散し、平坦化膜の頂部115の溶解性を変更するように、溶解性変更作用剤120を加熱することが含まれる。図10と図11は、この活性化プロセスの拡大概略図を示す。図10は図3に対応し、平坦化膜110の第一平坦面112に付着された溶解性変更作用剤120を示す。基板及び/又は溶解性変更作用剤120は加熱され、活性化される。これにより、溶解性変更作用剤120は平坦化膜110に向かって下方に拡散する。図11は、溶解性変更作用剤120の一般的な拡散フローを図示する矢印を示す。拡散は、拡散が自己限定的(self-limited)になるように活性化を止めるか、溶解性変更作用剤120の特定の量を提供することによって、下方の所定の深さ117まで起きる。作用剤の分子量も自己限定的な拡散長(diffusion length)となるように選択され得る。溶解性変更作用剤を加熱することには、溶解性変更剤の拡散が概ね所定の深さ117のところで停止するように加熱パラメータを制御することが含まれる。一実施形態例においては、基板積層体は、約80℃と100℃との間の温度に加熱される。
したがって、活性化は特定された拡散長に依存し得る。この拡散の駆動又は活性化工程は、典型的には、加熱することである。別の実施形態においては、極端紫外(EUV)放射、紫外(UV)放射又は可視光を用い得る。マイクロ波による熱も選択肢として用い得る。あるいは、活性化を駆動するのに、加熱とUV放射が同時に行われてもよい。UVは従来の光酸発生剤(PAG)を光酸にするのに用いられ得る。いくつかの酸又は溶解性変更作用剤は自己活性化である、又は熱による活性化がなくとも拡散し得る。自己活性化作用剤は付着し、所定の深さの拡散に十分な期間は平坦化膜上に残る。いくつかの自己活性化作用剤は、可溶性材料の単分子層を実質的に生成する自己限定的な拡散の深さを有する。ゆえに、複数のコーティングが適用され、目標深さ(構造よりも上の目標高さ)に達するまでに除去され得る。
図5を参照する。平坦化膜110の頂部115の溶解性を変更した後、頂部115が除去されて、目標平坦面127を有する基板105を生じる。除去は、化学現像剤及び頂部115を洗い流される溶液に変換するデベロッパツールを用いて実行し得る。膜のこの領域(上部)は可溶である(とともに、その下の領域は不溶である)ため、光酸(可溶)及び影響を受けた平坦化膜(可溶)を洗い流すことができる。
この点において、目標平坦面127は、その面のいくつかの部分は、基板105の構造の上面(平坦化膜の付着前から存在している)であり、その面の他の部分は、平坦化膜110であるということおいて、複数材料の面を有している。平坦化膜100のこれ以上の溶解性シフトを防止するべく、平坦化膜が溶解性変更作用剤とこれ以上反応しないようにするのに十分な温度にまで、基板を熱処理する、露光後べーキングが実行され得る。
デベロッパツールを介して生成された平坦面127により、追加の微細加工技術を継続し得る。例えば、後続のパターニングが平坦面上で継続し、平坦化材料は、その下の異なる高さの特徴を同時にエッチングする必要性に応じて、エッチングする。図6を参照する。BARC層132が適用され、それに続いて、レジスト層134を付着し得る。その後に、レジスト層134は、電磁波放射のあるパターンで露光し、レジスト層134内に潜像パターンを生成する。図7は、現像後のパターンが形成されたレジスト138を示す。その後に、パターンが形成されたレジスト138を、図8の結果で示すように、基板積層体にフィーチャ(features)をエッチングするのに用い得る。複数の異なる高さで複数のフィーチャを同時にエッチングし得ることに留意する。エッチング操作の後、図9に示すように、パターンが形成された基板を残しつつ、マスク層を除去し得る。ゆえに、本明細書における技術により、平坦でない基板が、デベロッパツールでの化学的平坦化を用いて効率的に平坦化される。そのようなデベロッパツールベースでの平坦化は、効率性とコストを改善し得る。同様に、頑丈さに欠ける構造又は必要な薄膜を維持した研磨を使用しない平坦化を提供する。
前述の記載においては、処理システムの特定の構造、そこで用いられる様々なコンポーネント及び処理の記載等の特定の詳細が説明している。しかし、本明細書における技術は、それら特定の詳細とは異なる(depart from)他の実施形態で実施してもよく、そして、そのような特定の詳細は、説明することを目的としているのであって、限定することを目的とはしていないと理解するべきである。本明細書において開示した実施形態は、添付の図面を参照して記載している。同様に、説明することを目的として、特定の番号、材料及び構成が完全な理解を提供するべく説明している。そうであっても、実施形態は、そのような特定の詳細がなくても実施される可能性がある。実質的に同じ機能的構成を有するコンポーネントは、類似する参照文字で示したため、冗長な委細は省略されている可能性がある。
様々な技術を、複数の個別の動作として記載していることにより、種々の実施形態を理解するのに役立っている。記載の順序は、それらの動作が必ず順序依存していることを示唆するものとして解釈するべきではない。全くもって、これらの動作は、提示した順序で実行する必要はない。記載した動作は、記載した実施形態とは異なる順序で実行してもよい。追加の実施形態においては、様々な追加の動作を実行してもよく、及び/又は記載の動作を省略してもよい。
本明細書において用いた「基板」又は「目標基板」は、概して、本発明に従って処理する対象物(object)を示す。基板は、装置、特には、半導体又は他の電子装置の任意の重要な部分又は構造を含んでよい。また、基板は、例えば、半導体ウエハー、レチクル等のベース基板構造又は、薄膜フィルム等のベース基板構造の上又は上位の層でもよい。つまり、基板は特定のベース構造、下層又は上層、パターン処理済又はパターン未処理のものには限定されず、むしろ、そのような層又はベース構造並びにそのような層及び/又はベース構造の任意の組み合わせを含むことが検討される。本明細書は、特定の種類の基板を参照している可能性があるが、説明することのみを目的としている。
本技術分野の当業者は、本発明と同じ目的を達成しつつ、上記に説明した技術の動作に対してなされる多くのバリエーションがあり得ることも理解するだろう。そのようなバリエーションは、本開示の範囲内であることが意図される。そのため、本発明の実施形態の上述の記載は、限定することを意図していない。むしろ、本発明の実施形態についての任意の限定事項は、次の特許請求の範囲で提示される。

Claims (20)

  1. 基板を平坦化する方法であって、
    第1の微細加工構造及び第2の微細加工構造を有する基板を受け取る工程であって、該第1の微細加工構造及び該第2の微細加工構造が前記基板の上部を横切る方向において平坦でない形状を規定するように、該第1の微細加工構造は該第2の微細加工構造に対して高さ異なる受け取る工程と、
    前記基板に平坦化膜を付着させ、該平坦化膜が前記基板の上部上に第一平坦面を生み出す工程であって、該平坦化膜は溶解性が可変な材料を含む工程と、
    溶解性変更作用剤を前記平坦化膜の第一平坦面に付着させる工程と、
    前記溶解性変更作用剤が前記平坦化膜の頂部の溶解性を変更するように、前記溶解性変更作用剤を活性化する工程であって、前記平坦化膜の頂部は、前記平坦化膜の第一平坦面から前記平坦化膜内の所定の深さにまで垂直方向に延びる厚さを有する工程と、
    前記平坦化膜の頂部を除去して、目標平坦面を有する前記基板を生じさせる工程であって、該目標平坦面は前記基板の面と前記平坦化膜の面とを有する、生じさせる工程と、
    前記頂部の除去の後、前記目標平坦面を通じて前記基板の第1の微細加工構造及び第2の微細加工構造の両方にフィーチャをエッチングする工程と、を含む方法。
  2. 前記溶解性変更作用剤を活性化する工程は、前記溶解性変更作用剤が前記所定の深さにまで前記平坦化膜に拡散し、前記平坦化膜の頂部の溶解性を変更するように、前記溶解性変更作用剤を加熱する工程を含む、請求項1に記載の方法。
  3. 前記溶解性変更作用剤を加熱する工程は、前記溶解性変更作用剤の拡散が、概ね前記深さのところで停止するように、加熱パラメータを制御する工程を含む、請求項2に記載の方法。
  4. 加熱する工程は、前記基板を約80℃と100℃との間の温度に加熱することを含む、請求項2に記載の方法。
  5. 前記平坦化膜を付着させる工程は、現像可能な反射防止コーティングを付着させる工程を含む、請求項1に記載の方法。
  6. 前記現像可能な反射防止コーティングは、シリコンを含む、請求項5に記載の方法。
  7. 前記溶解性変更作用剤を付着させる工程は、蒸発曝露付着を用いることを含む、請求項1に記載の方法。
  8. 前記溶解性変更作用剤を付着させる工程は、液体付着を含む、請求項1に記載の方法。
  9. 前記溶解性変更作用剤は酸である、請求項1に記載の方法。
  10. 前記溶解性変更作用剤を付着させる工程は、光酸発生剤を用いる、請求項9に記載の方法。
  11. 前記溶解性変更作用剤は、熱で活性化する酸発生剤である、請求項1に記載の方法。
  12. 前記溶解性変更作用剤はベースであり、前記平坦化膜はポジティブトーンレジストである、請求項1に記載の方法。
  13. 前記平坦化膜の頂部を除去する工程は、前記平坦化膜の頂部を溶解する化学デベロッパを用いることを含む、請求項1に記載の方法。
  14. 前記所定の深さは、前記第一平坦面から、前記基板の所与の構造であって、前記基板の他の構造よりも高い所与の構造までの距離と実質的に等しい、請求項1に記載の方法。
  15. 前記平坦化膜がこれ以上溶解性変更作用剤と反応しないように、前記基板を熱処理する工程をさらに含む、請求項1に記載の方法。
  16. フォトリソグラフィーを介して、前記目標平坦面にパターンが形成されたフォトレジストの層を形成する工程をさらに含む、請求項1に記載の方法。
  17. 前記フィーチャのエッチングは、前記パターンが形成されたフォトレジストの層をマスクとして用い、請求項16に記載の方法。
  18. 基板を平坦化する方法であって、
    第1の微細加工構造及び第2の微細加工構造を有する基板を受け取る工程であって、該第1の微細加工構造及び該第2の微細加工構造が前記基板の上部を横切る方向において平坦でない形状を規定するように、該第1の微細加工構造は該第2の微細加工構造に対して高さ異なる受け取る工程と、
    前記基板に平坦化膜を付着させ、該平坦化膜が前記基板の上部上に第一平坦面を生み出す工程であって、該平坦化膜は、溶解性が可変な材料を含む工程と、
    溶解性変更作用剤を前記平坦化膜の第一平坦面に付着させる工程であって、該溶解性変更作用剤は所定の深さにまで前記平坦化膜の頂部に拡散し、前記平坦化膜の頂部の溶解性を変更し、前記平坦化膜の頂部は、前記平坦化膜の第一平坦面から前記平坦化膜内の該所定の深さにまで垂直方向に延びる厚さを有する工程と、
    前記平坦化膜の頂部を除去して、目標平坦面を有する前記基板を生じさせる工程であって、該目標平坦面は前記基板の面と前記平坦化膜の面とを有する、生じさせる工程と、
    前記頂部の除去の後、前記目標平坦面を通じて前記基板の第1の微細加工構造及び第2の微細加工構造の両方にフィーチャをエッチングする工程と、を含む方法。
  19. 前記溶解性変更作用剤は、前記第一平坦面に付着した前記溶解性変更作用剤の量に基づいた前記所定の深さにまで前記平坦化膜の頂部に拡散する、請求項18に記載の方法。
  20. 前記溶解性変更作用剤は、前記第一平坦面に前記溶解性変更作用剤が留まる時間に基づいた前記所定の深さにまで前記平坦化膜の頂部に拡散する、請求項19に記載の方法。
JP2016528123A 2013-11-08 2014-11-04 化学的研磨平坦化の方法 Active JP6357231B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361901768P 2013-11-08 2013-11-08
US61/901768 2013-11-08
PCT/US2014/063886 WO2015069646A1 (en) 2013-11-08 2014-11-04 Method for chemical polishing and planarization

Publications (2)

Publication Number Publication Date
JP2016539362A JP2016539362A (ja) 2016-12-15
JP6357231B2 true JP6357231B2 (ja) 2018-07-11

Family

ID=53041998

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016528123A Active JP6357231B2 (ja) 2013-11-08 2014-11-04 化学的研磨平坦化の方法

Country Status (5)

Country Link
US (1) US9378974B2 (ja)
JP (1) JP6357231B2 (ja)
KR (2) KR101988193B1 (ja)
TW (1) TWI579897B (ja)
WO (1) WO2015069646A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9547743B2 (en) * 2015-02-25 2017-01-17 Kabushiki Kaisha Toshiba Manufacturing method for a semiconductor device, pattern generating method and nontransitory computer readable medium storing a pattern generating program
US10096528B2 (en) * 2016-05-13 2018-10-09 Tokyo Electron Limited Critical dimension control by use of a photo agent
KR20230074638A (ko) 2018-04-16 2023-05-30 어플라이드 머티어리얼스, 인코포레이티드 임시 및 영구 접합을 사용하는 다중 적층 광학 요소들
US11393694B2 (en) * 2018-11-13 2022-07-19 Tokyo Electron Limited Method for planarization of organic films
JP7312645B2 (ja) * 2019-08-26 2023-07-21 東京エレクトロン株式会社 基板処理方法、基板処理装置、及び記憶媒体
US20210294148A1 (en) * 2020-03-17 2021-09-23 Tokyo Electron Limited Planarizing Organic Films
JP2022099428A (ja) * 2020-12-23 2022-07-05 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 犠牲層の上部を除去する方法、それに用いられる犠牲溶液および酸性水溶液
WO2023028236A1 (en) * 2021-08-25 2023-03-02 Geminatio, Inc. In-resist process for high density contact formation

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916819A (en) * 1996-07-17 1999-06-29 Micron Technology, Inc. Planarization fluid composition chelating agents and planarization method using same
EP0887847A1 (en) * 1997-04-15 1998-12-30 STMicroelectronics S.r.l. Process of final passivation of integrated circuit devices
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
KR100319621B1 (ko) * 1999-05-14 2002-01-05 김영환 혼성신호 반도체 소자의 제조방법
DE10240748B4 (de) 2002-08-29 2010-04-01 Qimonda Ag Verfahren zur Planarisierung einer Halbleiterprobe
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP2008513229A (ja) * 2004-09-21 2008-05-01 モレキュラー・インプリンツ・インコーポレーテッド インサイチュ嵌込み構造物形成方法
US8357616B2 (en) 2005-04-14 2013-01-22 President And Fellows Of Harvard College Adjustable solubility in sacrificial layers for microfabrication
US7750388B2 (en) * 2007-12-20 2010-07-06 International Business Machines Corporation Trench metal-insulator metal (MIM) capacitors
KR101668505B1 (ko) 2009-02-19 2016-10-28 브레우어 사이언스 인코포레이션 산-민감성, 현상제-용해성 바닥부 반사방지 코팅
US8883407B2 (en) * 2009-06-12 2014-11-11 Rohm And Haas Electronic Materials Llc Coating compositions suitable for use with an overcoated photoresist
US8507191B2 (en) * 2011-01-07 2013-08-13 Micron Technology, Inc. Methods of forming a patterned, silicon-enriched developable antireflective material and semiconductor device structures including the same
KR20130015429A (ko) * 2011-08-03 2013-02-14 삼성전자주식회사 에치-백 공정을 이용한 패턴 형성 방법
US8703004B2 (en) * 2011-11-14 2014-04-22 Kabushiki Kaisha Toshiba Method for chemical planarization and chemical planarization apparatus

Also Published As

Publication number Publication date
TW201541502A (zh) 2015-11-01
JP2016539362A (ja) 2016-12-15
KR20160083080A (ko) 2016-07-11
WO2015069646A1 (en) 2015-05-14
TWI579897B (zh) 2017-04-21
US9378974B2 (en) 2016-06-28
KR20180119705A (ko) 2018-11-02
KR101988193B1 (ko) 2019-06-11
US20150140825A1 (en) 2015-05-21

Similar Documents

Publication Publication Date Title
JP6357231B2 (ja) 化学的研磨平坦化の方法
CN107112212B (zh) 使用接枝聚合物材料图案化基底
TWI582830B (zh) 極紫外光光阻蝕刻耐久性改良及圖案崩塌減輕
TWI585822B (zh) 基板上之接觸窗開口的圖案化方法
CN102084300B (zh) 超精细图案化掩模、其生产方法以及将其用于形成超精细图案的方法
JP6196739B2 (ja) 原子層堆積を用いずに自己整合ダブルパターニングを行う方法
CN107660277B (zh) 用于对衬底进行平坦化的***和方法
JP2019514066A (ja) 複数の材料を有する層を用いて基板をパターン化する方法
JP7371308B2 (ja) 有機膜の平面化法
JP2010080903A (ja) パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置
KR101096194B1 (ko) 반도체 소자의 패턴 형성 방법
TW202117808A (zh) 在基板上調整膜應力變遷的方法
KR20080025818A (ko) 하드 마스크 형성 방법
TWI817027B (zh) 旋塗且藉cvd法沉積之有機膜的平坦化方法
CN108231547B (zh) 半导体装置的形成方法
JP2012109322A (ja) パターン形成方法
JP2023517747A (ja) スピンオン膜の平坦化
KR100866681B1 (ko) 반도체 소자의 패턴 형성방법
Shibayama et al. New Approach for ArFi Extension by Dry Development Rinse Process
TW202314793A (zh) 經由使用雙層系統之增強無凍結反間隔形成移除材料覆蓋層的方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170620

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170908

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20171115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180522

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180615

R150 Certificate of patent or registration of utility model

Ref document number: 6357231

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250