JP6307258B2 - Laminate for fine pattern formation - Google Patents

Laminate for fine pattern formation Download PDF

Info

Publication number
JP6307258B2
JP6307258B2 JP2013255932A JP2013255932A JP6307258B2 JP 6307258 B2 JP6307258 B2 JP 6307258B2 JP 2013255932 A JP2013255932 A JP 2013255932A JP 2013255932 A JP2013255932 A JP 2013255932A JP 6307258 B2 JP6307258 B2 JP 6307258B2
Authority
JP
Japan
Prior art keywords
mask layer
concavo
convex structure
mold
laminate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2013255932A
Other languages
Japanese (ja)
Other versions
JP2015015448A (en
Inventor
潤 古池
潤 古池
布士人 山口
布士人 山口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Asahi Kasei Corp
Original Assignee
Asahi Kasei Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asahi Kasei Corp filed Critical Asahi Kasei Corp
Priority to JP2013255932A priority Critical patent/JP6307258B2/en
Publication of JP2015015448A publication Critical patent/JP2015015448A/en
Application granted granted Critical
Publication of JP6307258B2 publication Critical patent/JP6307258B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、被処理体に微細パタンを形成するために用いられる微細パタン形成用積層体に関し、特に、被処理体上に高いアスペクト比を有する微細パタンの形成が可能な微細パタン形成用積層体に関する。   The present invention relates to a laminate for forming a fine pattern used for forming a fine pattern on an object to be processed, and in particular, a laminate for forming a fine pattern capable of forming a fine pattern having a high aspect ratio on the object to be processed. About.

従来、LSI製造における微細パタン加工技術として、フォトリソグラフィ技術が多く用いられてきた。しかし、フォトリソグラフィ技術では、露光に用いる光の波長よりも小さなサイズをパタンとする加工が困難という問題がある。また、他の微細パタン加工技術としては、電子線描画装置によるマスクパタン描画技術(EB法)がある。しかし、EB法では、電子線により直接マスクパタンを描画するため、描画パタンが多いほど描画時間が増加し、パタン形成までのスループットが大幅に低下するという問題がある。また、フォトリソグラフィ用露光装置におけるマスク位置の高精度制御や、EB法用露光装置における電子線描画装置の大型化等により、これらの方法では、装置コストが高くなるという問題もあった。   Conventionally, a photolithography technique has been often used as a fine pattern processing technique in LSI manufacturing. However, the photolithography technique has a problem that it is difficult to process a pattern having a size smaller than the wavelength of light used for exposure. Another fine pattern processing technique is a mask pattern drawing technique (EB method) using an electron beam drawing apparatus. However, in the EB method, since the mask pattern is directly drawn by the electron beam, there is a problem that the drawing time increases as the drawing pattern increases, and the throughput until the pattern formation is significantly reduced. In addition, these methods also have a problem that the apparatus cost increases due to high-precision control of the mask position in the exposure apparatus for photolithography and the enlargement of the electron beam drawing apparatus in the exposure apparatus for the EB method.

これらの問題点を解消し得る微細パタン加工技術として、ナノインプリント技術が知られている。ナノインプリント技術は、ナノスケールの微細パタンが形成されたモールドを、被転写基板表面に形成されたレジスト膜に押圧することで、モールドに形成された微細パタンを、被転写基板表面に転写形成する。   Nanoimprint technology is known as a fine pattern processing technology that can eliminate these problems. In the nanoimprint technology, a mold on which a nanoscale fine pattern is formed is pressed against a resist film formed on the surface of the transfer substrate, whereby the fine pattern formed on the mold is transferred and formed on the surface of the transfer substrate.

図1A及び図1Bは、ナノインプリント法の一例を示す説明図である。図1Aにおいては、所望の基材(被処理体)1001表面に微細パタンを形成するため、ナノインプリント法を適用し、モールド1002に形成された凹凸構造を被処理体1001に押圧している。   1A and 1B are explanatory diagrams illustrating an example of a nanoimprint method. In FIG. 1A, in order to form a fine pattern on the surface of a desired base material (object to be processed) 1001, a nanoimprint method is applied to press the uneven structure formed in the mold 1002 against the object to be processed 1001.

微細パタン1003を被処理体1001の加工に用いるマスクとして使用する場合には、微細パタン1003は、被処理体1001を加工する際のマスクとなる転写材で構成されている。転写の際は、残膜Tを薄くする必要がある。残膜Tを薄くするためには、転写材の塗工膜厚を薄くし、且つ、大きな圧力で長時間押圧する等の必要がある。しかし、塗工膜厚を薄くすると、被処理体1001表面に存在する不陸やパーティクルの影響を受けやすくなるばかりでなく、モールド1002の凹凸構造への転写材の充填不良や、気泡の混入といった問題が生じる。また、長時間押圧すると、スループット性が低下する。更に、薄い残膜を均等に形成するために、押圧分布の小さな特殊な装置を使用する必要もある。特に、大面積で均質な薄い残膜を形成することは、非常に困難であることが知られている。このように課題が多くあるため、産業上優位とされるナノインプリント法の大面積の転写、簡便さやスループット性というメリットを活かしきれていない。   In the case where the fine pattern 1003 is used as a mask used for processing the object to be processed 1001, the fine pattern 1003 is made of a transfer material that serves as a mask when the object 1001 is processed. At the time of transfer, it is necessary to make the remaining film T thin. In order to make the remaining film T thin, it is necessary to reduce the coating film thickness of the transfer material and to press it with a large pressure for a long time. However, when the coating film thickness is reduced, not only is it easily affected by unevenness and particles existing on the surface of the object to be processed 1001, but the transfer material is poorly filled into the concavo-convex structure of the mold 1002 and air bubbles are mixed. Problems arise. Moreover, when it presses for a long time, throughput property will fall. Furthermore, in order to uniformly form a thin residual film, it is necessary to use a special device having a small pressure distribution. In particular, it is known that it is very difficult to form a uniform thin residual film with a large area. Since there are many problems in this way, it has not been able to take advantage of the advantages of the nanoimprint method, which is regarded as industrially superior, such as large area transfer, simplicity and throughput.

一方で、被処理体1001上に、高いアスペクト比を有する微細パタンを形成する場合は、モールド1002表面に形成する凹凸構造のアスペクト比を高くする必要がある。しかし、モールド1002表面に形成する凹凸構造のアスペクト比を高くすると、充填不良が生じやすく、また、モールド1002を剥離する際に、微細パタン1003の破壊に代表される離型不良が生じやすい。図1Bに示すように、被処理体1001上に高いアスペクト比を有する微細パタンを形成するため、被処理体1001上に有機層1004を設け、この有機層1004上に微細パタン1003(マスク層)を形成し、微細パタン1003をマスクと見立てて有機層1004を加工する方法が提案されている。しかしながら、微細パタン1003を有機層1004の加工に用いるマスクとして使用する場合にも、上述した問題と同様の問題が存在する。   On the other hand, when a fine pattern having a high aspect ratio is formed on the object 1001 to be processed, it is necessary to increase the aspect ratio of the concavo-convex structure formed on the surface of the mold 1002. However, when the aspect ratio of the concavo-convex structure formed on the surface of the mold 1002 is increased, filling defects are likely to occur, and when the mold 1002 is peeled off, mold release defects typified by destruction of the fine pattern 1003 are likely to occur. As shown in FIG. 1B, in order to form a fine pattern having a high aspect ratio on the object 1001, an organic layer 1004 is provided on the object 1001, and a fine pattern 1003 (mask layer) is formed on the organic layer 1004. And a method of processing the organic layer 1004 using the fine pattern 1003 as a mask has been proposed. However, when the fine pattern 1003 is used as a mask used for processing the organic layer 1004, the same problem as described above exists.

このような中で、残膜Tが薄い又は残膜Tが無い微細マスクパタンの形成方法が提案されている(特許文献1参照)。特許文献1においては、まず、凹凸構造を表面に具備した型の凹凸構造上にマスク材料の膜を直接製膜する。続いて、マスク材料膜に対し、エッチバックをかけることにより、残膜Tを薄く又はゼロにする(型の凹凸構造上に配置されたマスク材料膜の膜厚を薄くする)。その後、マスク材料上に基材を貼り合わせ、最後に、型側をアッシング処理することで、型の微細構造を排除して残膜Tの無い微細マスクパタンを得ている。   Under such circumstances, a method of forming a fine mask pattern with a thin residual film T or no residual film T has been proposed (see Patent Document 1). In Patent Document 1, first, a film of a mask material is directly formed on a concavo-convex structure of a type having a concavo-convex structure on the surface. Subsequently, the residual film T is made thin or zero by applying etch back to the mask material film (the film thickness of the mask material film disposed on the uneven structure of the mold is made thin). Thereafter, a base material is bonded onto the mask material, and finally, the mold side is subjected to an ashing process, thereby eliminating a fine structure of the mold and obtaining a fine mask pattern having no residual film T.

特開2011−66273号公報JP 2011-66273 A

しかしながら、特許文献1に記載の微細マスク形成方法においては、残膜の薄い又は残膜の無い微細マスクパタンを得るまでの総工程数が多く、複雑である。また、加工対象となる無機基材表面に、残膜の薄い又は残膜の無いマスク層を形成するまでのスループット性が良好ではないこと、マスク材料膜全体をエッチバックする必要があるため大面積でのマスク形成が困難であることは、想像に難くない。   However, the fine mask forming method described in Patent Document 1 is complicated because the total number of steps required to obtain a fine mask pattern with a thin residual film or no residual film is large. In addition, the surface area of the inorganic base material to be processed is not good in throughput until a mask layer having a thin residual film or no residual film is formed, and the entire mask material film needs to be etched back, resulting in a large area. It is not difficult to imagine that it is difficult to form a mask.

本発明は、かかる点に鑑みてなされたものであり、残膜の薄い又は残膜の無い微細パタンを被処理体上に容易に形成できると共に、該微細パタンを加工マスクとして容易に被処理体を微細加工することが可能な微細パタン形成用積層体を提供することを目的とする。   The present invention has been made in view of the above points, and can easily form a fine pattern having a thin residual film or no residual film on a target object, and can easily use the fine pattern as a processing mask. An object of the present invention is to provide a laminate for forming a fine pattern that can be finely processed.

本発明者らは、上記課題を解決すべく鋭意検討した結果、被処理体に微細パタンを形成するために用いる微細パタン形成用積層体において、被処理体の表面に貼合されるマスク層の表面粗さを所定の値以下にすることで、貼合不良を抑制でき、その結果、残膜の薄い又は残膜の無い微細パタンを容易に形成できることを見出した。即ち、本発明は、以下の通りである。   As a result of intensive studies to solve the above-mentioned problems, the present inventors have found that the mask layer to be bonded to the surface of the object to be processed in the fine pattern forming laminate used for forming the fine pattern on the object to be processed. It has been found that by adjusting the surface roughness to a predetermined value or less, poor bonding can be suppressed, and as a result, a fine pattern having a thin residual film or no residual film can be easily formed. That is, the present invention is as follows.

本発明の微細パタン形成用積層体は、表面に凹凸構造を有するモールドと、第1のマスク層の加工時にマスクとして機能する第2のマスク層と、前記モールドの凹凸構造及び前記第2のマスク層を覆うように設けられた前記第1のマスク層と、を具備し、前記第2のマスク層は、少なくとも前記凹凸構造の凹部内に設けられると共に、前記第1のマスク層の表面粗さRaは500nm以下であることを特徴とする。
The laminate for forming a fine pattern according to the present invention includes a mold having a concavo-convex structure on the surface, a second mask layer functioning as a mask when processing the first mask layer, the concavo-convex structure of the mold, and the second mask. The first mask layer provided so as to cover the layer, and the second mask layer is provided at least in the recess of the concavo-convex structure, and the surface roughness of the first mask layer Ra is 500 nm or less.

この構成によれば、第1のマスク層の表面粗さが所定の値以下であることから、被処理体に微細パタン形成用積層体を貼り合わせる際の貼合性を、被処理体面内において向上できる。このため、モールドの凹凸構造の配列精度を反映した第2のマスク層を、第1のマスク層の厚み精度を反映させた状態にて、被処理体上に転写付与することが可能となる。即ち、被処理体の面内に高精度に配列した第2のマスク層を、高精度な膜厚分布を維持し設けることが可能となる。よって、被処理体に転写付与された第2のマスク層側から第1のマスク層をエッチング処理することにより、被処理体面内に精度高く、第1のマスク層及び第2のマスク層により構成された高いアスペクト比を有する微細マスクパタンを設けることが可能となる。   According to this configuration, since the surface roughness of the first mask layer is a predetermined value or less, the bonding property when the fine pattern forming laminate is bonded to the object to be processed is within the surface of the object to be processed. It can be improved. For this reason, it becomes possible to transfer and apply the second mask layer reflecting the arrangement accuracy of the uneven structure of the mold onto the object to be processed in a state reflecting the thickness accuracy of the first mask layer. That is, the second mask layer arranged with high accuracy in the surface of the object to be processed can be provided while maintaining a highly accurate film thickness distribution. Therefore, the first mask layer is etched from the side of the second mask layer transferred to the object to be processed, so that the surface of the object to be processed is accurately formed by the first mask layer and the second mask layer. It is possible to provide a fine mask pattern having a high aspect ratio.

本発明の微細パタン形成用積層体においては、前記凹凸構造の凸部頂部位置(S)と、前記凹凸構造の凹部内部に形成された前記第2のマスク層の前記第1のマスク層との界面位置(Scc)と、の間の距離(lcc)及び前記凹凸構造の深さ(h)が下記式(1)を満たし、前記凸部頂部位置(S)と凸部上に形成された前記第2のマスク層の頂部位置(Scv)との間の距離(lcv)と、前記深さ(h)及び前記距離(lcc)が下記式(2)を満たすことが好ましい。
式(1)
0<lcc<1.0h
式(2)
0≦lcv≦(h−lcc)/2
In the laminate for forming a fine pattern according to the present invention, the top position (S) of the convex portion of the concavo-convex structure and the first mask layer of the second mask layer formed inside the concave portion of the concavo-convex structure. The distance (lcc) between the interface position (Scc) and the depth (h) of the concavo-convex structure satisfy the following formula (1), and the protrusion top position (S) and the protrusion formed on the protrusion It is preferable that the distance (lcv) between the top position (Scv) of the second mask layer, the depth (h), and the distance (lcc) satisfy the following formula (2).
Formula (1)
0 <lcc <1.0h
Formula (2)
0 ≦ lcv ≦ (h−lcc) / 2

この構成によれば、凹凸構造の凹部内部を埋めるように第2のマスク層が配置され、凹凸構造の凸部上部には非常に薄い第2のマスク層が配置され、又は第2のマスク層が配置されないので、被処理体に微細パタン形成用積層体を貼り合わせて被処理体上に第1のマスク層及び第2のマスク層を転写した時に、被処理体上に設けられた第1のマスク層の微細パタン凹部底部に配置される第2のマスク層の厚みを、薄く又はない状態にすることができるので、後の工程で残膜処理を省くことができる。これにより、残膜の薄い又は残膜の無い第2のマスク層/第1のマスク層/被処理体からなる積層体を得ることが可能となり、第1のマスク層の加工精度が向上する。このため、高いアスペクト比を有する微細マスクパタンを被処理体上に容易に形成可能となる。   According to this configuration, the second mask layer is disposed so as to fill the concave portion of the concavo-convex structure, and the very thin second mask layer is disposed on the convex portion of the concavo-convex structure, or the second mask layer Therefore, when the first pattern layer and the second mask layer are transferred onto the object to be processed, the first mask layer provided on the object to be processed is transferred. Since the thickness of the second mask layer disposed on the bottom of the fine pattern recess of the mask layer can be reduced or eliminated, the remaining film treatment can be omitted in a later step. As a result, it is possible to obtain a laminate composed of the second mask layer / first mask layer / object to be processed with a thin residual film or no residual film, and the processing accuracy of the first mask layer is improved. Therefore, a fine mask pattern having a high aspect ratio can be easily formed on the object to be processed.

また、本発明の微細パタン形成用積層体においては、前記凸部頂部位置(S)と前記第1のマスク層の表面との間の距離(lor)、前記凹凸構造の平均ピッチ(Pav)及び前記距離(lcv)が、下記式(3)を満たすことが好ましい。
式(3)
lcv<lor≦10Pav
In the laminate for forming a fine pattern of the present invention, the distance (lor) between the top position (S) of the convex portion and the surface of the first mask layer, the average pitch (Pav) of the concave-convex structure, and It is preferable that the distance (lcv) satisfies the following formula (3).
Formula (3)
lcv <lor <10 Pav

この構成によれば、第1のマスク層の膜厚が凹凸構造に応じ決定される。第1のマスク層の厚みが上記式(3)を満たすことにより、微細パタン形成用積層体を被処理体に貼合する際の、第1のマスク層の貼合性を向上できる。更に、上記範囲を満たすことで、第1のマスク層の膜厚精度を向上できる。即ち、被処理体に転写付与される第1のマスク層の膜厚精度をより高めることが可能となる。   According to this configuration, the film thickness of the first mask layer is determined according to the concavo-convex structure. When the thickness of the first mask layer satisfies the above formula (3), the bonding property of the first mask layer when the laminate for forming a fine pattern is bonded to an object to be processed can be improved. Furthermore, by satisfying the above range, the film thickness accuracy of the first mask layer can be improved. That is, it is possible to further increase the film thickness accuracy of the first mask layer transferred and applied to the object to be processed.

更に、本発明の微細パタン形成用積層体においては、上記第1のマスク層を構成する樹脂は、環状部位を有するポリマ又はオリゴマを含むことが好ましい。   Furthermore, in the laminate for forming a fine pattern according to the present invention, the resin constituting the first mask layer preferably contains a polymer or an oligomer having an annular portion.

この構成によれば、転写性が更に向上すると共に、第1のマスク層を加工マスクとした際の、被処理体の加工精度を向上させることができる。特に、被処理体上に設けられる微細パタンの形状精度を向上させることができる。   According to this configuration, the transferability is further improved, and the processing accuracy of the object to be processed when the first mask layer is used as a processing mask can be improved. In particular, it is possible to improve the shape accuracy of the fine pattern provided on the object to be processed.

また、本発明の微細パタン形成用積層体において、前記環状部位は、炭素元素数が30以下であることが好ましい。   Moreover, in the laminate for forming a fine pattern of the present invention, it is preferable that the cyclic portion has 30 or less carbon elements.

この構成によれば、環状部位の密度を向上できることから、環状部位のモビリティを低下させることができる。これにより、第1のマスク層を加工マスクとして被処理体を加工する際の加工精度が向上する。   According to this configuration, since the density of the annular portion can be improved, the mobility of the annular portion can be reduced. Thereby, the processing accuracy at the time of processing a processed object using the 1st mask layer as a processing mask improves.

また、本発明の微細パタン形成用積層体において、前記環状部位は、4員環、5員環及び6員環からなる群から選ばれる少なくとも1以上の要素を含み構成されることが好ましい。   In the laminate for forming a fine pattern according to the present invention, it is preferable that the cyclic portion includes at least one element selected from the group consisting of a 4-membered ring, a 5-membered ring, and a 6-membered ring.

この構成によれば、環状部位同士のパッキングや、環状部位の密度を大きく向上できる。これにより、第1のマスク層を加工マスクとして被処理体を加工する際の、第1のマスク層の振動や、第1のマスク層の加工歪を低減できる。この結果、被処理体の加工精度が向上する。   According to this configuration, the packing between the annular portions and the density of the annular portions can be greatly improved. Thereby, the vibration of the first mask layer and the processing distortion of the first mask layer when the object to be processed is processed using the first mask layer as a processing mask can be reduced. As a result, the processing accuracy of the object to be processed is improved.

また、本発明の微細パタン形成用積層体において、前記第1のマスク層は、モノマを更に含むことが好ましい。   In the laminate for forming a fine pattern according to the present invention, it is preferable that the first mask layer further includes a monomer.

この構成によれば、微細パタン形成用積層体を被処理体に貼合する際の、第1のマスク層の被処理体への追従性及び密着性を向上させることができる。これにより、被処理体面内に対する第2のマスク層及び第1のマスク層の転写精度(率)を向上させることができる。   According to this configuration, it is possible to improve the followability and adhesion of the first mask layer to the object to be processed when the fine pattern forming laminate is bonded to the object to be processed. Thereby, the transfer accuracy (rate) of the second mask layer and the first mask layer with respect to the surface of the object to be processed can be improved.

また、本発明の微細パタン形成用積層体において、前記モノマは、硬化性モノマを含むことが好ましい。   In the laminate for forming a fine pattern of the present invention, the monomer preferably contains a curable monomer.

この構成によれば、微細パタン形成用積層体を被処理体に貼合する際の、第1のマスク層の流動性を向上できる。更に、モールドを剥離する際の、第1のマスク層の物理的強度を向上できる。よって、第2のマスク層及び第1のマスク層の被処理体に対する転写精度を向上できる。   According to this structure, the fluidity | liquidity of the 1st mask layer at the time of bonding the laminated body for fine pattern formation to a to-be-processed object can be improved. Furthermore, the physical strength of the first mask layer when peeling the mold can be improved. Therefore, the transfer accuracy of the second mask layer and the first mask layer to the object to be processed can be improved.

また、本発明の微細パタン形成用積層体において、前記第1のマスク層を構成する樹脂は、ホモポリマ又はホモオリゴマを含むことが好ましい。   In the laminate for forming a fine pattern according to the present invention, it is preferable that the resin constituting the first mask layer contains a homopolymer or a homooligomer.

この構成によれば、第1のマスク層を加工マスクとして被処理体を加工する際の、被処理体の加工精度を向上できる。特に、被処理体に設けられる微細パタンの形状精度を向上できる。   According to this configuration, it is possible to improve the processing accuracy of the target object when processing the target object using the first mask layer as a processing mask. In particular, the shape accuracy of the fine pattern provided on the object to be processed can be improved.

また、本発明の微細パタン形成用積層体において、前記第1のマスク層を構成する樹脂は、少なくとも1以上の繰り返し単位を有すると共に、前記繰り返し単位は、前記繰り返し単位を構成する全原子数Naと、前記繰り返し単位中の炭素原子数Nc及び前記繰り返し単位中の酸素原子数Noとの差分と、の比率(Na/(Nc−No))が5.5以下の繰り返し単位を含むことが好ましい。   Further, in the laminate for forming a fine pattern of the present invention, the resin constituting the first mask layer has at least one repeating unit, and the repeating unit is the total number of atoms Na constituting the repeating unit. And a ratio (Na / (Nc-No)) of the difference between the number of carbon atoms Nc in the repeating unit and the number of oxygen atoms No in the repeating unit is preferably 5.5 or less. .

この構成によれば、第1のマスク層を加工マスクとして被処理体を加工する際の、被処理体の加工精度を向上できる。特に、被処理体に設けられる微細パタンの形状制御範囲を大きく向上できる。   According to this configuration, it is possible to improve the processing accuracy of the target object when processing the target object using the first mask layer as a processing mask. In particular, the shape control range of the fine pattern provided on the object to be processed can be greatly improved.

本発明の微細パタン形成用積層体においては、前記モールドは、表面の一部又は全面に凹凸構造Aを具備し、前記凹凸構造Aは、凸部頂部幅(Mcv)と凹部開口幅(Mcc)との比率(Mcv/Mcc)と、前記凹凸構造Aの単位面積(Scm)の領域下に存在する開口部面積(Sh)と前記単位面積(Scm)との比率(Sh/Scm)と、が下記式(4)を満たすと共に、前記比率(Sh/Scm)は下記式(5)を満たし、前記比率(Mcv/Mcc)は下記式(6)を満たし、且つ前記凹凸構造Aの深さ(H)は下記式(7)を満たすことが好ましい。
式(4)

Figure 0006307258
式(5)
0.23<(Sh/Scm)≦0.99
式(6)
0.01≦(Mcv/Mcc)<1.0
式(7)
50nm≦H≦1500nm In the laminate for forming a fine pattern according to the present invention, the mold has a concavo-convex structure A on a part or the entire surface thereof, and the concavo-convex structure A has a convex top width (Mcv) and a concave opening width (Mcc). And the ratio (Sh / Scm) between the opening area (Sh) existing under the unit area (Scm) of the concavo-convex structure A and the unit area (Scm). While satisfying the following formula (4), the ratio (Sh / Scm) satisfies the following formula (5), the ratio (Mcv / Mcc) satisfies the following formula (6), and the depth of the concavo-convex structure A ( H) preferably satisfies the following formula (7).
Formula (4)
Figure 0006307258
Formula (5)
0.23 <(Sh / Scm) ≦ 0.99
Formula (6)
0.01 ≦ (Mcv / Mcc) <1.0
Formula (7)
50 nm ≦ H ≦ 1500 nm

この構成によれば、第2のマスク層のモールドの凹凸構造の凹部内部への充填配置精度と第1のマスク層の膜厚均等性が向上するため、精度高い微細パタン形成用積層体を得ることができる。更に、第1のマスク層及び第2のマスク層の精度が高いと共に、微細パタン形成用積層体を使用し被処理体に第2のマスク層及び第1のマスク層を転写付与する際の転写精度がより向上することから、被処理体の加工精度を良好に保つことができる。   According to this configuration, since the filling arrangement accuracy into the concave portion of the concave and convex structure of the mold of the second mask layer and the film thickness uniformity of the first mask layer are improved, a highly accurate fine pattern forming laminate is obtained. be able to. Furthermore, the accuracy of the first mask layer and the second mask layer is high, and the transfer is performed when the second mask layer and the first mask layer are transferred to the object to be processed using the laminate for forming a fine pattern. Since the accuracy is further improved, the processing accuracy of the object to be processed can be kept good.

また、本発明の微細パタン形成用積層体において、前記凹凸構造Aを構成する凹部は、底部から開口部へ向かうに従い、径が大きくなることが好ましい。   Moreover, in the laminate for forming a fine pattern of the present invention, it is preferable that the concave portion constituting the concave-convex structure A has a diameter that increases from the bottom toward the opening.

この構成によれば、特に第2のマスク層のモールドの凹凸構造の凹部への配置精度がより改善する。更に、微細パタン形成用積層体を使用し被処理体に第2のマスク層及び第1のマスク層を転写付与する際の第2のマスク層及び第1のマスク層の破損を抑制できる。よって、被処理体の加工精度を良好に保つことができる。   According to this configuration, in particular, the arrangement accuracy of the second mask layer in the concave portion of the concave-convex structure of the mold is further improved. Furthermore, damage to the second mask layer and the first mask layer when transferring the second mask layer and the first mask layer to the object to be processed using the laminate for forming a fine pattern can be suppressed. Therefore, the processing accuracy of the object to be processed can be kept good.

本発明の微細パタン形成用積層体においては、前記凹凸構造Aは、下記式(8)を満たすことが好ましい。
式(8)
0.4≦(Sh/Scm)≦0.95
In the laminate for forming a fine pattern of the present invention, it is preferable that the uneven structure A satisfies the following formula (8).
Formula (8)
0.4 ≦ (Sh / Scm) ≦ 0.95

この構成によれば、特に、微細パタン形成用積層体を使用し被処理体に第2のマスク層及び第1のマスク層を転写付与する際の、第1のマスク層の破壊、第2のマスク層の破壊及び第1のマスク層と第2のマスク層の剥離を抑制できる。更には、モールドの凹凸構造の破損も抑制できる。よって、被処理体の加工精度を良好に保つことができる。   According to this configuration, in particular, when the second mask layer and the first mask layer are transferred and applied to the object to be processed using the laminate for forming a fine pattern, the second mask layer is destroyed. The destruction of the mask layer and the separation of the first mask layer and the second mask layer can be suppressed. Furthermore, damage to the uneven structure of the mold can be suppressed. Therefore, the processing accuracy of the object to be processed can be kept good.

また、本発明の微細パタン形成用積層体においては、前記凹凸構造に対する水滴の接触角が、90度以上であることが好ましい。   In the laminate for forming a fine pattern of the present invention, it is preferable that a contact angle of water droplets with respect to the concavo-convex structure is 90 degrees or more.

この構成によれば、特に、モールドの凹凸構造の凸部頂部上に配置される第2のマスク層の体積を低下させることができる。更に、微細パタン形成用積層体を使用し被処理体に第2のマスク層及び第1のマスク層を転写付与する際の、第1のマスク層の破壊、第2のマスク層の破壊、第1のマスク層と第2のマスク層の剥離、及び第1のマスク層と被処理体との剥離を抑制できる。よって、被処理体の加工精度を良好に保つことができる。   According to this configuration, in particular, the volume of the second mask layer disposed on the top of the convex portion of the concavo-convex structure of the mold can be reduced. Furthermore, when the second mask layer and the first mask layer are transferred and applied to the object to be processed using the laminate for forming a fine pattern, the first mask layer is destroyed, the second mask layer is destroyed, The peeling between the first mask layer and the second mask layer and the peeling between the first mask layer and the object to be processed can be suppressed. Therefore, the processing accuracy of the object to be processed can be kept good.

また、本発明の微細パタン形成用積層体は、4インチφ、6インチφ、若しくは8インチφのサファイアウェハ、シリコンウェハ、LED用エピタキシャルウェハ、シリコンカーバイドウェハ、又は窒化ガリウム系ウェハに用いられることが好ましい。   The laminate for forming a fine pattern of the present invention is used for a 4 inch φ, 6 inch φ, or 8 inch φ sapphire wafer, silicon wafer, LED epitaxial wafer, silicon carbide wafer, or gallium nitride wafer. Is preferred.

本発明によれば、残膜の薄い又は残膜の無い微細パタンを被処理体上に容易に形成し、高いアスペクト比を有する微細マスクパタンを被処理体に形成できる。更に、該微細マスクパタンを加工マスクとして容易に被処理体を微細加工できる。   According to the present invention, it is possible to easily form a fine pattern having a thin residual film or no residual film on the object to be processed, and to form a fine mask pattern having a high aspect ratio on the object to be processed. Furthermore, the object to be processed can be easily finely processed using the fine mask pattern as a processing mask.

ナノインプリント法の一例を示す説明図である。It is explanatory drawing which shows an example of the nanoimprint method. 本実施の形態に係る被処理体に対する微細パタン形成方法を説明するための工程図である。It is process drawing for demonstrating the fine pattern formation method with respect to the to-be-processed object which concerns on this Embodiment. 本実施の形態に係る被処理体に対する微細パタン形成方法を説明するための工程図である。It is process drawing for demonstrating the fine pattern formation method with respect to the to-be-processed object which concerns on this Embodiment. 本実施の形態に係る第2の微細パタン形成用積層体断面模式図である。It is a cross-sectional schematic diagram of the 2nd laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体におけるモールドの断面模式図である。It is a cross-sectional schematic diagram of the mold in the laminate for forming a fine pattern according to the present embodiment. 本実施の形態に係る微細パタン形成用積層体におけるモールドの断面模式図である。It is a cross-sectional schematic diagram of the mold in the laminate for forming a fine pattern according to the present embodiment. 本実施の形態に係る微細パタン形成用積層体の凹凸構造の一例を示す図である。It is a figure which shows an example of the uneven structure of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係るモールドの微細パタンの第1〜第4の条件を説明するためのグラフである。It is a graph for demonstrating the 1st-4th conditions of the fine pattern of the mold which concerns on this Embodiment. 本実施の形態に係るモールドの微細パタンの第1〜第4の条件を説明するためのグラフである。It is a graph for demonstrating the 1st-4th conditions of the fine pattern of the mold which concerns on this Embodiment. 本実施の形態に係るモールドの微細パタンの第1〜第4の条件を説明するためのグラフである。It is a graph for demonstrating the 1st-4th conditions of the fine pattern of the mold which concerns on this Embodiment. 本実施の形態に係るモールドの微細パタンの第1〜第4の条件を説明するためのグラフである。It is a graph for demonstrating the 1st-4th conditions of the fine pattern of the mold which concerns on this Embodiment. 本実施の形態に係るモールドの微細パタンの第1〜第4の条件を説明するためのグラフである。It is a graph for demonstrating the 1st-4th conditions of the fine pattern of the mold which concerns on this Embodiment. 本実施の形態に係るモールドの微細パタンの第1〜第4の条件を説明するためのグラフである。It is a graph for demonstrating the 1st-4th conditions of the fine pattern of the mold which concerns on this Embodiment. 本実施の形態に係るモールドの微細パタンの第1〜第4の条件を説明するためのグラフである。It is a graph for demonstrating the 1st-4th conditions of the fine pattern of the mold which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体のモールドの一例を示す断面模式図である。It is a cross-sectional schematic diagram which shows an example of the mold of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の他の例を示す断面模式図である。It is a cross-sectional schematic diagram which shows the other example of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の他の例を示す断面模式図である。It is a cross-sectional schematic diagram which shows the other example of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体を用いた微細パタン形成方法の説明図である。It is explanatory drawing of the fine pattern formation method using the laminated body for fine pattern formation concerning this Embodiment. 実施例4のレジスト積層体の評価結果を示すグラフである。6 is a graph showing the evaluation results of the resist laminate of Example 4. 実施例4の凹凸構造体の評価結果を示すグラフである。It is a graph which shows the evaluation result of the uneven structure of Example 4. 実施例4の半導体発光素子の評価結果を示すグラフである。6 is a graph showing the evaluation results of the semiconductor light emitting device of Example 4.

以下、本発明の実施の形態について、添付図面を参照して詳細に説明する。図2A〜図2C及び図3A〜図3Fは、本実施の形態に係る被処理体の微細パタン形成方法を説明するための工程図である。図2Aに示すように、モールド10は、その主面上に凹凸構造11が形成されている。凹凸構造11は、複数の凹部11aと凸部11bで構成されている。モールド10は、例えば、フィルム状又はシート状の樹脂モールドである。   Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. 2A to 2C and FIGS. 3A to 3F are process diagrams for explaining a method for forming a fine pattern of an object to be processed according to the present embodiment. As shown in FIG. 2A, the mold 10 has a concavo-convex structure 11 formed on the main surface thereof. The concavo-convex structure 11 includes a plurality of concave portions 11a and convex portions 11b. The mold 10 is, for example, a film-shaped or sheet-shaped resin mold.

まず、図2Bに示すように、モールド10の凹凸構造11の凹部11aの内部に、後述の第1のマスク層に対する加工マスクとして機能する第2のマスク層12を充填する。第2のマスク層12は、例えば、金属アルコキシドに代表されるゾルゲル材料を含む。ここで、モールド10、及び第2のマスク層12を備えた積層体を、第1の微細パタン形成用積層体1、又は単に第1の積層体1と呼ぶ。   First, as shown in FIG. 2B, the second mask layer 12 that functions as a processing mask for the first mask layer described later is filled in the recess 11 a of the concavo-convex structure 11 of the mold 10. The second mask layer 12 includes, for example, a sol-gel material typified by a metal alkoxide. Here, the laminate including the mold 10 and the second mask layer 12 is referred to as the first fine pattern forming laminate 1 or simply the first laminate 1.

次に、図2Cに示すように、第1の積層体1の第2のマスク層12を含む凹凸構造11の上に、第1のマスク層13を形成する。この第1のマスク層13は、後述する被処理体の加工の加工マスクに用いられる。第1のマスク層13は、例えば、光硬化性樹脂、熱硬化性樹脂又は熱可塑性樹脂からなる。特に、第1のマスク層13は環状部位を有すオリゴマ或いはポリマである樹脂を含む。これにより、以下に説明する中間体の精度及び微細パタンの精度が向上する。中でも、更にモノマを含むこと、そして該モノマが硬化性物質であることがより好ましい。   Next, as shown in FIG. 2C, the first mask layer 13 is formed on the concavo-convex structure 11 including the second mask layer 12 of the first stacked body 1. The first mask layer 13 is used as a processing mask for processing an object to be processed which will be described later. The first mask layer 13 is made of, for example, a photocurable resin, a thermosetting resin, or a thermoplastic resin. In particular, the first mask layer 13 includes a resin that is an oligomer or polymer having an annular portion. Thereby, the precision of the intermediate body and the precision of the fine pattern described below are improved. Among these, it is more preferable that a monomer is further contained, and that the monomer is a curable substance.

更に、図2Cに示すように、第1のマスク層13の上側には、保護層14を設けることができる。保護層14は、第1のマスク層13を保護するものであり、必須ではない。ここで、モールド10、第2のマスク層12及び第1のマスク層13からなる積層体を、第2の微細パタン形成用積層体2、又は、単に第2の積層体2と呼ぶ。この第2の積層体2は、第1のマスク層13を被処理体に当接させることにより、被処理体の微細加工に用いることができる。この当接は、特に、貼合(ラミネーション)により行われる。また、以下の説明においては、第1のマスク層13と第2のマスク層12を合わせて、単にマスク層とも記載する。   Furthermore, as shown in FIG. 2C, a protective layer 14 can be provided on the upper side of the first mask layer 13. The protective layer 14 protects the first mask layer 13 and is not essential. Here, the laminate composed of the mold 10, the second mask layer 12, and the first mask layer 13 is referred to as the second fine pattern forming laminate 2 or simply the second laminate 2. This 2nd laminated body 2 can be used for the fine process of a to-be-processed object by making the 1st mask layer 13 contact | abut to a to-be-processed object. This abutment is performed in particular by laminating. In the following description, the first mask layer 13 and the second mask layer 12 are also simply referred to as a mask layer.

ここで、第2の積層体2の第1のマスク層13の表面粗さRaは500nm以下である。500nm以下であることにより、上述した被処理体への対する貼合性が面内に渡り向上するため、以下に説明する被処理体20上に設けられる高いアスペクト比を有する微細マスクパタン16aの面内均等性が向上する。このため、加工された被処理体の微細パタンの面内均等性を良好に保つことが可能となる。   Here, the surface roughness Ra of the first mask layer 13 of the second stacked body 2 is 500 nm or less. When the thickness is 500 nm or less, the bonding property to the object to be processed described above is improved throughout the surface. Therefore, the surface of the fine mask pattern 16a having a high aspect ratio provided on the object to be processed 20 described below. Internal uniformity is improved. For this reason, it becomes possible to keep the in-plane uniformity of the fine pattern of the processed object processed well.

次に、図3Aに示すような被処理体20を用意する。被処理体20は、例えば、サファイアウェハ、シリコンカーバイド(炭化ケイ素)ウェハ、シリコンウェハ、LED用エピタキシャルウェハ、又は窒化ガリウム系ウェハである。まず、図3Bに示すように、被処理体20の主面上に、第2の積層体2の第1のマスク層13の露出面を、被処理体20の主面に対面させてラミネートする。このラミネートは例えば熱を加えながら貼り合わせる熱ラミネーションである。   Next, a workpiece 20 as shown in FIG. 3A is prepared. The workpiece 20 is, for example, a sapphire wafer, a silicon carbide (silicon carbide) wafer, a silicon wafer, an LED epitaxial wafer, or a gallium nitride-based wafer. First, as shown in FIG. 3B, the exposed surface of the first mask layer 13 of the second stacked body 2 is laminated on the main surface of the target object 20 so as to face the main surface of the target object 20. . This laminate is, for example, thermal lamination that is bonded while applying heat.

次に、図3Cに示すように、モールド10を、マスク層から除去する。この除去は、主にモールド10をマスク層より引き離す剥離により行われる。この結果、被処理体20、第1のマスク層13及び第2のマスク層12からなる中間体21が得られる。ここで、中間体21を得るプロセスがラミネーションであり簡便であると共に、第2の積層体2は予め第1のマスク層13を具備していることから、4インチφ、6インチφ、又は8インチφといった大口径のウェハ(被処理体20)を使用した場合であっても、面内に渡り均等な中間体21を得ることができるため、後述する微細パタン22を大口径のウェハ(被処理体20)に均等に形成することが可能となる。   Next, as shown in FIG. 3C, the mold 10 is removed from the mask layer. This removal is performed mainly by peeling off the mold 10 from the mask layer. As a result, an intermediate body 21 including the object to be processed 20, the first mask layer 13, and the second mask layer 12 is obtained. Here, the process of obtaining the intermediate body 21 is lamination and simple, and the second laminated body 2 includes the first mask layer 13 in advance, so that the 4 inch φ, 6 inch φ, or 8 Even when a large-diameter wafer (processed object 20) such as an inch φ is used, a uniform intermediate body 21 can be obtained over the surface. It becomes possible to uniformly form the treated body 20).

なお、上述した当接と剥離の間において、第2の積層体2に対してエネルギ線を照射して第1のマスク層13を安定化させてもよい。また、当接時に加える熱により、第1のマスク層13を安定化させてもよい。また、第2の積層体2に対してエネルギ線を照射して第1のマスク層13を安定化させた後に、第2の積層体2/被処理体20からなる積層体を加熱し、第2のマスク層12及び第1のマスク層13を更に安定化させてもよい。更に、剥離後のエネルギ線照射或いは加熱処理により、第1のマスク層13を安定化させてもよい。ここでの安定化は、例えば、硬化或は固化である。   In addition, between the contact | abutting and peeling which were mentioned above, you may irradiate an energy ray with respect to the 2nd laminated body 2, and may stabilize the 1st mask layer 13. FIG. Further, the first mask layer 13 may be stabilized by heat applied at the time of contact. In addition, after the first stacked layer 13 is stabilized by irradiating the second stacked body 2 with energy rays, the stacked body composed of the second stacked body 2 / the object to be processed 20 is heated, The second mask layer 12 and the first mask layer 13 may be further stabilized. Further, the first mask layer 13 may be stabilized by energy beam irradiation or heat treatment after peeling. The stabilization here is, for example, curing or solidification.

ここで、第1のマスク層13が、環状部位を有すオリゴマ又はポリマである樹脂を含むことで、第2の積層体2の安定性が向上すると共に、モールドを剥離する際の剥離力を小さくできる。更には、以下に説明する被処理体20の加工精度、即ち微細パタン22の形状精度が向上する。また、第1のマスク層13が、更にモノマを含むことで、第2の積層体2を被処理体20に貼合する際の、第1のマスク層13の被処理体20への追従性及び密着性を向上できる。これにより、被処理体20の面内に対する転写精度(率)を向上させることができる。更に、少なくともモノマが硬化性物質、特に光硬化性物質であることで、第1のマスク層13の体積収縮が大きくなることから、転写性が良好になる。   Here, the first mask layer 13 includes a resin that is an oligomer or polymer having an annular portion, so that the stability of the second laminate 2 is improved and the peeling force when peeling the mold is increased. Can be small. Furthermore, the processing accuracy of the workpiece 20 described below, that is, the shape accuracy of the fine pattern 22 is improved. Moreover, the 1st mask layer 13 contains a monomer further, The followability to the to-be-processed object 20 of the 1st mask layer 13 at the time of bonding the 2nd laminated body 2 to the to-be-processed object 20 is carried out. And adhesion can be improved. Thereby, the transfer precision (rate) with respect to the surface of the to-be-processed object 20 can be improved. Furthermore, since at least the monomer is a curable substance, particularly a photo-curable substance, the volume contraction of the first mask layer 13 is increased, so that the transferability is improved.

また、第2の積層体2の第2のマスク層12のモールド10の凹部11aへの配置精度、及び第1のマスク層13の膜厚の均等性を向上させる観点、また、中間体21を得る際のマスク層の破壊、第1のマスク層13と第2のマスク層12との剥がれ、及び第1のマスク層13と被処理体20との剥がれを抑制し精度の高い中間体21を得る観点から、第2の積層体2(第1の積層体1)のモールド10は、以下に説明する凹凸構造Aを有すモールドであることが好ましい。   Moreover, the viewpoint which improves the arrangement | positioning precision to the recessed part 11a of the mold 10 of the 2nd mask layer 12 of the 2nd laminated body 2 and the film thickness of the 1st mask layer 13, and the intermediate body 21 is used. The intermediate 21 having high accuracy can be obtained by suppressing the destruction of the mask layer at the time of obtaining, the peeling between the first mask layer 13 and the second mask layer 12, and the peeling between the first mask layer 13 and the object 20 to be processed. From the viewpoint of obtaining, the mold 10 of the second laminate 2 (first laminate 1) is preferably a mold having a concavo-convex structure A described below.

即ち、モールド10は、表面の一部又は全面に凹凸構造A(図2中、11)を具備するモールドであって、前記凹凸構造Aは、凸部頂部幅(Mcv)と凹部開口幅(Mcc)との比率(Mcv/Mcc)と、前記凹凸構造Aの単位面積(Scm)の領域下に存在する開口部面積(Sh)と前記単位面積(Scm)との比率(Sh/Scm)と、が下記式(4)を満たすと共に、前記比率(Sh/Scm)は下記式(5)を満たし、前記比率(Mcv/Mcc)は下記式(6)を満たし、且つ前記凹凸構造Aの深さ(H)は下記式(7)を満たすことが好ましい。
式(4)

Figure 0006307258
式(5)
0.23<(Sh/Scm)≦0.99
式(6)
0.01≦(Mcv/Mcc)<1.0
式(7)
50nm≦H≦1500nm That is, the mold 10 is a mold having a concavo-convex structure A (11 in FIG. 2) on a part or the entire surface thereof, and the concavo-convex structure A has a convex top width (Mcv) and a concave opening width (Mcc). ) (Mcv / Mcc), and the ratio (Sh / Scm) of the opening area (Sh) and the unit area (Scm) existing below the unit area (Scm) of the concavo-convex structure A; Satisfies the following formula (4), the ratio (Sh / Scm) satisfies the following formula (5), the ratio (Mcv / Mcc) satisfies the following formula (6), and the depth of the concavo-convex structure A: (H) preferably satisfies the following formula (7).
Formula (4)
Figure 0006307258
Formula (5)
0.23 <(Sh / Scm) ≦ 0.99
Formula (6)
0.01 ≦ (Mcv / Mcc) <1.0
Formula (7)
50 nm ≦ H ≦ 1500 nm

上記式(4)〜(7)を同時に満たす凹凸構造Aを含むモールド10を使用することで、第2のマスク層12のモールド10の凹凸構造11の凹部11a内部への充填配置精度と第1のマスク層13の膜厚均等性が向上するため、精度高い第2の積層体2を得ることができる。更に、モールド10を除去する際のマスク層の破損を抑制する効果が大きくなり中間体21を得る際の転写精度がより向上することから、被処理体20に設けられる微細パタン22の精度を良好に保つことができる。更には、被処理体20の大きさを、4インチφ以上に容易に大きくすることができる。   By using the mold 10 including the concavo-convex structure A that simultaneously satisfies the above expressions (4) to (7), the filling arrangement accuracy in the concave portion 11a of the concavo-convex structure 11 of the mold 10 of the second mask layer 12 and the first Since the film thickness uniformity of the mask layer 13 is improved, the second laminated body 2 with high accuracy can be obtained. Furthermore, since the effect of suppressing damage to the mask layer when removing the mold 10 is increased and the transfer accuracy when obtaining the intermediate 21 is further improved, the accuracy of the fine pattern 22 provided on the workpiece 20 is improved. Can be kept in. Furthermore, the size of the workpiece 20 can be easily increased to 4 inches φ or more.

次に、第2のマスク層12をマスクとして、第1のマスク層13を、例えば酸素ガスを使用したプラズマエッチングにより、図3Dに示すようにパターニングする。この結果、第1のマスク層13及び第2のマスク層12により構成された高いアスペクト比を有する微細マスクパタン16aが設けられた微細パタン構造体16を得る。更に、微細マスクパタン16aを加工マスクとして、被処理体20に、例えば、反応性イオンエッチングを施して、図3Eに示すように、被処理体20の主面に微細パタン22を形成する。最後に、図3Fに示すように、被処理体20の主面に残った第1のマスク層13を除去して、微細パタン22を有する被処理体20を得る。   Next, using the second mask layer 12 as a mask, the first mask layer 13 is patterned by plasma etching using oxygen gas, for example, as shown in FIG. 3D. As a result, the fine pattern structure 16 provided with the fine mask pattern 16 a having a high aspect ratio and constituted by the first mask layer 13 and the second mask layer 12 is obtained. Further, using the fine mask pattern 16a as a processing mask, the object to be processed 20 is subjected to, for example, reactive ion etching to form a fine pattern 22 on the main surface of the object to be processed 20 as shown in FIG. 3E. Finally, as shown in FIG. 3F, the first mask layer 13 remaining on the main surface of the object to be processed 20 is removed to obtain the object to be processed 20 having the fine pattern 22.

ここで第1のマスク層13を構成する樹脂が、環状部位を有すことで、被処理体20の加工精度、特に微細パタン22の形状精度を向上できる。この効果は、環状部位が炭素数30以下の環状部位であること、そして4員環、5員環及び6員環からなる群から選ばれる少なくとも1以上の要素を含み構成されることで、より顕著になる。また、第1のマスク層13に、このような環状部位が含まれることで、環状部位同士の分子間又は分子内相互作用により第1のマスク層13のエネルギ的な安定化を図ることができる。即ち、モールド10の凹凸構造11と第1のマスク層13との接着強度を低下させることができることから、中間体21を得る精度が向上する。   Here, since the resin constituting the first mask layer 13 has an annular portion, the processing accuracy of the object to be processed 20, particularly the shape accuracy of the fine pattern 22 can be improved. The effect is that the cyclic part is a cyclic part having 30 or less carbon atoms and includes at least one element selected from the group consisting of a 4-membered ring, a 5-membered ring, and a 6-membered ring. Become prominent. In addition, by including such an annular portion in the first mask layer 13, energy stabilization of the first mask layer 13 can be achieved by an intermolecular or intramolecular interaction between the annular portions. . That is, since the adhesive strength between the concavo-convex structure 11 of the mold 10 and the first mask layer 13 can be reduced, the accuracy of obtaining the intermediate 21 is improved.

更に、第1のマスク層13を構成する樹脂が、ホモポリマ又はホモオリゴマを含むことで、被処理体20に設けられる微細パタン22の形状の歪が小さくなる。また、第1のマスク層13を構成する樹脂が、少なくとも1以上の繰り返し単位を有すと共に、前記繰り返し単位は、前記繰り返し単位を構成する全原子数Naと、前記繰り返し単位中の炭素原子数Nc及び前記繰り返し単位中の酸素原子数Noとの差分と、の比率(Na/(Nc−No))が5.5以下の繰り返し単位を含む樹脂である場合、特に、被処理体20に設けられる微細パタン22の形状制御範囲を大きく向上できる。   Furthermore, since the resin constituting the first mask layer 13 includes a homopolymer or a homooligomer, the distortion of the shape of the fine pattern 22 provided on the object to be processed 20 is reduced. Further, the resin constituting the first mask layer 13 has at least one repeating unit, and the repeating unit includes the total number of atoms Na constituting the repeating unit and the number of carbon atoms in the repeating unit. In the case where the ratio (Na / (Nc-No)) between Nc and the difference between the number of oxygen atoms in the repeating unit and the number of oxygen atoms is a resin containing 5.5 or less, it is particularly provided on the object to be processed 20. The shape control range of the fine pattern 22 can be greatly improved.

本実施の形態では、図2A〜図2Cに示すモールド10から第2の積層体2を得るところまでを一つのライン(以下、第1のラインという)で行う。それ以降の、図3A〜図3Fまでを別のライン(以下、第2のラインという)で行う。より好ましい態様においては、第1のラインと、第2のラインとは、別の施設で行われる。このため、第2の積層体2は、例えば、巻物状(ロール状)にして梱包され、又は積み重ねて梱包され、保管又は運搬される。   In the present embodiment, the process from obtaining the second stacked body 2 from the mold 10 shown in FIGS. 2A to 2C is performed in one line (hereinafter referred to as the first line). The subsequent steps from FIG. 3A to FIG. 3F are performed on another line (hereinafter referred to as a second line). In a more preferred embodiment, the first line and the second line are performed in separate facilities. For this reason, the 2nd laminated body 2 is packed by roll shape (roll shape), for example, or is piled up and packed, and is stored or conveyed.

本発明の更に好ましい態様においては、第1のラインは、第2の積層体2のサプライヤのラインであり、第2のラインは、第2の積層体2のユーザのラインである。このように、サプライヤにおいて第2の積層体2を予め量産し、ユーザに提供することで、以下ような利点がある。   In a further preferred aspect of the present invention, the first line is a supplier line of the second stacked body 2, and the second line is a user line of the second stacked body 2. As described above, the second laminated body 2 is mass-produced in advance by the supplier and provided to the user, thereby providing the following advantages.

(1)第2の積層体2の状態において、予めマスク層の厚み及び構造精度を決定し担保することができる。この精度をを反映させ、被処理体20に微細加工を行うことができる。即ち、サプライヤの提供する第2の積層体2により、ユーザの作製する微細パタン22の精度を担保することができる。具体的には、第2の積層体2を構成するモールド10の凹凸構造11の精度を第2のマスク層12が担保することとなる。更に、第1のマスク層13の膜厚精度を第2の積層体2において担保することが可能となる。即ち、第2の積層体2を使用することで、被処理体20面内に第2のマスク層12及び第1のマスク層13を、第1のマスク層13の膜厚分布精度高く、且つ凹凸構造11の転写精度高く転写形成することが可能となる。このため、被処理体20面内にモールド10のパタン精度(パタン配列精度)を反映させ、且つ、膜厚分布精度高く微細マスクパタン16aを形成することが可能となる。精度の高い微細パタン構造体16を使用することで、被処理体20を精度高く加工することが可能となり、被処理体面内にモールド10の微細パタン精度(パタン配列精度)を反映させた微細パタン22を作製することができる。   (1) In the state of the second laminated body 2, the thickness and structural accuracy of the mask layer can be determined and secured in advance. Reflecting this accuracy, the workpiece 20 can be finely processed. That is, the accuracy of the fine pattern 22 produced by the user can be ensured by the second laminate 2 provided by the supplier. Specifically, the second mask layer 12 ensures the accuracy of the concavo-convex structure 11 of the mold 10 constituting the second laminate 2. Furthermore, the film thickness accuracy of the first mask layer 13 can be ensured in the second stacked body 2. That is, by using the second stacked body 2, the second mask layer 12 and the first mask layer 13 are formed in the surface of the object 20 to be processed with high film thickness distribution accuracy of the first mask layer 13, and It is possible to transfer and form the concavo-convex structure 11 with high transfer accuracy. For this reason, it is possible to reflect the pattern accuracy (pattern alignment accuracy) of the mold 10 in the surface of the workpiece 20 and form the fine mask pattern 16a with high film thickness distribution accuracy. By using the fine pattern structure 16 with high accuracy, the workpiece 20 can be processed with high accuracy, and the fine pattern accuracy (pattern arrangement accuracy) of the mold 10 is reflected in the surface of the workpiece. 22 can be made.

(2)微細パタンの精度を第2の積層体2にて担保することが可能となるため、煩雑なプロセスや装置を使用することなく、被処理体20を加工するのに最適な施設において被処理体20を微細加工することができる。特に、一般的なナノインプリントリソグラフィ法において、ナノスケールの微細パタン22を面内均等に形成することが困難な4インチφ以上の大きな被処理体20(ウェハ)を使用した場合であっても、容易に、微細パタン22を作製することができる。   (2) Since the accuracy of the fine pattern can be ensured by the second laminate 2, it is possible to cover the object 20 in an optimum facility for processing the object 20 without using a complicated process or apparatus. The processing body 20 can be finely processed. In particular, even in the case of using a large object 20 (wafer) having a diameter of 4 inches or more, in which it is difficult to uniformly form the nanoscale fine pattern 22 in the surface in a general nanoimprint lithography method, it is easy. In addition, the fine pattern 22 can be produced.

(3)微細パタンの精度を第2の積層体2にて担保することが可能となるため、加工された被処理体20を使用してデバイスを製造するのに最適な場所において第2の積層体2を使用することができる。即ち、安定的な機能を有すデバイスを製造できる。   (3) Since the accuracy of the fine pattern can be ensured by the second laminate 2, the second laminate is provided at a place optimal for manufacturing a device using the processed object 20. The body 2 can be used. That is, a device having a stable function can be manufactured.

上述したように、第1のラインを第2の積層体2のサプライヤのラインに、第2のラインを第2の積層体2のユーザのラインにすることで、サプライヤの提供する第2の積層体2において、第1のマスク層13及び第2のマスク層12の精度を予め決定し担保できる。ユーザは、第2の積層体2を使用することで、予め決定されている第1のマスク層13及び第2のマスク層12の精度を反映させた中間体21を、容易に得ることができる。よって、被処理体20の加工に最適な環境にて微細パタン22を加工し、デバイスを製造できる。   As described above, the second line provided by the supplier is provided by making the first line the supplier line of the second laminate 2 and the second line the user line of the second laminate 2. In the body 2, the accuracy of the first mask layer 13 and the second mask layer 12 can be determined and secured in advance. The user can easily obtain the intermediate body 21 reflecting the accuracy of the first mask layer 13 and the second mask layer 12 determined in advance by using the second stacked body 2. . Therefore, the device can be manufactured by processing the fine pattern 22 in an optimum environment for processing the workpiece 20.

ところで、第2の積層体2の第1のマスク層13の表面平坦性が悪い場合、第2の積層体2を被処理体20に貼合する際に貼合不良部位を生じるという問題がある。ここで貼合不良部位とは、第2の積層体2と被処理体20と、の界面に生成するマイクロスケールのマイクロバブル、ミリメートルスケールのエアボイド、或いは、ミリメートルからセンチメートルスケールの第1のマスク層13の添着していない部位のことをいう。   By the way, when the surface flatness of the 1st mask layer 13 of the 2nd laminated body 2 is bad, when bonding the 2nd laminated body 2 to the to-be-processed object 20, there exists a problem of producing a bonding defect site | part. . Here, the poorly bonded portion is a micro-scale microbubble, a millimeter-scale air void, or a millimeter-to-centimeter-scale first mask generated at the interface between the second laminate 2 and the object 20. This refers to a portion where the layer 13 is not attached.

本発明者らは、第1のマスク層13の表面粗さRaを所定の値以下にすることで、上述した貼合不良を抑制できることを見出した。   The present inventors have found that the above-described bonding failure can be suppressed by setting the surface roughness Ra of the first mask layer 13 to a predetermined value or less.

即ち、本実施の形態に係る微細パタン形成用積層体は、表面に凹凸構造を有するモールドと、前記第1のマスク層の加工時にマスクとして機能する第2のマスク層と、前記モールドの凹凸構造及び前記第2のマスク層を覆うように設けられた前記第1のマスク層と、を具備し、前記第2のマスク層は、少なくとも前記凹凸構造の凹部内に設けられると共に、前記第1のマスク層の表面粗さRaは500nm以下であることを特徴とする。   That is, the laminate for forming a fine pattern according to the present embodiment includes a mold having a concavo-convex structure on the surface, a second mask layer that functions as a mask during processing of the first mask layer, and a concavo-convex structure of the mold. And the first mask layer provided so as to cover the second mask layer, and the second mask layer is provided at least in the concave portion of the concavo-convex structure, and the first mask layer is provided. The surface roughness Ra of the mask layer is 500 nm or less.

この構成によれば、第1のマスク層13の表面粗さRaが所定の値以下であることから、図3Aに示すように、被処理体20に第2の積層体2を貼り合わる際の貼合性を、被処理体面内において向上できる。このため、モールド10の凹凸構造11の精度を反映した第2のマスク層12を、第1のマスク層13の厚み精度を反映させた状態にて、被処理体20上に転写付与することが可能となる。即ち、被処理体面内に高精度に配列した第2のマスク層12を、高精度な膜厚分布を維持し設けることが可能となる。よって、図3Cに示す中間体21をエッチング加工することにより、被処理体面内に精度高く図3Dに示す微細マスクパタン16aを設けることが可能となり、更にエッチング加工を行うことで、図3E及び図3Fに示す微細パタン22を被処理体20の面内に渡り精度高く形成することができる。   According to this configuration, since the surface roughness Ra of the first mask layer 13 is equal to or less than a predetermined value, as shown in FIG. 3A, when the second stacked body 2 is bonded to the object 20 to be processed. Can be improved within the surface of the object to be processed. Therefore, the second mask layer 12 reflecting the accuracy of the concavo-convex structure 11 of the mold 10 can be transferred and applied onto the object 20 in a state where the thickness accuracy of the first mask layer 13 is reflected. It becomes possible. That is, the second mask layer 12 arranged with high accuracy in the surface of the object to be processed can be provided while maintaining a highly accurate film thickness distribution. Therefore, by etching the intermediate body 21 shown in FIG. 3C, it becomes possible to provide the fine mask pattern 16a shown in FIG. 3D with high precision in the surface of the object to be processed. The fine pattern 22 shown in 3F can be formed with high accuracy over the surface of the workpiece 20.

本実施の形態に係る微細パタン形成用積層体は、前記凸部頂部位置(S)と前記第1のマスク層の表面との間の距離(lor)、前記凹凸構造の平均ピッチ(Pav)、及び前記距離lcvが、下記式(3)を満たすことが好ましい。
式(3)
lcv<lor≦10Pav
In the laminate for forming a fine pattern according to the present embodiment, the distance (lor) between the top position (S) of the convex portion and the surface of the first mask layer, the average pitch (Pav) of the concave-convex structure, And the distance lcv preferably satisfies the following formula (3).
Formula (3)
lcv <lor <10 Pav

この構成によれば、第2の積層体2を被処理体20に貼合する際の、第1のマスク層13の表層の流動性が大きくなる傾向にあることから、貼合性を向上できる。更に、被処理体20に転写付与される第1のマスク層13の過度な流動を抑制できるため、膜厚精度のより高い微細マスクパタン16aを得ることができる。また、第1のマスク層13の膜厚を凹凸構造11の分解能に応じ予め決定できることから、微細パスクパタン16aを加工マスクとした被処理体20のエッチング加工精度を、より高めることが可能となる。   According to this structure, since the fluidity | liquidity of the surface layer of the 1st mask layer 13 at the time of bonding the 2nd laminated body 2 to the to-be-processed object 20 tends to become large, bonding property can be improved. . Furthermore, since the excessive flow of the first mask layer 13 transferred and applied to the object to be processed 20 can be suppressed, the fine mask pattern 16a with higher film thickness accuracy can be obtained. In addition, since the film thickness of the first mask layer 13 can be determined in advance according to the resolution of the concavo-convex structure 11, the etching processing accuracy of the object to be processed 20 using the fine mask pattern 16a as a processing mask can be further increased.

ところで、第2の積層体2における第1のマスク層13の物理的安定性が低い場合、第2の積層体2における第1のマスク層13の膜厚精度を担保するという効果が低下するという問題がある。また、モールド10と第1のマスク層13と、の密着力が大きな場合、中間体21を得る際にモールド10の剥離不良が生じ、中間体21の精度が低下するという問題がある。これらの問題に伴い、微細パタン22の被処理体20の面内に渡る均等性が低下する。更に、第1のマスク層13の被処理体20に対するエッチングマスク特性が低い場合、被処理体20に加工し設けられる微細パタン22の形状精度が大きく低下するという問題がある。特に、被処理体20が、サファイアウェハ、シリコンカーバイドウェハ、或いはLED用エピタキシャルウェハに代表される加工難基材であるほど、微細パタン22の精度低下が著しくなるという問題がある。   By the way, when the physical stability of the 1st mask layer 13 in the 2nd laminated body 2 is low, the effect of ensuring the film thickness precision of the 1st mask layer 13 in the 2nd laminated body 2 falls. There's a problem. Moreover, when the adhesive force between the mold 10 and the first mask layer 13 is large, there is a problem in that when the intermediate body 21 is obtained, a peeling failure of the mold 10 occurs and the accuracy of the intermediate body 21 is lowered. Along with these problems, the uniformity of the fine pattern 22 over the surface of the object to be processed 20 decreases. Further, when the etching mask characteristic of the first mask layer 13 with respect to the object to be processed 20 is low, there is a problem that the shape accuracy of the fine pattern 22 processed and provided on the object to be processed 20 is greatly reduced. In particular, there is a problem that the accuracy of the fine pattern 22 is significantly lowered as the workpiece 20 is a difficult-to-process base material represented by a sapphire wafer, a silicon carbide wafer, or an LED epitaxial wafer.

本発明者らは、更に、第1のマスク層13に環状部位を有するオリゴマ或いはポリマである樹脂が含まれることで、第1のマスク層13の物理的安定性を向上できること、第1のマスク層13とモールド10との密着力を低減できること、そして被処理体20の加工精度を大きく向上できることを見出した。   The present inventors can further improve the physical stability of the first mask layer 13 by including a resin that is an oligomer or polymer having a cyclic portion in the first mask layer 13, and the first mask layer 13. It has been found that the adhesion between the layer 13 and the mold 10 can be reduced, and the processing accuracy of the workpiece 20 can be greatly improved.

即ち、第1のマスク層13を構成する樹脂が、環状部位を有するポリマ又はオリゴマである樹脂を含むことで、第2の積層体2の安定性が向上すると共に、モールドを剥離する際の剥離力が低下する。更には、以下に説明する被処理体20の加工精度が向上する。   That is, since the resin constituting the first mask layer 13 includes a resin that is a polymer or oligomer having an annular portion, the stability of the second laminate 2 is improved, and peeling when the mold is peeled off. Power is reduced. Furthermore, the processing accuracy of the workpiece 20 described below is improved.

更に、第1のマスク層13を構成する樹脂が、更にモノマを含むことで、第2の積層体2を被処理体20に貼合する際の、第1のマスク層13の被処理体20への追従性及び密着性を向上できる。これにより、被処理体20の面内に対する転写精度(率)を向上させることができる。   Further, the resin constituting the first mask layer 13 further contains a monomer, so that the object 20 to be processed of the first mask layer 13 when the second laminate 2 is bonded to the object 20 to be processed. The followability and adhesion can be improved. Thereby, the transfer precision (rate) with respect to the surface of the to-be-processed object 20 can be improved.

更に、少なくともモノマが硬化性物質、特に光硬化性物質であることで、第1のマスク層13の体積収縮が大きくなることから、モールド10と第1のマスク層13との密着力が低下し、転写性が良好になる。   Furthermore, since at least the monomer is a curable substance, in particular, a photo-curable substance, the volume shrinkage of the first mask layer 13 is increased, so that the adhesion between the mold 10 and the first mask layer 13 is reduced. Transferability is improved.

上述した第1のラインによって製造される微細パタン形成用積層体の構成について詳細に説明する。   The configuration of the fine pattern forming laminate manufactured by the first line will be described in detail.

図4は、本実施の形態に係る第2の微細パタン形成用積層体の断面模式図である。図4に示すように、第2の積層体2は、表面に凹凸構造101aを有するモールド101と、このモールド101の凹凸構造101a上に設けられ、第1のマスク層103の加工マスクとして機能する第2のマスク層102と、凹凸構造101a上及び第2のマスク層102上を覆うように設けられた第1のマスク層103とを具備する。この第1のマスク層103は、被処理体20と第2のマスク層102を接着する接着層として機能すると共に、被処理体20を加工する際の加工マスクとしても機能する。なお、モールド101は、以下に説明する凹凸構造Aを含むモールドであることが好ましい。この場合、第2のマスク層102の配置精度を向上させると共に、第1のマスク層103の膜厚の均等性を向上できる。更には、中間体21を得る際の、マスク層の破壊、第1のマスク層103と第2のマスク層102との界面剥離、及び第1のマスク層103と被処理体20との界面剥離を抑制できることから、中間体21の精度が向上し、結果、被処理体20に設けられる微細パタン22の精度を向上できる。   FIG. 4 is a schematic cross-sectional view of the second laminate for forming a fine pattern according to the present embodiment. As shown in FIG. 4, the second stacked body 2 is provided on the mold 101 having the concavo-convex structure 101 a on the surface, and the concavo-convex structure 101 a of the mold 101, and functions as a processing mask for the first mask layer 103. A second mask layer 102 and a first mask layer 103 provided to cover the concavo-convex structure 101a and the second mask layer 102 are provided. The first mask layer 103 functions as an adhesive layer that bonds the object to be processed 20 and the second mask layer 102 and also functions as a processing mask when the object to be processed 20 is processed. Note that the mold 101 is preferably a mold including a concavo-convex structure A described below. In this case, the arrangement accuracy of the second mask layer 102 can be improved and the film thickness uniformity of the first mask layer 103 can be improved. Further, when the intermediate 21 is obtained, the mask layer is destroyed, the interface is peeled off between the first mask layer 103 and the second mask layer 102, and the interface is peeled off between the first mask layer 103 and the object 20 to be processed. Therefore, the accuracy of the intermediate body 21 is improved, and as a result, the accuracy of the fine pattern 22 provided on the workpiece 20 can be improved.

(第1のマスク層の表面の表面粗さ)
第2のマスク層102及び第1のマスク層103の配置状態によらず、第1のマスク層103の露出する表面の表面粗さRaは、被処理体20への貼合性を良好に保つ観点から、500nm以下である。この範囲を満たすことにより、第1のマスク層103の接着層としての機能を良好に発現することが可能となる。特に、第1のマスク層103の表層の流動性を向上させ、第2の積層体2と被処理体20と、の界面に生成するマイクロスケールのマイクロバブル、ミリメートルスケールのエアボイド、或いは、ミリメートルからセンチメートルスケールの第1のマスク層103の添着していない部位である貼合不良部位を排除する観点から、表面粗さRaは300nm以下であることが好ましい。また、第1のマスク層103の層全体の流動を抑制し、膜厚精度を第2の積層体2として担保する効果を大きくする観点から、表面粗さRaは、150nm以下であることが好ましく、100nm以下であることがより好ましい。更に、第2の積層体2を被処理体20に貼り合わせる際の速度を、貼合不良部位を抑制した状態で向上させる観点から、50nm以下であることが好ましく、35nm以下であることがより好ましい。更に、貼合不良部位の中でも、マイクロスケールマイクロバブルを抑制し、第1のマスク層103の加工精度、及び被処理体20の加工精度を向上させる観点から、25nm以下であることがより好ましく、15nm以下であることが最も好ましい。なお、表面粗さRaは、小さい程好ましいため、下限値は特に限定されないが、連続的に第2の積層体2を製造する工業性の観点から、1nm以上であることが好ましく、2nm以上であることがより好ましく、5nm以上であることが最も好ましい。
(Surface roughness of the surface of the first mask layer)
Regardless of the arrangement state of the second mask layer 102 and the first mask layer 103, the surface roughness Ra of the exposed surface of the first mask layer 103 maintains good bonding properties to the object 20 to be processed. From the viewpoint, it is 500 nm or less. By satisfying this range, the function of the first mask layer 103 as an adhesive layer can be favorably expressed. In particular, the fluidity of the surface layer of the first mask layer 103 is improved, and microscale microbubbles, millimeter scale air voids, or millimeters generated at the interface between the second stacked body 2 and the workpiece 20 are used. The surface roughness Ra is preferably 300 nm or less from the viewpoint of eliminating a bonding failure site which is a site where the first mask layer 103 having a centimeter scale is not attached. Further, from the viewpoint of suppressing the flow of the entire first mask layer 103 and increasing the effect of ensuring the film thickness accuracy as the second laminate 2, the surface roughness Ra is preferably 150 nm or less. More preferably, it is 100 nm or less. Furthermore, it is preferable that it is 50 nm or less from the viewpoint of improving the speed at the time of bonding the 2nd laminated body 2 to the to-be-processed body 20 in the state which suppressed the bonding defect site | part, and it is more preferable that it is 35 nm or less. preferable. Furthermore, from the viewpoint of suppressing microscale microbubbles and improving the processing accuracy of the first mask layer 103 and the processing accuracy of the object to be processed 20 among the bonding defects, it is more preferably 25 nm or less, Most preferably, it is 15 nm or less. In addition, since surface roughness Ra is so preferable that it is small, a lower limit is not specifically limited, From an industrial viewpoint which manufactures the 2nd laminated body 2 continuously, it is preferable that it is 1 nm or more, and is 2 nm or more. More preferably, it is more preferably 5 nm or more.

表面粗さRaは、第2の積層体2の第1のマスク層103の表面の算術平均粗さであり、本明細書においては、そのディメンジョンはナノメートルである。表面粗さRaは、原子間力顕微鏡(Atomic Force Microscope/AFM)を使用し測定された値として定義する。特に本明細書においては、下記装置及び下記条件にて測定した場合の表面粗さを採用する。
・株式会社キーエンス社製 Nanoscale Hybrid Microscope VN−8000
・測定範囲: 200μm(比率1:1)
・サンプリング周波数: 0.51Hz
The surface roughness Ra is the arithmetic average roughness of the surface of the first mask layer 103 of the second laminate 2, and in this specification, the dimension is nanometer. The surface roughness Ra is defined as a value measured using an atomic force microscope (AFM). In particular, in this specification, the surface roughness measured by the following apparatus and the following conditions is employed.
・ Nanoscale Hybrid Microscope VN-8000 manufactured by Keyence Corporation
・ Measurement range: 200μm (ratio 1: 1)
・ Sampling frequency: 0.51Hz

なお、表面粗さRaは、第2の積層体2に保護層14のある場合は、保護層14を剥離した後の第1のマスク層103の露出する表面に対して測定される。   The surface roughness Ra is measured with respect to the exposed surface of the first mask layer 103 after the protective layer 14 is peeled off when the second laminated body 2 has the protective layer 14.

また、第1のマスク層103の表面に異物が付着していた場合であって、該異物ごとAFMにより走査した場合、表面粗さRaは大きくなる。このため、測定する環境は、クラス1000以下のクリーンルームである。また、上記装置VN−8000は光学顕微鏡を付帯している。このため、光学顕微鏡観察により異物や傷の観察された場合、該異物や傷を避けるようにプローブの下降位置を設定する。また、測定前にはイオナイザ等による除電環境下におけるエアブロー洗浄をする。更に、静電気によるプローブの跳ね上がりを抑制するために、測定環境の湿度は、40%〜50%の範囲である。   Further, when the foreign matter is attached to the surface of the first mask layer 103 and the whole foreign matter is scanned by the AFM, the surface roughness Ra is increased. For this reason, the environment to measure is a clean room of class 1000 or less. The apparatus VN-8000 is accompanied by an optical microscope. For this reason, when a foreign object or a flaw is observed by optical microscope observation, the lowered position of the probe is set so as to avoid the foreign object or the flaw. Before measurement, air blow cleaning is performed in a static neutralization environment using an ionizer or the like. Further, the humidity of the measurement environment is in the range of 40% to 50% in order to suppress the probe jumping due to static electricity.

なお、第1のマスク層103の表層の流動性による貼合不良部位抑制の観点から考えると、第2の積層体2の第1のマスク層103の表面の表面粗さをRaf、そして被処理体20の表面粗さをRatとした時に、合成自乗平均平方根粗さRa´を(Raf+Rat1/2として定義すれば、Ra´が上記第1のマスク層103に対する表面粗さRaの範囲を満たすことが好ましい。なお、被処理体20の表面粗さRatは、既に説明した第1のマスク層103の表面に対する表面粗さRafと同様の手法により測定される。 From the viewpoint of suppressing a bonding failure site due to the fluidity of the surface layer of the first mask layer 103, the surface roughness of the surface of the first mask layer 103 of the second laminate 2 is Raf, and the object to be processed When the surface roughness of the body 20 is defined as Ra, if the synthetic root mean square roughness Ra ′ is defined as (Raf 2 + Rat 2 ) 1/2 , Ra ′ is the surface roughness Ra with respect to the first mask layer 103. It is preferable to satisfy the range. The surface roughness Rat of the object to be processed 20 is measured by the same method as the surface roughness Raf for the surface of the first mask layer 103 already described.

(距離(lor)と平均ピッチ(Pav))
第2の積層体2においては、図4に示す距離(lor)は、モールド101の凸部頂部101eの位置と第1のマスク層103の表面との間の距離を意味する。
(Distance (lor) and average pitch (Pav))
In the second stacked body 2, the distance (lor) shown in FIG. 4 means the distance between the position of the convex top 101 e of the mold 101 and the surface of the first mask layer 103.

距離(lor)と平均ピッチ(Pav)は、微細パタン構造体16の微細マスクパタン16aの物理的安定性の観点から、上記式(3)で示すようにlor≦10Pavを満たすことが好ましく、lor≦5Pavであることがより好ましい。特に、微細マスクパタン16aを加工マスクとした被処理体20のエッチング加工精度の観点から、lor≦2.5Pavであることが好ましい。これは、微細マスクパタン16aを加工マスクとして微細パタン22をドライエッチング加工する際の、シャドー効果やローディング効果の影響を小さくし、微細パタン22の高さ及び径に対する分布を抑制することができるためである。一方で、第1のマスク層103の接着層としての機能を良好に発揮し、貼合及び転写精度を高める観点から、距離(lor)と以下に説明する距離(lcv)とは、上記式(3)で示すようにlor>lcvを満たすことが好ましい。特に、第1のマスク層103の凹凸構造101aに対する配置及び成膜精度を向上させる観点から、lor>0.05Pavであることがより好ましい。また、既に説明した表面粗さRaの効果を良好に発現させると共に、モールド101を剥離する際の第1のマスク層103の破壊を抑制する観点から、lor>0.1Pavを満たすことが最も好ましい。   From the viewpoint of physical stability of the fine mask pattern 16a of the fine pattern structure 16, the distance (lor) and the average pitch (Pav) preferably satisfy lor ≦ 10Pav as shown in the above formula (3). More preferably, ≦ 5 Pav. In particular, it is preferable that lor ≦ 2.5 Pav from the viewpoint of the etching processing accuracy of the workpiece 20 using the fine mask pattern 16a as a processing mask. This is because the influence of the shadow effect and the loading effect when the fine pattern 22 is dry-etched using the fine mask pattern 16a as a processing mask can be reduced, and the distribution of the fine pattern 22 with respect to the height and diameter can be suppressed. It is. On the other hand, the distance (lor) and the distance (lcv) described below are expressed by the above formula (from the viewpoint of exhibiting the function of the first mask layer 103 as an adhesive layer and improving the bonding and transfer accuracy. It is preferable to satisfy lor> lcv as shown in 3). In particular, lor> 0.05 Pav is more preferable from the viewpoint of improving the arrangement of the first mask layer 103 with respect to the concavo-convex structure 101a and the film formation accuracy. Further, it is most preferable to satisfy lor> 0.1 Pav from the viewpoint of satisfactorily expressing the effect of the surface roughness Ra described above and suppressing the destruction of the first mask layer 103 when the mold 101 is peeled off. .

距離(lor)は、転写精度の観点から距離lcv超であることが好ましく、微細マスクパタン16aの物理的安定性の観点から5000nm以下であることが好ましく、微細パタン22の加工精度の観点から3000nm以下であることがより好ましい。以下に説明する凸部上マスク層102bが存在しない場合、距離lcvは0nmである。この場合、距離(lor)は、0nm超であることが好ましい。また、第2の積層体2に適用可能な保護層14の選択肢を広げる観点から、距離(lor)は50nm以上であることが好ましい。また、モールド101の凹凸構造101aの自由エネルギの分布や構造の分布に対する第1のマスク層103の成膜マージンを大きくする観点から、距離(lor)は100nm以上であることが好ましい。特に、第1のマスク層103の表面に生成することのあるサブマイクロオーダのヴォイドに関し、その密度を小さい方向に飽和させることが可能であることから、距離(lor)は150nm以上であることがより好ましい。なお、最も好ましくは、距離(lor)は200nm以上である。距離(lor)の分布は、微細マスクパタン16aの幹の太さのバラつきを小さくする観点から、概ね±30%以下であることが好ましく、±25%以下がより好ましく、±10%以下が最も好ましい。   The distance (lor) is preferably greater than the distance lcv from the viewpoint of transfer accuracy, preferably 5000 nm or less from the viewpoint of physical stability of the fine mask pattern 16a, and 3000 nm from the viewpoint of processing accuracy of the fine pattern 22. The following is more preferable. When the convex upper mask layer 102b described below does not exist, the distance lcv is 0 nm. In this case, the distance (lor) is preferably greater than 0 nm. Further, from the viewpoint of expanding the options of the protective layer 14 applicable to the second stacked body 2, the distance (lor) is preferably 50 nm or more. From the viewpoint of increasing the film formation margin of the first mask layer 103 with respect to the free energy distribution and the structure distribution of the uneven structure 101a of the mold 101, the distance (lor) is preferably 100 nm or more. In particular, with respect to the sub-micro-order voids that may be generated on the surface of the first mask layer 103, the distance (lor) may be 150 nm or more because the density can be saturated in a small direction. More preferred. Most preferably, the distance (lor) is 200 nm or more. The distribution of the distance (lor) is preferably approximately ± 30% or less, more preferably ± 25% or less, and most preferably ± 10% or less from the viewpoint of reducing the variation in the thickness of the trunk of the fine mask pattern 16a. preferable.

以上のような観点から、距離(lor)、凹凸構造101aの平均ピッチ(Pav)及び凸部上マスク層102bの厚み(lcv)が、下記式(3)を満たすことが好ましい。この場合には、図18Aに示す被処理体200への第2の積層体2の貼合及び図18Cに示すモールド101の剥離精度が良好となるので、図18Cに示す中間体201の精度が向上する。更に、第2のマスク層102を加工マスクとした第1のマスク層103のドライエッチング性が良好となり、微細パタン構造体202の微細マスクパタン202aの精度が向上する。よって、図18Fに示すように、被処理体200の微細パタン220の精度が面内に渡り向上する。
式(3)
lcv<lor≦10Pav
From the above viewpoints, it is preferable that the distance (lor), the average pitch (Pav) of the concavo-convex structure 101a, and the thickness (lcv) of the convex portion upper mask layer 102b satisfy the following formula (3). In this case, since the bonding accuracy of the second laminate 2 to the target object 200 shown in FIG. 18A and the peeling accuracy of the mold 101 shown in FIG. 18C are good, the accuracy of the intermediate 201 shown in FIG. 18C is high. improves. Further, the dry etching property of the first mask layer 103 using the second mask layer 102 as a processing mask is improved, and the accuracy of the fine mask pattern 202a of the fine pattern structure 202 is improved. Therefore, as shown in FIG. 18F, the precision of the fine pattern 220 of the workpiece 200 is improved over the surface.
Formula (3)
lcv <lor <10 Pav

距離(lcv)は、モールド101の凸部頂部101eの位置と、モールド101の凹凸構造101aの凸部101bの頂部上に設けられた凸部上マスク層102bの頂部位置と、の間の距離(lcv)である。   The distance (lcv) is a distance between the position of the convex top 101e of the mold 101 and the top position of the convex upper mask layer 102b provided on the convex 101b of the concave-convex structure 101a of the mold 101 ( lcv).

(距離(lor)と第1のマスク層の表面の表面粗さRa)
更に、第1のマスク層103の表面粗さRaと距離(lor)との比率(Ra/lor)は1以下であることが好ましい。この範囲を満たすことにより、第1のマスク層103の表層のナノスケール特有の束縛を開放し、第1のマスク層103の表層の流動性を良好に保つことができるため、第2の積層体2を被処理体20へと貼合する際の貼合不良部位を良好に抑制することが可能となる。同様の効果から、比率(Ra/lor)は、0.8以下であることが好ましく、0.55以下であることがより好ましく、0.45以下であることがも最も好ましい。なお、0.25以下であれば、第1のマスク層103の表層の流動性が特に良好になり、第1のマスク層103と被処理体20との真実接着面積が大きくなり、これに伴い接着強度が向上するため好ましい。更に、比率(Ra/lor)が、0.2以下であることにより、第1のマスク層103をナノスケールに薄くすると共に、貼合精度を大きく向上させることが可能となる。同様の効果から、0.15以下であることが好ましく、0.1以下であることがより好ましい。なお下限値は特に限定されず、比率(Ra/lor)は小さい程好ましいが、連続的にロール・ツー・ロール法により第2の積層体2を製造する工業製の観点から0.002以上であることが好ましく、0.004以上であることがより好ましい。
(Distance (lor) and surface roughness Ra of the surface of the first mask layer)
Furthermore, the ratio (Ra / lor) between the surface roughness Ra and the distance (lor) of the first mask layer 103 is preferably 1 or less. By satisfying this range, the nanoscale-specific constraints on the surface layer of the first mask layer 103 can be released, and the fluidity of the surface layer of the first mask layer 103 can be kept good. It becomes possible to suppress well the bonding defect site | part at the time of bonding 2 to the to-be-processed object 20. FIG. From the same effect, the ratio (Ra / lor) is preferably 0.8 or less, more preferably 0.55 or less, and most preferably 0.45 or less. In addition, if it is 0.25 or less, the fluidity of the surface layer of the first mask layer 103 is particularly good, and the true adhesion area between the first mask layer 103 and the object to be processed 20 is increased. This is preferable because the adhesive strength is improved. Furthermore, when the ratio (Ra / lor) is 0.2 or less, the first mask layer 103 can be made thin on the nanoscale, and the bonding accuracy can be greatly improved. From the same effect, it is preferably 0.15 or less, and more preferably 0.1 or less. The lower limit is not particularly limited, and the smaller the ratio (Ra / lor), the better. However, from the industrial viewpoint of continuously producing the second laminate 2 by the roll-to-roll method, it is 0.002 or more. It is preferable that it is 0.004 or more.

モールド101の凸部頂部101eの位置と第1のマスク層103の露出する表面位置との距離(lor)は、走査型電子顕微鏡(Scanning Electron Microscope/SEM)により測定される。SEMによる観察は、第2の積層体2の断面に対して行う。なお、下記方法により距離(lor)を求めるに当たり、観察される像の鮮明度が足りず、距離(lor)を定義出来ない場合がある。このような場合は、第2の積層体2を所定の被処理体に転写し中間体21を作製した後に、中間体21の断面に対してSEM観察を行う。距離(lor)を測定するための中間体21の作製方法は以下の通りである。また、第1のマスク層103と第2のマスク層102と、の界面や、第2のマスク層102とモールド101と、の界面を鮮明にする必要のある場合、上記SEMによる観察とは別に、透過型電子顕微鏡による観察を行う。特に、エネルギー分散型X線分光法を併用することで、より鮮明に第2のマスク層102の配置を認識出来る。   The distance (lor) between the position of the convex top 101e of the mold 101 and the exposed surface position of the first mask layer 103 is measured by a scanning electron microscope (SEM). Observation by SEM is performed on the cross section of the second laminate 2. It should be noted that when the distance (lor) is obtained by the following method, there is a case where the sharpness of the observed image is insufficient and the distance (lor) cannot be defined. In such a case, after the second laminated body 2 is transferred to a predetermined object to be processed and the intermediate body 21 is produced, SEM observation is performed on the cross section of the intermediate body 21. The production method of the intermediate 21 for measuring the distance (lor) is as follows. In addition, when the interface between the first mask layer 103 and the second mask layer 102 or the interface between the second mask layer 102 and the mold 101 needs to be sharpened, it is separate from the observation by the SEM. Observation with a transmission electron microscope. In particular, by using energy dispersive X-ray spectroscopy in combination, the arrangement of the second mask layer 102 can be recognized more clearly.

(距離(lor)を測定するための中間体21の作製方法)
1.単結晶サファイア基板を、ホットプレート上に配置し、単結晶サファイア基板の主面の温度が115〜125℃の範囲になるように加温する。単結晶サファイア基板は、下記仕様のものを使用する。
・面方位:c面(0001)、θ1:0°±0.2°、θ2:0°±0.2°
・サイズ:φ50mm、t0.37±0.05mm
・仕上げ:両面鏡面仕上げ(Ra≦1nm)
・TIR≦10μm、BOW≦0±10μm
2.第2の積層体2に保護層14のある場合は、取り除く。
3.第2の積層体2の第1のマスク層103の露出する面を、1.の単結晶サファイア基板に対して貼り合わせる。この時、貼り合わせは、ラミネートロールを使用して行う。ラミネート条件は、ラミネートロールの表面温度が110〜118℃の範囲にあること、単結晶サファイア基板の直径部分に加わる線圧が7〜9kN/mの範囲内にあること、そしてラミネート速度が10mm/秒であることである。また、ラミネートロールは、その表面をタイプAのデュロメータにて測定した際のゴム硬度が28〜32であるものを使用する。なお、ラミネートロールを使用する以上当然であるが、第2の積層体2と単結晶サファイア基板と、の界面への空気の巻き込みを抑制するために、ラミネートロールにより第2の積層体2が単結晶サファイア基板に徐々に貼り合わせられるようにする。
4.単結晶サファイア基板側より紫外線を照射する。紫外線は、波長365nmのUV−LED光源より照射する。照射する紫外線の照度は80mW/cm、そして照射時間は25秒である。
5.4.の紫外線照射後、30秒以内に、第2の積層体2及び単結晶サファイア基板から成る積層体を加温する。加温は、120℃〜125℃に加温された2枚の平板にて挟み込み行う。加温時間は、30秒である。
6.第2の積層体2及び単結晶サファイア基板から成る積層体を冷却する。冷却は、エアーブローにより行い、第2の積層体2の第1のマスク層103とは反対側の面の温度及び、単結晶サファイア基板の温度が共に30℃以下になるまで行う。
7.第2の積層体2のキャリア10を、第2のマスク層102及び第1のマスク層103より剥離する。剥離は、単結晶サファイア基板の一端部より、他の端部に向けて徐々に剥離する。剥離速度は、10mm/秒〜25mm/秒である。
8.得られた中間体21の断面に対して、SEM観察を行うことで、距離(lor)を容易に測定可能である。
(Method for producing intermediate 21 for measuring distance (lor))
1. The single crystal sapphire substrate is placed on a hot plate and heated so that the temperature of the main surface of the single crystal sapphire substrate is in the range of 115 to 125 ° C. A single crystal sapphire substrate having the following specifications is used.
Plane orientation: c-plane (0001), θ1: 0 ° ± 0.2 °, θ2: 0 ° ± 0.2 °
・ Size: φ50mm, t0.37 ± 0.05mm
・ Finish: Double-sided mirror finish (Ra ≦ 1nm)
・ TIR ≦ 10μm, BOW ≦ 0 ± 10μm
2. If the second laminated body 2 has the protective layer 14, it is removed.
3. The exposed surface of the first mask layer 103 of the second stacked body 2 is: Bonded to the single crystal sapphire substrate. At this time, the bonding is performed using a laminate roll. The laminating conditions are that the surface temperature of the laminating roll is in the range of 110 to 118 ° C., the linear pressure applied to the diameter portion of the single crystal sapphire substrate is in the range of 7 to 9 kN / m, and the laminating speed is 10 mm / Is seconds. In addition, a laminate roll having a rubber hardness of 28 to 32 when the surface is measured with a type A durometer is used. As a matter of course, as long as a laminate roll is used, the laminate roll can be used to prevent the air from entering the interface between the second laminate 2 and the single crystal sapphire substrate. It is gradually attached to the crystal sapphire substrate.
4). Ultraviolet rays are irradiated from the single crystal sapphire substrate side. Ultraviolet rays are irradiated from a UV-LED light source having a wavelength of 365 nm. The illuminance of ultraviolet rays to be irradiated is 80 mW / cm 2 and the irradiation time is 25 seconds.
5.4. Within 30 seconds after the UV irradiation, the second laminate 2 and the laminate comprising the single crystal sapphire substrate are heated. Heating is performed by sandwiching between two flat plates heated to 120 ° C to 125 ° C. The warming time is 30 seconds.
6). The stacked body composed of the second stacked body 2 and the single crystal sapphire substrate is cooled. Cooling is performed by air blow until the temperature of the surface of the second laminate 2 opposite to the first mask layer 103 and the temperature of the single crystal sapphire substrate are both 30 ° C. or less.
7). The carrier 10 of the second stacked body 2 is peeled from the second mask layer 102 and the first mask layer 103. Peeling is gradually peeled from one end of the single crystal sapphire substrate toward the other end. The peeling speed is 10 mm / second to 25 mm / second.
8). The distance (lor) can be easily measured by performing SEM observation on the cross section of the obtained intermediate 21.

SEMを使用した測定においては、凹凸構造101aの複数の凸部101b又は複数の凹部101cが、観察像内に鮮明に10以上20以下観察される倍率にて測定し、同観察像より距離(lor)を求める。測定は、上記表面粗さRaを求めるのために、AFMにて測定した位置と略同じ位置を測定する。なお、SEMとしては、日立超高分解能電界放出形走査電子顕微鏡SU8010(株式会社日立ハイテクノロジーズ社製)を使用する。なお、測定における加速電圧は、サンプルへのチャージアップやサンプルの焼けから適宜一般的に設定できるが1.0kVが推奨である。   In the measurement using the SEM, the plurality of convex portions 101b or the plurality of concave portions 101c of the concavo-convex structure 101a are measured at a magnification at which the observed image is clearly observed 10 to 20 in the observed image, and the distance (lor ) In the measurement, in order to obtain the surface roughness Ra, a position substantially the same as the position measured by the AFM is measured. As the SEM, a Hitachi ultra-high resolution field emission scanning electron microscope SU8010 (manufactured by Hitachi High-Technologies Corporation) is used. Note that the acceleration voltage in the measurement can be generally set appropriately from charge-up of the sample or burning of the sample, but 1.0 kV is recommended.

また、20μm間隔毎に撮像を行い、5つの観察像を得る。各観察像に対してまず、凹凸構造101aの凸部頂部101eを決定し、次に、距離(lor)を任意に5つ測定する。即ち、計25点の距離(lor)をデータとして得る。この計25点の距離(lor)の相加平均値を本明細書の距離(lor)と定義する。凹凸構造101aの凸部頂部101eは、撮像内に観察される全ての凸部101bの頂部の頂点の平均位置として決定される。また、距離(lor)は、凸部頂部101eと第1のマスク層103の露出する表面との最短距離の相加平均値であり、既に説明したように最終的に25点の相加平均値として計算される。なお、中間体21に対して測定する場合、距離(lor)は、中間体21の凹凸構造の凹部底部と、単結晶サファイア基板と第1のマスク層103との界面と、の最短距離である。   Further, imaging is performed at intervals of 20 μm to obtain five observation images. For each observation image, first, the convex portion top 101e of the concavo-convex structure 101a is determined, and then five arbitrary distances (lor) are measured. That is, the distance (lor) of a total of 25 points is obtained as data. The arithmetic average value of the distance (lor) of 25 points in total is defined as the distance (lor) in this specification. The convex part top 101e of the concavo-convex structure 101a is determined as the average position of the apexes of the tops of all the convex parts 101b observed in the imaging. Further, the distance (lor) is an arithmetic average value of the shortest distance between the convex top 101e and the exposed surface of the first mask layer 103, and finally, an arithmetic average value of 25 points as described above. Is calculated as Note that, when measuring with respect to the intermediate body 21, the distance (lor) is the shortest distance between the bottom of the concave portion of the uneven structure of the intermediate body 21 and the interface between the single crystal sapphire substrate and the first mask layer 103. .

また、モールドの凹凸構造101aの深さhは、凹凸構造101aの凹部101cの底部頂点と凸部頂部101eと、の最短距離として定義される。測定方法、測定点数、相加平均点数、測定サンプルについては、文言の定義を除いて、上記説明した距離(lor)の測定定義と同様であり、距離(lor)を深さhと読み替えればよい。   Further, the depth h of the concavo-convex structure 101a of the mold is defined as the shortest distance between the bottom vertex of the concave portion 101c and the convex top portion 101e of the concavo-convex structure 101a. The measurement method, the number of measurement points, the arithmetic mean score, and the measurement sample are the same as the measurement definition of the distance (lor) described above except for the definition of the wording. If the distance (lor) is read as the depth h, Good.

また、以下に説明する距離(lcc)及び距離(lcv)についても、その測定方法、測定点数、相加平均点数、測定サンプルについては、文言の定義を除いて、上記説明した距離(lor)の測定定義と同様であり、距離(lor)を距離(lcc)或いは距離(lcv)と読み替えればよい。   In addition, regarding the distance (lcc) and distance (lcv) described below, the measurement method, the number of measurement points, the arithmetic average score, and the measurement sample are the same as the distance (lor) described above except for the definition of the wording. It is the same as the measurement definition, and the distance (lor) may be read as the distance (lcc) or the distance (lcv).

平均ピッチ(Pav)は、上記距離(lor)の測定に使用したSEMを使用して測定される。SEMによる観察は、第2の積層体2のモールド101の凹凸構造101aの表面に対して行う。このため、凹凸構造101aの平均ピッチ(Pav)の測定は、少なくとも第1のマスク層103を除去したモールド101、或いは、第2の積層体2を製造する前のモールド101に対して行う。第1のマスク層103の除去は、第1のマスク層103を被処理体20に転写すること、或いは第1のマスク層103を溶解により除去することで行う。SEMを使用した測定においては、凹凸構造101aの複数の凸部101b又は複数の凹部101cが、SEMの観察像内に鮮明に100以上200以下観察される倍率にて測定し、同観察像より平均ピッチ(Pav)を求める。測定位置は、上記表面粗さRaを求めるために、AFMにて測定した位置と略同じ位置を測定する。   The average pitch (Pav) is measured using the SEM used to measure the distance (lor). Observation by SEM is performed on the surface of the concavo-convex structure 101 a of the mold 101 of the second laminate 2. For this reason, the measurement of the average pitch (Pav) of the concavo-convex structure 101a is performed on the mold 101 from which at least the first mask layer 103 is removed or the mold 101 before the second stacked body 2 is manufactured. The removal of the first mask layer 103 is performed by transferring the first mask layer 103 to the object to be processed 20 or removing the first mask layer 103 by dissolution. In the measurement using the SEM, the plurality of convex portions 101b or the plurality of concave portions 101c of the concavo-convex structure 101a are measured at a magnification at which 100 or more and 200 or less are clearly observed in the SEM observation image. Find the pitch (Pav). As the measurement position, in order to obtain the surface roughness Ra, a position substantially the same as the position measured by the AFM is measured.

また、20μm間隔毎に撮像を行い、5つの観察像を得る。各観察像に対してピッチを任意に10ずつ測定する。即ち、計50点のピッチをデータとして得る。この計50点のピッチの相加平均値を本明細書の平均ピッチ(Pav)と定義する。ピッチとは、撮像内に複数の独立した凸部101bが観察される場合は、凸部101bの頂部の中央部同士の最短距離として定義する。一方で、撮像内に複数の独立した凹部101cが観察される場合は、凹部101cの開口部の中央部同士の最短距離として定義する。換言すれば、モールド101の凹凸構造101aがドット状であれば、最近接するドット間の凸部頂部の中央部同士の距離がピッチであり、ホール状であれば、最近接するホール間の凹部開口部の中央部同士の距離がピッチであり、ラインアンドスペース状であれば、最近接するライン或いはスペースの凸部頂部の中央部或いは凹部開口部の中央部同士の距離がピッチである。なお、ラインアンドスペース状の場合、ラインの幅方向の中央部が頂部中央部であり、スペースの幅方向の中央部が開口部の中央部である。なお、格子状のようにライン或いはスペースとドット状凸部或いはホール状凹部が混在している場合、ドット凸部或いはホール状凹部に対してピッチを測定する。   Further, imaging is performed at intervals of 20 μm to obtain five observation images. An arbitrary 10 pitches are measured for each observation image. That is, a total of 50 pitches is obtained as data. The arithmetic average value of the 50 pitches is defined as the average pitch (Pav) in this specification. The pitch is defined as the shortest distance between the central portions of the tops of the convex portions 101b when a plurality of independent convex portions 101b are observed in the imaging. On the other hand, when a plurality of independent recesses 101c are observed in the imaging, it is defined as the shortest distance between the central portions of the openings of the recesses 101c. In other words, if the concavo-convex structure 101a of the mold 101 is a dot shape, the distance between the central portions of the tops of the convex portions between the closest dots is the pitch, and if it is a hole shape, the concave opening between the closest holes. If the distance between the central parts of the two is a pitch and is a line and space shape, the distance between the central part of the top part of the convex part or the central part of the opening part of the concave part is the pitch. In the case of a line-and-space shape, the center in the width direction of the line is the center of the top, and the center in the width direction of the space is the center of the opening. In addition, when a line or space and a dot-shaped convex part or a hole-shaped concave part are mixed like a lattice, the pitch is measured with respect to the dot convex part or the hole-shaped concave part.

(第2のマスク層のモールドに対する配置)
第2のマスク層102は、少なくとも凹凸構造101aの凹部101c内に充填されるように設けられる。ここで、充填されるように、とは、第2のマスク層102が、少なくとも凹凸構造101aの凹部101cの底部頂点に配置されることと定義する。即ち、第2のマスク層102の、モールド101の凹部101cの側壁に対する配置は特に限定されない。また、第2のマスク層102は、凹凸構造101aの凸部101b上に設けられてもよい。即ち、第2のマスク層102は、少なくとも凹凸構造101aの凹部101c内に充填配置された第2のマスク層102a(以下、「凹部内マスク層102a」ともいう)を有し、凸部101b上に形成された第2のマスク層102b(以下、「凸部上マスク層102b」ともいう)を有すこともできる。ここで、凹部内マスク層102aと凸部上マスク層102bとは互いに連続していても、独立していても、部分的に連続していてもよい。また、凹部内マスク層102aは、凹部101cの側面に対して、部分的に付着していてもよい。或いは、凹部101c内において、部分的に第2のマスク層102の欠けている凹部内マスク層102aであってもよい。また、凹部内マスク層102aが凹部101cの内壁を被膜するように設けられてもよい。
(Arrangement of second mask layer with respect to mold)
The second mask layer 102 is provided so as to fill at least the concave portion 101c of the concave-convex structure 101a. Here, to be filled, it is defined that the second mask layer 102 is disposed at least at the bottom vertex of the concave portion 101c of the concave-convex structure 101a. That is, the arrangement of the second mask layer 102 with respect to the side wall of the recess 101c of the mold 101 is not particularly limited. Further, the second mask layer 102 may be provided on the convex portion 101b of the concave-convex structure 101a. That is, the second mask layer 102 has at least a second mask layer 102a (hereinafter also referred to as “in-recess mask layer 102a”) filled in the recess 101c of the concavo-convex structure 101a. The second mask layer 102b (hereinafter also referred to as “the convex portion upper mask layer 102b”) may be formed. Here, the in-recess mask layer 102a and the upper protrusion mask layer 102b may be continuous with each other, independent of each other, or partially continuous. The in-recess mask layer 102a may partially adhere to the side surface of the recess 101c. Alternatively, it may be the in-recess mask layer 102a in which the second mask layer 102 is partially missing in the recess 101c. Moreover, the mask layer 102a in a recessed part may be provided so that the inner wall of the recessed part 101c may be coat | covered.

図4に示す距離(lcc)は、凹部内マスク層102aの凹凸構造101aに対する充填性を示す指標であり、既に説明した深さ(h)から、凹部内マスク層102aの厚さを減じた値を意味する。なお、凹部内マスク層102aの厚さは、深さ(h)と同じ方向に対する、凹凸構造101aの凹部101cの底部を基準にした厚みである。   The distance (lcc) shown in FIG. 4 is an index indicating the filling property of the in-recess mask layer 102a with respect to the uneven structure 101a, and is a value obtained by subtracting the thickness of the in-recess mask layer 102a from the depth (h) already described. Means. In addition, the thickness of the mask layer 102a in a recessed part is a thickness on the basis of the bottom part of the recessed part 101c of the uneven structure 101a with respect to the same direction as the depth (h).

図5及び図6は、本実施の形態に係る微細パタン形成用積層体におけるモールドの断面模式図である。図5に示すように、凹凸構造101aの凹部101cの側面がモールド101の主面に対して垂直な方向に延在している場合、距離(lcc)のバラつきにかかわらず、形成される凹部内マスク層102aの幅Wは常に一定で分布を持たない。このため、凹部内マスク層102aには、微細パタン構造体16を形成するために、耐ドライエッチング性が求められる。距離(lcc)は、凹部内マスク層102aのドライエッチング耐性及び転写の容易性の観点から、lcc<1.0hであることが好ましく、lcc≦0.9hであることがより好ましく、lcc≦0.7hであることが更に好ましく、lcc≦0.6hであることが特に好ましい。   5 and 6 are schematic cross-sectional views of a mold in the laminate for forming a fine pattern according to the present embodiment. As shown in FIG. 5, when the side surface of the recess 101c of the concavo-convex structure 101a extends in a direction perpendicular to the main surface of the mold 101, the inside of the recess to be formed regardless of the variation in distance (lcc). The width W of the mask layer 102a is always constant and has no distribution. Therefore, dry masking resistance is required for the in-recess mask layer 102a in order to form the fine pattern structure 16. The distance (lcc) is preferably lcc <1.0 h, more preferably lcc ≦ 0.9 h, more preferably lcc ≦ 0, from the viewpoint of dry etching resistance of the in-recess mask layer 102 a and ease of transfer. 0.7 h is more preferable, and lcc ≦ 0.6 h is particularly preferable.

一方、図6に示すように、凹凸構造101aの凹部101cの側面がモールド101の主面に対して傾斜している場合、凹部内マスク層102a内における距離(lcc)のバラつきは、凹部内マスク層102aの幅Wのばらつきとなる。このため、微細マスクパタン16aの幅のバラつきへと影響を与える。微細マスクパタン16aの幅Wのバラつきは、被処理体20に形成される微細パタン22のバラつきへと繋がる。   On the other hand, as shown in FIG. 6, when the side surface of the concave portion 101c of the concavo-convex structure 101a is inclined with respect to the main surface of the mold 101, the variation in the distance (lcc) in the concave portion mask layer 102a The width W of the layer 102a varies. This affects the variation in the width of the fine mask pattern 16a. The variation in the width W of the fine mask pattern 16a leads to the variation in the fine pattern 22 formed on the object 20 to be processed.

また、距離(lcc)<0となる場合は、モールド101の凹凸構造101aの凹部101cが凹部内マスク層102aにより完全に充填され、凹凸構造101a上に第2のマスク層102の薄膜が形成されることを意味する。この場合、第2のマスク層102の成膜性が低下し、膜厚分布が大きくなる。これに基づき、微細マスクパタン16aの精度が低下する。以上の観点から、距離(lcc)は、0<lccを満たす範囲にあるのが好ましく、0.02h≦lccがなお好ましい。更に好ましくは、0.05h≦lccであり、特に0.1h≦lccが好ましい。   When the distance (lcc) <0, the recess 101c of the uneven structure 101a of the mold 101 is completely filled with the in-recess mask layer 102a, and a thin film of the second mask layer 102 is formed on the uneven structure 101a. Means that. In this case, the film formability of the second mask layer 102 is lowered and the film thickness distribution is increased. Based on this, the accuracy of the fine mask pattern 16a decreases. From the above viewpoint, the distance (lcc) is preferably in a range satisfying 0 <lcc, and more preferably 0.02h ≦ lcc. More preferably, 0.05 h ≦ lcc, and particularly preferably 0.1 h ≦ lcc.

図4に示す距離(lcv)は、モールド101の凸部頂部101eの位置と凸部上マスク層102bの頂部位置との間の距離(lcv)である。即ち、距離(lcv)は、凸部上マスク層102bの厚さを意味する。なお、凸部上マスク層102bの厚さは、凹凸構造101aの深さhと同じ方向に対する、凹凸構造101aの凸部101bの頂点を基準にした厚みである。   The distance (lcv) shown in FIG. 4 is a distance (lcv) between the position of the convex top 101e of the mold 101 and the top position of the convex upper mask layer 102b. That is, the distance (lcv) means the thickness of the convex portion upper mask layer 102b. The thickness of the convex upper mask layer 102b is a thickness based on the apex of the convex portion 101b of the concavo-convex structure 101a with respect to the same direction as the depth h of the concavo-convex structure 101a.

また、距離(lcv)としては、微細マスクパタン16aを得る際の凸部上マスク層102bの除去をいっそう容易にし、微細マスクパタン16aの加工精度を向上させる観点から、lcv≦(h−lcc)/2であることが好ましく、lcv≦(h−lcc)/3であることがより好ましく、lcv≦(h−lcc)/5であることが最も好ましい。また、距離lcvを限りなく薄くし、微細マスクパタン16aを得る際の凹部内マスク層102aの過剰な変形を抑制する観点から、lcv≦0.05hであることが好ましく、lcv≦0.02hであることがより好ましく、lcv≦0.01hであることが最も好ましい。特に、凸部上マスク層102bがない場合、即ち、距離(lcv)=0の場合には、微細マスクパタン16aの加工精度が特に良好になると共に、微細マスクパタン16aの幹の太さのバラつきが限りなく小さくなるため好ましい。   Further, as the distance (lcv), from the viewpoint of facilitating the removal of the convex upper mask layer 102b when obtaining the fine mask pattern 16a and improving the processing accuracy of the fine mask pattern 16a, lcv ≦ (h−lcc) / 2, more preferably lcv ≦ (h−lcc) / 3, and most preferably lcv ≦ (h−lcc) / 5. Further, from the viewpoint of reducing the distance lcv as much as possible and suppressing excessive deformation of the in-recess mask layer 102a when the fine mask pattern 16a is obtained, it is preferable that lcv ≦ 0.05h, and lcv ≦ 0.02h. More preferably, it is most preferable that lcv ≦ 0.01h. In particular, when there is no convex upper mask layer 102b, that is, when the distance (lcv) = 0, the processing accuracy of the fine mask pattern 16a is particularly good and the trunk thickness of the fine mask pattern 16a varies. Is preferable because it is extremely small.

以上のような観点から、第2の積層体2においては、距離(lcc)と凹凸構造101aの深さ(h)とが下記式(1)を満たし、且つ距離(lcc)及び深さ(h)が、下記式(2)を満たす。これにより、第2の積層体2にて予め決定した第2のマスク層102の構造精度を、微細マスクパタン16aに反映させることができるため、高精度な微細パタン構造体16を得ることができる。このため、後で図18Fを参照して説明するように、微細パタン構造体202を使用し加工される被処理体上の微細パタン220の精度を高くすることができる。
式(1)
0<lcc<1.0h
式(2)
0≦lcv≦(h−lcc)/2
From the above viewpoint, in the second laminate 2, the distance (lcc) and the depth (h) of the concavo-convex structure 101a satisfy the following formula (1), and the distance (lcc) and the depth (h) ) Satisfies the following formula (2). Thereby, since the structural accuracy of the second mask layer 102 determined in advance in the second stacked body 2 can be reflected in the fine mask pattern 16a, a highly accurate fine pattern structure 16 can be obtained. . For this reason, as will be described later with reference to FIG. 18F, the precision of the fine pattern 220 on the workpiece to be processed using the fine pattern structure 202 can be increased.
Formula (1)
0 <lcc <1.0h
Formula (2)
0 ≦ lcv ≦ (h−lcc) / 2

また、距離(lcc)及び深さ(h)が、0.02h≦lcc≦0.9hを満たすことが好ましい。この場合には、第2の積層体2を使用し、被処理体上にマスク層を転写形成する際の転写精度がより向上する。また、凹部内マスク層102aの充填分布精度がより向上する。このため、第2の積層体2にて予め決定した第2のマスク層102の構造精度を、微細マスクパタン16aに反映させることができるため、高精度な微細パタン構造体16を得ることができる。よって、被処理体上に加工付与される微細パタン22を精度高く容易に得ることが可能となる。   The distance (lcc) and the depth (h) preferably satisfy 0.02h ≦ lcc ≦ 0.9h. In this case, the transfer accuracy when the second laminated body 2 is used and the mask layer is transferred and formed on the object to be processed is further improved. Further, the filling distribution accuracy of the in-recess mask layer 102a is further improved. For this reason, since the structural accuracy of the second mask layer 102 determined in advance in the second stacked body 2 can be reflected in the fine mask pattern 16a, a highly accurate fine pattern structure 16 can be obtained. . Therefore, it is possible to easily obtain the fine pattern 22 processed and applied on the object to be processed with high accuracy.

更に、距離(lcv)及び深さ(h)が、lcv≦(h−lcc)/3を満たすことが好ましく、lcv≦(h−lcc)/5を満たすことがより好ましい。この場合には、凸部上マスク層102bの凹部内マスク層102aに対する体積比率をいっそう小さくすることができるため、微細マスクパタン16aを得る際の凹部内マスク層102aの過剰な形状変化を抑制することができる。特に、0≦lcv≦0.01hを満たすことが好ましく、距離(lcv)がlcv=0を満たすことがより好ましい。この場合には、凸部上マスク層102bの厚みを限りなく小さくできるため、微細マスクパタン16aに対する第2の積層体2にて予め決定した第2のマスク層102の構造精度の反映率が最も大きくなる。とりわけ、凸部上マスク層102bがない場合、即ちlcv=0の場合、モールド101の凹凸構造101aの配列精度の、被処理体上に設けられる微細パタン22に対する反映性がより大きくなるため最も好ましい。   Further, the distance (lcv) and the depth (h) preferably satisfy lcv ≦ (h−lcc) / 3, and more preferably satisfy lcv ≦ (h−lcc) / 5. In this case, since the volume ratio of the convex upper mask layer 102b to the concave mask layer 102a can be further reduced, an excessive shape change of the concave mask layer 102a when the fine mask pattern 16a is obtained is suppressed. be able to. In particular, it is preferable to satisfy 0 ≦ lcv ≦ 0.01h, and it is more preferable that the distance (lcv) satisfies lcv = 0. In this case, since the thickness of the convex upper mask layer 102b can be reduced as much as possible, the reflectivity of the structural accuracy of the second mask layer 102 determined in advance in the second stacked body 2 with respect to the fine mask pattern 16a is the highest. growing. In particular, when there is no mask layer 102b on the convex portion, that is, when lcv = 0, the reflectivity of the arrangement accuracy of the concavo-convex structure 101a of the mold 101 on the fine pattern 22 provided on the object to be processed is most preferable. .

(第1のマスク層の配置)
第2の積層体2において、第1のマスク層103は、モールド101の凹凸構造101a及び第2のマスク層102の上部を覆うように配置される。ここで、上部を覆うように、とは、凸部上マスク層102bがない場合には、凹部内マスク層102a上及び凹凸構造101aの凸部101b上に第1のマスク層103が設けられることを意味する。また、凸部上マスク層102bが存在する場合は、凹部内マスク層102a及び凸部上マスク層102b上に第1のマスク層103が設けられることを意味する。
(Arrangement of first mask layer)
In the second stacked body 2, the first mask layer 103 is disposed so as to cover the uneven structure 101 a of the mold 101 and the upper part of the second mask layer 102. Here, in order to cover the upper part, when there is no convex upper mask layer 102b, the first mask layer 103 is provided on the concave mask layer 102a and the convex part 101b of the concave-convex structure 101a. Means. Further, when the convex upper mask layer 102b exists, it means that the first mask layer 103 is provided on the in-recess mask layer 102a and the convex upper mask layer 102b.

(第2のマスク層と第1のマスク層と、の界面)
第2の積層体2においては、第2のマスク層102と第1のマスク層103との界面の形状は、特に限定されず、平坦でもよく湾曲していても、互いに入り組んでいてもよい。
(Interface between the second mask layer and the first mask layer)
In the second stacked body 2, the shape of the interface between the second mask layer 102 and the first mask layer 103 is not particularly limited, and may be flat or curved, or may be intricate with each other.

(凹凸構造)
モールド101には、特定方向に延在する単数(例えば、ライン状)又は複数(例えば、ドット状、ホール状、格子状)の凹部101cが設けられており、凹部101c間には凸部101bが形成されている。また、凹部101cは、第2の積層体2の主面に略直交する厚み方向に沿った断面視(直交方向に垂直な断面で観たとき)において、モールド101の表面からモールドの表面に対して垂直な方向に陥没している。この凸部101b及び凹部101cで、凹凸構造101aを構成している。
(Uneven structure)
The mold 101 is provided with a single (for example, line-shaped) or a plurality of (for example, dot-shaped, hole-shaped, grid-shaped) concave portions 101c extending in a specific direction, and the convex portions 101b are provided between the concave portions 101c. Is formed. Further, the concave portion 101c is formed from the surface of the mold 101 to the surface of the mold in a cross-sectional view along the thickness direction substantially orthogonal to the main surface of the second laminate 2 (when viewed in a cross section perpendicular to the orthogonal direction). Is depressed in the vertical direction. The concavo-convex structure 101a is constituted by the convex portion 101b and the concave portion 101c.

第2の積層体2におけるモールド101が有する凹凸構造101aの形状は、特に限定されないが、例えば、非回転対称な配列或いは回転対称な配列を採用できる。非回転対称な配列とは、規則性の低い配列や、規則性の高い集合が散在している配列である。回転対称な配列としては、例えば2回対称であれば、互いに平行なラインが複数配置される配列(ラインアンドスペース配列)、正四方配列や正六方配列を一軸方向に延伸した配列、正四方配列や正六方配列を一軸方向に周期的に(例えば、サイン波に乗じて)変調を加えた配列、複数のラインの間隔が周期的に(例えば、サイン波に乗じて)変調された配列、正四方配列や正六方配列を互いに垂直な二軸方向にそれぞれの軸方向に異なる延伸倍率にて延伸した配列、正四方配列や正六方配列を互いに垂直な二軸方向にそれぞれの軸方向に異なる変調周期にて変調した配列等が挙げられる。また、4回以上の対称性を有す配列としては、正四方配列や正六方配列、正四方配列や正六方配列を互いに垂直な二軸方向に同様の周期にて(例えば、サイン波に乗じて)変調した配列、正四方配列や正六方配列をある軸に対して60°刻みの軸方向に同様の周期にて(例えば、サイン波に乗じて)変調した配列等が挙げられる。なお、上記変調とは、凹凸構造101aのピッチが一定ではなく、所定の周期にて変化することを意味する。即ち、ある周期にて凹凸構造101aのピッチが増減を繰り返すような配列である。凹凸構造101aの凸部或いは凹部の形状としては、例えば、ライン状、スペース状、円錐、円柱、四角錐、四角柱、二重リング状、及び多重リング状の構造が挙げられる。なお、これらの形状は底面の外径が歪んだ形状や、側面が湾曲した形状を含む。   The shape of the concavo-convex structure 101a of the mold 101 in the second stacked body 2 is not particularly limited. For example, a non-rotation symmetric arrangement or a rotation symmetric arrangement can be adopted. A non-rotationally symmetric array is an array with a low regularity or an array with a highly regular set. As a rotationally symmetric arrangement, for example, if it is two-fold symmetric, an arrangement in which a plurality of parallel lines are arranged (line and space arrangement), an arrangement in which a regular tetragonal arrangement or a regular hexagonal arrangement is extended in a uniaxial direction, or a tetragonal arrangement An array in which a regular hexagonal array is periodically modulated in one axis direction (for example, multiplied by a sine wave), an array in which intervals of a plurality of lines are modulated periodically (for example, by multiplying by a sine wave), a positive A quadrilateral or regular hexagonal array that is stretched in two axial directions that are perpendicular to each other at different stretching ratios, and a regular tetragonal or regular hexagonal array that is different in each axial direction in two perpendicular directions. Examples include an array modulated with a period. In addition, as an array having four or more times symmetry, a regular tetragonal array, a regular hexagonal array, a regular tetragonal array, and a regular hexagonal array are arranged in a biaxial direction perpendicular to each other (for example, multiplied by a sine wave). A modulated array, a regular tetragonal array, and a regular hexagonal array modulated in the same period (for example, by multiplying by a sine wave) in the axial direction in increments of 60 ° with respect to a certain axis. Note that the modulation means that the pitch of the concavo-convex structure 101a is not constant but changes at a predetermined period. That is, the arrangement is such that the pitch of the concavo-convex structure 101a repeatedly increases and decreases in a certain cycle. Examples of the shape of the convex portion or the concave portion of the concavo-convex structure 101a include a line shape, a space shape, a cone, a cylinder, a quadrangular pyramid, a quadrangular column, a double ring shape, and a multiple ring shape. These shapes include a shape in which the outer diameter of the bottom surface is distorted and a shape in which the side surface is curved.

凹凸構造101aの形状がドット状であると、ドット間の連続的な隙間を第2のマスク層材料の希釈溶液の塗工に利用でき、第2のマスク層102の配置精度が向上する。一方、第2の積層体2の使用に関し、転写形成された第2のマスク層102をマスクとして機能させる場合は、凹凸構造101aの形状はホール形状であることが好ましい。更に、凹凸構造101aの形状がホール形状であることで、第2のマスク層材料の希釈溶液を、凹凸構造101aに直接塗工する際の、凹凸構造101aの物理的破壊に対する耐性が向上する。   When the concavo-convex structure 101a has a dot shape, a continuous gap between dots can be used for application of the diluted solution of the second mask layer material, and the arrangement accuracy of the second mask layer 102 is improved. On the other hand, regarding the use of the second stacked body 2, when the transferred second mask layer 102 is caused to function as a mask, the shape of the concavo-convex structure 101 a is preferably a hole shape. Furthermore, since the shape of the concavo-convex structure 101a is a hole shape, resistance against physical destruction of the concavo-convex structure 101a when the diluted solution of the second mask layer material is directly applied to the concavo-convex structure 101a is improved.

なお、凹凸構造101aがドット形状の場合、隣接するドットが滑らかな凹部を通じつながっていると上記効果をより発揮するため好ましい。また、凹凸構造101aがホール形状の場合、隣接するホールが滑らかな凸部を通じつながっていると、上記効果をより発揮するため好ましい。   In the case where the concavo-convex structure 101a has a dot shape, it is preferable that adjacent dots are connected through a smooth concave portion because the above-described effect is more exhibited. Moreover, when the uneven | corrugated structure 101a is a hole shape, since the said effect is exhibited more when the adjacent hole is connected through the smooth convex part, it is preferable.

図7は、本実施の形態に係る微細パタン形成用積層体の凹凸構造の一例を示す図である。ここで、「ドット形状」とは、「柱状体(錐状体)が複数配置された形状」であり、「ホール形状」とは、「柱状(錐状)の穴が複数形成された形状」である。即ち、ドット形状とは、図7Aに示すように、複数の凸部101b(柱状体(錐状体))が配置された形状であり、凸部101b間の凹部101cは連続性のある状態である。一方、ホール形状とは、図7Bに示すように、複数の凹部101c(柱状(錐状)の穴)が配置された形状であり、隣接する凹部101c同士は凸部101bにより隔離されている状態である。   FIG. 7 is a diagram illustrating an example of the concavo-convex structure of the laminate for forming a fine pattern according to the present embodiment. Here, the “dot shape” is “a shape in which a plurality of columnar bodies (conical bodies) are arranged”, and the “hole shape” is a “shape in which a plurality of columnar (conical) holes are formed”. It is. That is, as shown in FIG. 7A, the dot shape is a shape in which a plurality of convex portions 101b (columnar bodies (conical bodies)) are arranged, and the concave portions 101c between the convex portions 101b are in a continuous state. is there. On the other hand, the hole shape is a shape in which a plurality of concave portions 101c (columnar (conical) holes) are arranged as shown in FIG. 7B, and adjacent concave portions 101c are separated from each other by the convex portions 101b. It is.

(平均ピッチ)
凹凸構造101aの平均ピッチ(Pav)は、被処理体20の用途により適宜選択できる事項であるため特に限定されない。特に、下記式(9)を満たすことが好ましい。この範囲を満たすことにより、第2の積層体2を製造する際の、凹部内マスク層102aの配置精度を向上させ、且つ、第2の積層体2を使用しモールド101を除去する際のマスク層の破壊を抑制できる。更に、2erg/cm2〜18erg/cm2程度に表面自由エネルギを低下させたモールド101を使用し転写精度を向上させた場合であっても、第2のマスク層102の配置精度及び第1のマスク層103の膜厚精度を向上させることができるため、150nm以上1300nm以下であることがより好ましく、200nm以上1200nm以下であることが更に好ましい。更に、モールド101を剥離する際に加わるマスク層に対する集中応力を小さくし転写精度を向上させる観点から、250nm以上950nm以下であることがより好ましく、300nm以上750nm以下であることが最も好ましい。
式(9)
50nm≦Pav≦1500nm
(Average pitch)
The average pitch (Pav) of the concavo-convex structure 101a is not particularly limited because it is a matter that can be appropriately selected depending on the application of the object 20 to be processed. In particular, it is preferable to satisfy the following formula (9). By satisfying this range, the placement accuracy of the in-recess mask layer 102a is improved when the second stacked body 2 is manufactured, and the mask is used when the mold 101 is removed using the second stacked body 2. Destruction of the layer can be suppressed. Furthermore, even when the transfer accuracy is improved by using the mold 101 whose surface free energy is reduced to about 2 erg / cm 2 to 18 erg / cm 2, the arrangement accuracy of the second mask layer 102 and the first mask layer are improved. Since the film thickness accuracy of 103 can be improved, it is more preferably 150 nm or more and 1300 nm or less, and further preferably 200 nm or more and 1200 nm or less. Furthermore, from the viewpoint of reducing the concentrated stress applied to the mask layer when peeling the mold 101 and improving the transfer accuracy, it is more preferably 250 nm or more and 950 nm or less, and most preferably 300 nm or more and 750 nm or less.
Formula (9)
50 nm ≦ Pav ≦ 1500 nm

(アスペクト)
次に、モールド101の凹凸構造101aの立体方向の好ましい範囲について、アスペクトに注目して説明する。アスペクトとは、モールド101の凹凸構造101aの凸部101bの底部の径或いは凹部101cの開口部の径を深さhにて除した値である。凸部101bの底部の径或いは凹部101cの開口部の径は、平均ピッチ(Pav)を求める際の観察から同時に計測できる。
(aspect)
Next, a preferable range in the three-dimensional direction of the concavo-convex structure 101a of the mold 101 will be described by paying attention to the aspect. The aspect is a value obtained by dividing the diameter of the bottom of the convex portion 101b of the concavo-convex structure 101a of the mold 101 or the diameter of the opening of the concave portion 101c by the depth h. The diameter of the bottom part of the convex part 101b or the diameter of the opening part of the concave part 101c can be simultaneously measured from observation when obtaining the average pitch (Pav).

凸部底部の径は、平均ピッチ(Pav)を求める際の観察像に観察される、複数の独立した凸部101bの輪郭に対する外接円の直径として定義する。一方で、凹部開口部の径は、平均ピッチ(Pav)を求める際の観察像に観察される、複数の独立した凹部101cの開口部の外接円の直径として定義する。なお、ラインアンドスペースの場合は、ラインの幅が上記凸部底部の径に相当し、スペースが上記凹部開口部の径に相当する。また、格子状のように、ライン或いはスペースとドット状凸部或いはホール状凹部が混在している場合、ドット凸部或いはホール状凹部に対して凸部底部の或いは凹部開口部の径を測定する。凸部底部の径及び凹部開口部の径の測定方法、測定点数、相加平均点数、測定サンプルについては、文言の定義を除いて、既に説明した平均ピッチ(Pav)の測定定義と同様であり、ピッチを凸部底部の径及び凹部開口部の径と読み替えればよい。   The diameter of the bottom of the convex portion is defined as the diameter of a circumscribed circle with respect to the contours of a plurality of independent convex portions 101b, which is observed in the observation image when calculating the average pitch (Pav). On the other hand, the diameter of the recess opening is defined as the diameter of the circumscribed circle of the openings of the plurality of independent recesses 101c, which is observed in the observation image when obtaining the average pitch (Pav). In the case of line and space, the width of the line corresponds to the diameter of the bottom of the convex portion, and the space corresponds to the diameter of the opening of the concave portion. Further, when a line or space and a dot-like convex part or a hole-like concave part are mixed like a grid, the diameter of the convex bottom part or concave part opening is measured with respect to the dot convex part or hole-like concave part. . The measurement method, the number of measurement points, the arithmetic average number of points, and the measurement sample of the diameter of the bottom of the convex part and the diameter of the concave part are the same as the measurement definition of the average pitch (Pav) described above, except for the wording definition. The pitch may be read as the diameter of the bottom of the convex portion and the diameter of the concave opening.

アスペクトは、凸部底部の径/高さ、或いは凹部開口部の径/深さである。アスペクトは、モールド101を剥離する際の剥離エネルギ、より具体的には剥離エネルギを構成する一要素であるモーメントエネルギに影響を与える。被処理体20に転写付与されるマスク層の凸部の破損を抑制するために、アスペクトは5以下が好ましい。また、モールド101の剥離速度を大きくできる観点から、3.0以下であることが好ましい。特に、被処理体20の形状が平板状だけでなく、凸レンズ状、凹レンズ状といった場合であっても、転写精度を良好に維持できる観点から、2.5以下であることがより好ましく、1.5以下であることが最も好ましい。一方で、微細マスクパタン16aを被処理体20上に形成する際の、加工精度の観点から、アスペクトは、0.1以上であることが好ましく、0.3以上であることがより好ましく、0.5以上であることが最も好ましい。   The aspect is the diameter / height of the bottom of the convex portion or the diameter / depth of the concave opening. The aspect affects the peeling energy when peeling the mold 101, more specifically, the moment energy that is one element constituting the peeling energy. In order to suppress damage to the convex portions of the mask layer transferred and applied to the object 20, the aspect is preferably 5 or less. Moreover, it is preferable that it is 3.0 or less from a viewpoint which can make the peeling speed of the mold 101 large. In particular, even when the shape of the object to be processed 20 is not only a flat plate shape but also a convex lens shape or a concave lens shape, it is more preferably 2.5 or less from the viewpoint of maintaining good transfer accuracy. Most preferably, it is 5 or less. On the other hand, from the viewpoint of processing accuracy when the fine mask pattern 16a is formed on the workpiece 20, the aspect is preferably 0.1 or more, more preferably 0.3 or more, and 0 Most preferably, it is 5 or more.

(凹凸構造のばらつき)
凹凸構造101aの形状は、凹部深さ、凹部底部の幅、凸部頂部の幅、凹部側面の角度、凹部側面の変曲点の数といった変数により記載することができる。一方、配列はピッチを変数とすることで記載可能である。ここで、変数をxとした時に、xに対する標準偏差と相加平均との比率(標準偏差/相加平均)が0.025以上であることにより、第2のマスク層102の配列や形状に乱れを加えることができる。これに伴い、微細マスクパタン16aや、更に被処理体20の微細パタン22に乱れを加えることができる。このような乱れは、被処理体20の用途により、より優れた機能を発現できる。例えば、LEDやOLEDの光学用途に使用する場合、ナノスケールの微細パタンであったとしても光学的散乱性を強く付加することができる。これにより、例えば、LEDやOLEDに対する光取り出し効率がより一層向上する。ここで、比率(標準偏差/相加平均)は、凹凸構造101aを構成する要素に対する値である。例えば、凹凸構造101aが要素A,B,Cの3つから構成される場合、要素Aに対する標準偏差/要素Aに対する相加平均といったように、同一の要素に対する標準偏差と相加平均に対する比率として定義する。
(Unevenness of uneven structure)
The shape of the concavo-convex structure 101a can be described by variables such as the concave depth, the width of the concave bottom, the width of the convex top, the angle of the concave side, and the number of inflection points on the concave side. On the other hand, the arrangement can be described by using the pitch as a variable. Here, when the variable is x, the ratio (standard deviation / arithmetic mean) of the standard deviation to the arithmetic mean with respect to x is 0.025 or more, so that the arrangement and shape of the second mask layer 102 are changed. Disturbance can be added. Accordingly, disturbance can be applied to the fine mask pattern 16a and further to the fine pattern 22 of the object 20 to be processed. Such a disturbance can express a more excellent function depending on the use of the object 20 to be processed. For example, when used for optical applications of LEDs and OLEDs, optical scattering can be strongly added even if it is a nanoscale fine pattern. Thereby, for example, the light extraction efficiency for LEDs and OLEDs is further improved. Here, the ratio (standard deviation / arithmetic mean) is a value for the elements constituting the concavo-convex structure 101a. For example, when the concavo-convex structure 101a is composed of three elements A, B, and C, the standard deviation for the element A / arithmetic average for the element A, such as the standard deviation for the same element and the ratio to the arithmetic mean Define.

(相加平均)
相加平均値は、ある要素(変量)XのN個の測定値をx1,x2…,xnとした場合に、次式にて定義される。
(Arithmetic mean)
The arithmetic mean value is defined by the following equation when N measured values of a certain element (variable) X are x1, x2,.

Figure 0006307258
Figure 0006307258

(標準偏差)
要素(変量)XのN個の測定値をx1,x2…,xnとした場合に、上記定義された相加平均値を使用し、次式にて定義される。
(standard deviation)
When N measured values of the element (variable) X are x1, x2,..., Xn, the above defined arithmetic average value is used and defined by the following equation.

Figure 0006307258
Figure 0006307258

モールド101の凹凸構造101aの表面に対するSEM観察より判断する場合は、既に説明した平均ピッチ(Pav)と同様に、5つの観察像に対してそれぞれ10点の測定を行う。即ち、サンプル点数Nは50である。例えば、モールド101の凹凸構造101aのピッチ、凹部開口部の径、凸部頂部の径、凹部開口部に対する外接円と内接円と、の比率等は、凹凸構造101aの表面に対するSEM観察により測定される。   When judging from the SEM observation on the surface of the concavo-convex structure 101a of the mold 101, 10 points are measured for each of the five observation images in the same manner as the average pitch (Pav) already described. That is, the number N of sample points is 50. For example, the pitch of the concavo-convex structure 101a of the mold 101, the diameter of the concave opening, the diameter of the top of the convex, the ratio of the circumscribed circle and the inscribed circle with respect to the concave opening are measured by SEM observation on the surface of the concavo-convex structure 101a. Is done.

一方で、モールド101の凹凸構造101aの断面に対するSEM観察より判断する場合は、既に説明した距離(lor)と同様に、5つの観察像に対してそれぞれ5点の測定を行う。即ち、サンプル点数Nは25である。例えば、モールド101の凹凸構造101aの深さや凹部側面の傾斜角度は、凹凸構造101aの断面に対するSEM観察により測定される。   On the other hand, when judging from the SEM observation with respect to the cross section of the concavo-convex structure 101a of the mold 101, five points are measured for each of the five observation images, similarly to the distance (lor) already described. That is, the number N of sample points is 25. For example, the depth of the concavo-convex structure 101a of the mold 101 and the inclination angle of the side surface of the concave portion are measured by SEM observation on the cross section of the concavo-convex structure 101a.

上述したように、比率(標準偏差/相加平均)が0.025以上であればナノスケールの微細パタンであっても光学的散乱性を強く発現することが可能となる。この光学的散乱性は、用途により最適値が異なるが、例えばLEDに対する内部量子効率IQEと光取り出し効率LEEとの両立の観点からは、0.03以上であると好ましい。一方、上限値は、第2のマスク層102の配置精度の観点から、0.5以下であると好ましい。また、微細マスクパタン16aの精度、特に部分的に微細マスクパタン16aが破損することを抑制する観点から0.35以下が好ましく、0.25以下であることがより好ましく、0.15以下であることが最も好ましい。この乱れのもととなる要素は多くあるが、特に、少なくとも凹凸構造101aの深さh或いはピッチにより加えられることが好ましく、少なくともピッチにより加えられることが最も好ましい。   As described above, if the ratio (standard deviation / arithmetic mean) is 0.025 or more, even if it is a nanoscale fine pattern, the optical scattering property can be strongly expressed. The optimum value of the optical scattering property varies depending on the application, but is preferably 0.03 or more from the viewpoint of coexistence of the internal quantum efficiency IQE and the light extraction efficiency LEE for the LED, for example. On the other hand, the upper limit value is preferably 0.5 or less from the viewpoint of the placement accuracy of the second mask layer 102. Further, it is preferably 0.35 or less, more preferably 0.25 or less, and more preferably 0.15 or less from the viewpoint of suppressing the precision of the fine mask pattern 16a, in particular, partial damage to the fine mask pattern 16a. Most preferred. Although there are many elements that cause this disturbance, in particular, it is preferably added at least by the depth h or pitch of the concavo-convex structure 101a, and most preferably by at least the pitch.

モールド101の凹凸構造101aの凹部101cの径がその底部から開口部に向かうに従い大きくなることで、第2の積層体2を製造する際の、凹部内マスク層102aの充填配置精度が特に向上する。更には、離型工程時における中間体21のマスク層に加わる剥離エネルギを小さくできるため、マスク層の破損を抑制でき、転写精度が向上する。   Since the diameter of the concave portion 101c of the concave-convex structure 101a of the mold 101 increases from the bottom toward the opening, the filling arrangement accuracy of the in-recess mask layer 102a when the second laminate 2 is manufactured is particularly improved. . Furthermore, since the peeling energy applied to the mask layer of the intermediate body 21 during the mold release step can be reduced, damage to the mask layer can be suppressed and transfer accuracy can be improved.

更に、凹凸構造101aの凹部101cの底部の平坦面は小さい程好ましく、凹部101cの底部に平坦面が存在しないとより好ましい。より具体的には、凹部101cの断面に対する形状は、砲弾状、楕円を短編に沿って割断した形状又は、放物線状であることが好ましく、二次関数に対してR2が0.85以上の精度で近似可能な形状であることが最も好ましい。また、凹部101cの底部は、曲率半径が0超の角部であることが好ましい。これらの条件を満たす場合、凹部101cの開口縁部(以下、凹部開口縁部ともいう)と凹部底部とをつなぐ凹部101cの側面の傾斜により、第2の積層体2を製造する際の、マスク層塗工液の凹部開口縁部におけるピン止め効果をより効果的に抑制できるため、凹部内マスク層102aの充填量分布及び第1のマスク層103の膜厚分布をより小さくすることができる。更に離型工程時におけるモールド101の凹部開口縁部よりマスク層にむけて生じる集中応力を小さくできるため、中間体21の転写精度が向上する。   Furthermore, it is preferable that the flat surface at the bottom of the recess 101c of the concavo-convex structure 101a is as small as possible, and it is more preferable that there is no flat surface at the bottom of the recess 101c. More specifically, the shape of the recess 101c with respect to the cross section is preferably a bullet-like shape, a shape obtained by cleaving an ellipse along a short length, or a parabolic shape, and R2 is 0.85 or higher with respect to a quadratic function. The shape that can be approximated by is most preferable. Moreover, it is preferable that the bottom part of the recessed part 101c is a corner | angular part whose curvature radius is more than zero. When these conditions are satisfied, a mask for manufacturing the second laminated body 2 by the inclination of the side surface of the recess 101c that connects the opening edge of the recess 101c (hereinafter also referred to as the recess opening edge) and the recess bottom. Since the pinning effect at the recess opening edge of the layer coating liquid can be more effectively suppressed, the filling amount distribution of the in-recess mask layer 102a and the film thickness distribution of the first mask layer 103 can be further reduced. Furthermore, since the concentrated stress generated from the recess opening edge of the mold 101 toward the mask layer during the mold release process can be reduced, the transfer accuracy of the intermediate 21 is improved.

更に、モールド101の凹部開口縁部と凹部側面とは、連続的に滑らかにつながっていると、上記効果をよりいっそう発揮できるため好ましい。即ち、モールド101の凸部101bの頂部と凹部101cの側面部とから構成される角部は、曲率半径が0超の角部であることが好ましい。   Furthermore, it is preferable that the recess opening edge of the mold 101 and the side surface of the recess are continuously and smoothly connected, because the above effect can be further exhibited. That is, it is preferable that the corner portion constituted by the top portion of the convex portion 101b of the mold 101 and the side surface portion of the concave portion 101c is a corner portion having a curvature radius exceeding zero.

例えば、LEDに使用されるサファイアウェハ(被処理体20)表面の加工を行う場合、LEDの内部量子効率IQEを向上させるために、モールド101の凹凸構造101aは、平均ピッチ(Pav)が200nm〜500nm、高さが50nm〜500nmであることが好ましい。特に、光取り出し効率LEEも同時に向上させるために、上記説明した乱れを加えることが好ましい。例えば、凹凸構造101aは、ナノスケールで正規配列をなし、且つマイクロスケールの大きな周期性を有し、ピッチにマイクロスケールの周期を有する変調を加えた配列により、上記乱れを加えることが好ましい。   For example, when processing the surface of a sapphire wafer (processed object 20) used in an LED, the uneven structure 101a of the mold 101 has an average pitch (Pav) of 200 nm to 200 nm to improve the internal quantum efficiency IQE of the LED. The thickness is preferably 500 nm and the height is 50 nm to 500 nm. In particular, in order to improve the light extraction efficiency LEE at the same time, it is preferable to add the above-described disturbance. For example, the concavo-convex structure 101a preferably has a regular arrangement on the nanoscale and has a large microscale periodicity, and the disturbance is preferably applied by an arrangement obtained by adding a modulation having a microscale period to the pitch.

(凹凸構造A)
中でも、モールド101は、表面の一部又は全面に以下に説明するの凹凸構造Aを含むことが好ましい。以下に説明する凹凸構造Aを含むモールド101を使用することで、第2の積層体2に対するマスク層の配置精度が向上すると共に、第2の積層体2を使用し形成される中間体21の転写精度が向上するためである。なお、詳細は追って説明するが、記号(Mcc)はモールド101の凹凸構造101aの凹部開口幅を、記号(Mcv)はモールド101の凹凸構造101aの凸部頂部幅を、比率(Sh/Scm)はモールド101の凹凸構造101aの開口率を意味する。
(Uneven structure A)
Especially, it is preferable that the mold 101 includes the concavo-convex structure A described below on a part of or the entire surface. By using the mold 101 including the concavo-convex structure A described below, the placement accuracy of the mask layer with respect to the second stacked body 2 is improved, and the intermediate body 21 formed using the second stacked body 2 is improved. This is because the transfer accuracy is improved. Although the details will be described later, the symbol (Mcc) represents the width of the concave opening of the concave-convex structure 101a of the mold 101, and the symbol (Mcv) represents the width of the convex top of the concave-convex structure 101a of the mold 101 as a ratio (Sh / Scm). Means the aperture ratio of the concavo-convex structure 101 a of the mold 101.

第2の積層体2を良好に機能させる骨子は、第2の積層体2としての精度を高くすることと、第2の積層体2を使用し得られる中間体21の精度を向上させることである。   The essence of allowing the second laminate 2 to function well is to increase the accuracy of the second laminate 2 and to improve the accuracy of the intermediate body 21 that can be obtained by using the second laminate 2. is there.

以下の説明においては、第2のマスク層102を配置する際に使用する塗工液を第2の塗工液と、第1のマスク層103を成膜する際に使用する塗工液を第1の塗工液と、第1の塗工液と第2の塗工液を同時に表現する場合を単に、塗工液として表現する。   In the following description, the coating liquid used when arranging the second mask layer 102 is the second coating liquid and the coating liquid used when forming the first mask layer 103 is the first coating liquid. A case where the first coating liquid, the first coating liquid, and the second coating liquid are expressed simultaneously is simply expressed as a coating liquid.

下記式(4)〜(7)を同時に満たすことで、塗工液の流れの、モールド101の凹凸構造101aの凸部頂部外縁部における乱れを抑制することができるため、マスク層の配置精度が向上する。より具体的に説明する。塗工液を凹凸構造101aに塗工し、マスク層を凹凸構造101aに対して精度高く配置する骨子は、マクロに観た塗工性を向上させることと、ミクロに観た塗工性を向上させることである。ここで、マクロに観た塗工性とは、凹凸構造101aの凸部101b及び凹部101cが数百以上の集合をなす状態として塗工現象を論じることである。換言すれば、塗工液は、凹凸構造101aの凸部101b及び凹部101cを1つ1つ認識することはなく、凹凸構造101aの集合による表面自由エネルギを認識する状態である。一方で、ミクロに観た塗工性とは、凹凸構造101aの凸部101b及び凹部101cが1つから数十集まった状態として塗工現象を論じることである。換言すれば、塗工液は、凹凸構造101aを構成する1つの凸部101b或いは1つの凹部101cを認識することができる。   By satisfying the following formulas (4) to (7) at the same time, it is possible to suppress the disturbance of the flow of the coating liquid at the outer edge of the convex portion top of the concave-convex structure 101a of the mold 101. improves. This will be described more specifically. The main point of coating the coating liquid on the concavo-convex structure 101a and arranging the mask layer with high accuracy with respect to the concavo-convex structure 101a is to improve the coating property seen from the macro and the coating property seen from the micro. It is to let you. Here, the coating property viewed macroscopically is to discuss the coating phenomenon as a state in which the convex portions 101b and the concave portions 101c of the concavo-convex structure 101a form a set of several hundreds or more. In other words, the coating liquid does not recognize the convex portions 101b and the concave portions 101c of the concavo-convex structure 101a one by one, but is in a state of recognizing the surface free energy due to the set of the concavo-convex structures 101a. On the other hand, the coating property viewed microscopically means that the coating phenomenon is discussed as a state in which one to several tens of convex portions 101b and concave portions 101c of the concavo-convex structure 101a are gathered. In other words, the coating liquid can recognize one convex portion 101b or one concave portion 101c constituting the concavo-convex structure 101a.

マクロに観た塗工性を向上させるためには、凹凸構造101aの集合により作られる塗工液より観た表面自由エネルギの均等性を向上させる必要がある。下記式(4)は、凹凸構造101aの配列、特に対称性を制限する式である。より具体的には、塗工液から観る凹凸構造101aの配列の一次元情報を表すのが比率(Mcv/Mcc)であり、二次元情報を表すのが比率(Sh/Scm)である。即ち、塗工液から見た一次元情報の広がりが二次元情報であり、この一次元情報と二次元情報が所定の関係を満たす、即ち配列の限定されることを意味している。式(4)を満たすことで、凹凸構造101aの対称性が向上し、塗工液から見た凹凸構造101aの表面自由エネルギの均等性が向上する。   In order to improve the coating property as viewed macroscopically, it is necessary to improve the uniformity of the surface free energy as viewed from the coating liquid produced by the assembly of the concavo-convex structure 101a. The following formula (4) is a formula that limits the arrangement of the concavo-convex structure 101a, particularly symmetry. More specifically, the ratio (Mcv / Mcc) represents the one-dimensional information of the arrangement of the concavo-convex structure 101a viewed from the coating liquid, and the ratio (Sh / Scm) represents the two-dimensional information. That is, the spread of the one-dimensional information viewed from the coating liquid is two-dimensional information, which means that the one-dimensional information and the two-dimensional information satisfy a predetermined relationship, that is, the arrangement is limited. By satisfy | filling Formula (4), the symmetry of the uneven structure 101a improves, and the uniformity of the surface free energy of the uneven structure 101a seen from the coating liquid improves.

ミクロに観た塗工性を向上させるためには、凹凸構造101aの1つの凸部101bと1つの凹部101cに対する塗工液の塗工性を向上させる必要がある。下記式(5)〜(7)を同時に満たすことで、凹凸構造101aの凸部101bの頂部外縁部における塗工液の流が乱れることを抑制できる。より具体的には、塗工液と凹凸構造101aとの界面自由エネルギ、塗工液の粘度、及び凹凸構造101aの凸部101bの頂部の外縁部における塗工液の流動性によりミクロな塗工性が決定される。ここで、マスク層の凹凸構造101aに対する配置は、塗工液と凹凸構造101aとの界面自由エネルギ、及び塗工液の粘度により制御できる。即ち、該界面自由エネルギと該粘度とが任意の範囲で変わった場合であっても、凹凸構造101aの凸部101bの頂部の外縁部における塗工液の流動性を向上させることができる。式(5)〜(7)を同時に満たすことで、特に、凹凸構造101aの凸部101bの頂部の外縁部における塗工液に対するアンカー効果やピン止め効果を効果的に抑制することができるため、該流動性が担保され、マスク層の配置精度が向上する。   In order to improve the coating property seen microscopically, it is necessary to improve the coating property of the coating liquid with respect to one convex part 101b and one concave part 101c of the concavo-convex structure 101a. By satisfy | filling following formula (5)-(7) simultaneously, it can suppress that the flow of the coating liquid in the top outer edge part of the convex part 101b of the uneven structure 101a is disturb | confused. More specifically, the microscopic coating is performed by the interface free energy between the coating liquid and the concavo-convex structure 101a, the viscosity of the coating liquid, and the fluidity of the coating liquid at the outer edge of the top of the convex part 101b of the concavo-convex structure 101a. Sex is determined. Here, the arrangement of the mask layer with respect to the concavo-convex structure 101a can be controlled by the interface free energy between the coating liquid and the concavo-convex structure 101a and the viscosity of the coating liquid. That is, even when the interface free energy and the viscosity change within an arbitrary range, it is possible to improve the fluidity of the coating liquid at the outer edge of the top of the convex portion 101b of the concavo-convex structure 101a. By satisfying the equations (5) to (7) at the same time, in particular, it is possible to effectively suppress the anchor effect and the pinning effect on the coating liquid at the outer edge portion of the top of the convex portion 101b of the concavo-convex structure 101a. The fluidity is ensured, and the placement accuracy of the mask layer is improved.

以上より、下記式(5)〜(7)を同時に満たすことで、マクロに観た塗工性とミクロに観た塗工性の双方を同時に向上できるため、塗工液の成膜性が向上し、マスク層の凹凸構造101aに対する配置精度及び膜厚精度が向上する。   From the above, by satisfying the following formulas (5) to (7) at the same time, both the macroscopic coating property and the microscopic coating property can be improved at the same time. In addition, the arrangement accuracy and film thickness accuracy of the mask layer with respect to the concavo-convex structure 101a are improved.

中間体21を得るためには、モールド101をマスク層より除去する必要がある。特に、剥離除去することが、第2の積層体2のユーザビリティ及びタクトの観点から好ましい。ここで、モールド101をマスク層より剥離する、という物理現象を経ることから、必ずマスク層に対して剥離応力が働く。即ち、中間体21を高精度に得るためには、この剥離応力によりマスク層が破壊されることを抑制する必要がある。マスク層の破壊は、マスク層の凹凸構造が破壊される局所的破壊、マスク層の膜が破壊される全体破壊、そしてマスク層と被処理体20との界面が破壊される界面剥離がある。以下に説明する式(4)〜(7)を同時に満たすことで、特に、局所的破壊及び全体破壊をより効果的に抑制できる。なお、これらの破壊はマスク層の凝集破壊であることが多いため、以下の説明においては凝集破壊という文言を代表して使用する。   In order to obtain the intermediate 21, it is necessary to remove the mold 101 from the mask layer. In particular, peeling and removing are preferable from the viewpoints of usability and tact of the second stacked body 2. Here, since the physical phenomenon of peeling the mold 101 from the mask layer is performed, a peeling stress always acts on the mask layer. That is, in order to obtain the intermediate 21 with high accuracy, it is necessary to prevent the mask layer from being broken by the peeling stress. The destruction of the mask layer includes local destruction in which the concavo-convex structure of the mask layer is destroyed, total destruction in which the film of the mask layer is destroyed, and interface peeling in which the interface between the mask layer and the workpiece 20 is destroyed. By satisfy | filling Formula (4)-(7) demonstrated below simultaneously, especially local destruction and total destruction can be suppressed more effectively. In addition, since such destruction is often cohesive failure of the mask layer, in the following description, the term “cohesive failure” is used as a representative.

マスク層の凝集破壊を抑制するためには、マスク層に加わる剥離応力の絶対値を小さくすることと、マスク層に加わる剥離応力を均等化することが重要である。下記式(5)〜(7)を同時に満たすことで、剥離応力の絶対値を小さくすることができる。これは、モールド101の凹凸構造101aの凸部頂部外縁部よりマスク層に加えられる応力を低減できるためである。一方で、下記式(4)を満たすことで、マスク層に対する剥離応力の均等性を向上させることができる。即ち、局所的に観た集中応力を抑制できる。これは、下記式(4)を満たす凹凸構造101aの配列は、その表面自由エネルギの均等性が高い配列であることから、モールド101を剥離する際にマスク層に加わる応力も均等化するためである。   In order to suppress cohesive failure of the mask layer, it is important to reduce the absolute value of the peeling stress applied to the mask layer and equalize the peeling stress applied to the mask layer. By satisfying the following formulas (5) to (7) at the same time, the absolute value of the peeling stress can be reduced. This is because the stress applied to the mask layer from the outer edge of the top of the convex portion of the concavo-convex structure 101a of the mold 101 can be reduced. On the other hand, the uniformity of the peeling stress with respect to the mask layer can be improved by satisfying the following formula (4). That is, the concentrated stress observed locally can be suppressed. This is because the arrangement of the concavo-convex structure 101a satisfying the following formula (4) is an arrangement in which the surface free energy is highly uniform, so that the stress applied to the mask layer when the mold 101 is peeled is also equalized. is there.

以上から、下記式(4)〜(7)を同時に満たすことで、マスク層に加わる剥離応力の絶対値を小さくすると共に、マスク層に加わる剥離応力を均等化することができ、転写性が向上する。   From the above, by simultaneously satisfying the following formulas (4) to (7), the absolute value of the peeling stress applied to the mask layer can be reduced, the peeling stress applied to the mask layer can be equalized, and transferability is improved. To do.

即ち、下記式(4)〜(7)を同時に満たす凹凸構造Aを含むモールド101を使用することで、第2のマスク層102の配置精度が高く、且つ第1のマスク層103の膜厚均等性の高い第2の積層体2を製造することができる。更に、第2の積層体2を使用し中間体21を得る際の、転写精度を向上できる。換言すれば、下記式(4)〜(7)を同時に満たす凹凸構造Aを含むモールド101を使用することで、第2のマスク層102の配置精度が高く、且つ、第1のマスク層103の膜厚均等性の高い中間体21を得ることができる。よって、被処理体20に設けられる微細パタン22の精度を向上させることができる。   That is, by using the mold 101 including the concavo-convex structure A that simultaneously satisfies the following formulas (4) to (7), the placement accuracy of the second mask layer 102 is high and the film thickness of the first mask layer 103 is uniform. The 2nd laminated body 2 with high property can be manufactured. Furthermore, the transfer accuracy when the intermediate body 21 is obtained using the second laminate 2 can be improved. In other words, by using the mold 101 including the concavo-convex structure A that simultaneously satisfies the following expressions (4) to (7), the placement accuracy of the second mask layer 102 is high, and the first mask layer 103 The intermediate body 21 with high film thickness uniformity can be obtained. Therefore, the precision of the fine pattern 22 provided on the workpiece 20 can be improved.

式(4)

Figure 0006307258
式(5)
0.23<(Sh/Scm)≦0.99
式(6)
0.01≦(Mcv/Mcc)<1.0
式(7)
50nm≦h≦1500nm Formula (4)
Figure 0006307258
Formula (5)
0.23 <(Sh / Scm) ≦ 0.99
Formula (6)
0.01 ≦ (Mcv / Mcc) <1.0
Formula (7)
50 nm ≦ h ≦ 1500 nm

式(7)に示す高さhについては、既に説明した通りである。   The height h shown in Expression (7) is as already described.

図8〜図14は上記式(4)〜(7)にて制限されるモールド101の凹凸構造Aの第1〜第4の条件を説明するためのグラフである。図8中、横軸に比率(Sh/Scm)を、縦軸に比率(Mcv/Mcc)をとっている。図8に示す曲線aは、(Mcv/Mcc)=√(1.1/(Sh/Scm))−1であり、曲線bは、(Mcv/Mcc)=√(0.5/(Sh/Scm))−1である。即ち、曲線b以上曲線a以下の領域が式(4)である。また、直線cは、(Sh/Scm)=0.23であり、直線dは(Sh/Scm)=0.99である。即ち、横軸方向に直線c超直線d以下の領域が式(5)である。直線fは、(Mcv/Mcc)=1.0であり、直線gは、(Mcv/Mcc)=0.01である。即ち、縦軸方向に直線f未満且つ直線g以上が式(6)である。よって、図8中斜線領域eにて示される領域、且つ、上記式(7)を満たす凹凸構造Aを一部又は全面に具備するモールド101を使用した第2の積層体2が、本発明に係る第2の積層体2のより好ましい範囲である。   8 to 14 are graphs for explaining the first to fourth conditions of the concavo-convex structure A of the mold 101 restricted by the above formulas (4) to (7). In FIG. 8, the horizontal axis represents the ratio (Sh / Scm) and the vertical axis represents the ratio (Mcv / Mcc). The curve a shown in FIG. 8 is (Mcv / Mcc) = √ (1.1 / (Sh / Scm)) − 1, and the curve b is (Mcv / Mcc) = √ (0.5 / (Sh / Scm))-1. That is, the region from the curve b to the curve a is Equation (4). The straight line c is (Sh / Scm) = 0.23, and the straight line d is (Sh / Scm) = 0.99. That is, the region below the straight line c and the super-straight line d in the horizontal axis direction is Expression (5). The straight line f is (Mcv / Mcc) = 1.0, and the straight line g is (Mcv / Mcc) = 0.01. That is, the expression (6) is less than the straight line f and more than the straight line g in the vertical axis direction. Therefore, the second laminated body 2 using the mold 101 having the region shown by the hatched region e in FIG. 8 and the concavo-convex structure A satisfying the above formula (7) partly or entirely is used in the present invention. This is a more preferable range of the second laminate 2.

特に、凹凸構造101aの集合により作られる塗工液より観た表面自由エネルギの均等性を向上させ、マクロに観た塗工性を向上させる観点から、比率(Mcv/Mcc)は、√(0.6/(Sh/Scm))−1以上であることが好ましく、√(0.7/(Sh/Scm))−1以上であることがより好ましく、√(0.76/(Sh/Scm))−1以上であることが更に好ましく、√(0.78/(Sh/Scm))−1以上であることが最も好ましい。即ち、図9に示す曲線b1,b2,b3,b4及びb5以上の順により好ましい。図9は、横軸に比率(Sh/Scm)を、縦軸に比率(Mcv/Mcc)をとったグラフである。(Mcv/Mcc)=√(α/(Sh/Scm))−1と記載した場合に、図9に示す曲線b1はα=0.5を、曲線b2はα=0.6を、曲線b3はα=0.7を、曲線b4はα=0.76を、曲線b5はα=0.78を示す。   In particular, the ratio (Mcv / Mcc) is set to √ (0 .6 / (Sh / Scm))-1 or more, more preferably √ (0.7 / (Sh / Scm)) − 1 or more, and √ (0.76 / (Sh / Scm). ))-1 or more, and more preferably √ (0.78 / (Sh / Scm))-1 or more. That is, it is more preferable in the order of the curves b1, b2, b3, b4 and b5 or more shown in FIG. FIG. 9 is a graph in which the horizontal axis represents the ratio (Sh / Scm) and the vertical axis represents the ratio (Mcv / Mcc). When (Mcv / Mcc) = √ (α / (Sh / Scm)) − 1, the curve b1 shown in FIG. 9 has α = 0.5, the curve b2 has α = 0.6, and the curve b3 Indicates α = 0, curve b4 indicates α = 0.76, and curve b5 indicates α = 0.78.

また、曲線a、直線c、直線d、直線f、及び直線gは図8のそれと同様である。即ち、縦軸方向に曲線a以下の領域であり、横軸方向に直線c超且つ直線d以下であり、縦軸方向に直線f未満且つ直線g以上であり、且つ、縦軸方向に曲線b1,b2,b3,b4又はb5以上の領域が本発明に係るモールド101のより好ましい凹凸構造Aである。特に、(Mcv/Mcc)=√(α/(Sh/Scm))−1と記載した場合のαが大きくなる程、換言すれば曲線bがb1から順番にb5へと上方へシフトする程、曲線a以下、直線c超且つ直線d以下、直線f未満且つ直線g以上、及び曲線b以上の領域は狭まり、このより狭くなる領域を満たす凹凸構造Aであるほど、塗工液の流れに対するアンカーやピン止め効果を抑制できるため、マスク層のモールド101の凹凸構造101aに対する配置精度及び成膜精度をより向上できる。   Further, the curve a, the line c, the line d, the line f, and the line g are the same as those in FIG. That is, it is a region below the curve a in the vertical axis direction, is above the straight line c and below the straight line d in the horizontal axis direction, less than the straight line f and above the straight line g in the vertical axis direction, and the curve b1 in the vertical axis direction. , B2, b3, b4 or b5 or more region is a more preferable concavo-convex structure A of the mold 101 according to the present invention. In particular, as α increases when it is described as (Mcv / Mcc) = √ (α / (Sh / Scm))-1, in other words, the curve b shifts upward from b1 to b5 in order. The area below the curve a, above the straight line c and below the straight line d, below the straight line f and above the straight line g, and above the curved line b narrows, and the uneven structure A that fills this narrower area is the anchor for the flow of coating liquid Since the pinning effect can be suppressed, it is possible to further improve the placement accuracy and film formation accuracy of the mask layer with respect to the concavo-convex structure 101a of the mold 101.

また、マスク層に対する剥離応力の均等性を向上させ、マスク層の凝集破壊をより効果的に抑制する観点から、比率(Mcv/Mcc)は、√(1.0/(Sh/Scm))−1以下を満たすことが好ましく、√(0.95/(Sh/Scm))−1以下を満たすことが好ましく、√(0.93/(Sh/Scm))−1以下を満たすことがより好ましく、√(0.91/(Sh/Scm))−1以下を満たすことが最も好ましい。即ち、図10に示す曲線a1,a2,a3,a4及びa5以下の順に好ましい。図10は、横軸に比率(Sh/Scm)を、縦軸に比率(Mcv/Mcc)をとったグラフである。(Mcv/Mcc)=√(α/(Sh/Scm))−1と記載した場合に、図10に示す曲線a1はα=1.1を、曲線a2はα=1.0を、曲線a3はα=0.95を、曲線a4はα=0.93を、曲線a5はα=0.91を示す。   Further, from the viewpoint of improving the uniformity of the peeling stress with respect to the mask layer and more effectively suppressing the cohesive failure of the mask layer, the ratio (Mcv / Mcc) is √ (1.0 / (Sh / Scm)) − 1 or less is preferable, √ (0.95 / (Sh / Scm)) − 1 or less is preferable, and √ (0.93 / (Sh / Scm)) − 1 or less is more preferable. , √ (0.91 / (Sh / Scm)) − 1 or less is most preferable. That is, it is preferable in the order of the curves a1, a2, a3, a4 and a5 or less shown in FIG. FIG. 10 is a graph in which the horizontal axis represents the ratio (Sh / Scm) and the vertical axis represents the ratio (Mcv / Mcc). When (Mcv / Mcc) = √ (α / (Sh / Scm)) − 1, the curve a1 shown in FIG. 10 is α = 1.1, the curve a2 is α = 1.0, and the curve a3 Indicates α = 0.95, curve a4 indicates α = 0.93, and curve a5 indicates α = 0.91.

また、曲線b、直線c、直線d、直線f、及び直線gは図8のそれと同様である。即ち、縦軸方向に直線b以上の領域であり、横軸方向に直線c超且つ直線d以下であり、縦軸方向に直線f未満且つ直線g以上の領域であり、且つ、縦軸方向に直線a1,a2,a3,a4,又はa5以下の領域が本発明に係るより好ましい凹凸構造Aである。特に、(Mcv/Mcc)=√(α/(Sh/Scm))−1と記載した場合のαが小さくなる程、換言すれば曲線aがa1から順番にa5へと下方へシフトする程、曲線b以上、直線c超且つ直線d以下、直線f未満且つ直線g以上、及び曲線a以上の領域は狭まり、このより狭くなる領域を満たす凹凸構造Aであるほど、特に、マスク層の凸部の底部外縁部に加わる集中応力を小さくし、即ち、マスク層に加わる剥離応力を均等化し、マスク層の凝集破壊をより効果的に抑制できる。   Further, the curve b, the straight line c, the straight line d, the straight line f, and the straight line g are the same as those in FIG. That is, the vertical axis is an area that is greater than or equal to the straight line b, the horizontal axis direction is greater than the straight line c and is less than or equal to the straight line d, the vertical axis direction is less than the straight line f and the straight line g is greater than or equal The region of the straight line a1, a2, a3, a4, or a5 or less is a more preferable uneven structure A according to the present invention. In particular, as α in the case of (Mcv / Mcc) = √ (α / (Sh / Scm)) − 1 decreases, in other words, the curve a shifts downward from a1 sequentially to a5, Areas above curve b, above line c and below line d, below line f and above line g, and above curve a are narrowed. The concentrated stress applied to the bottom outer edge of the mask can be reduced, that is, the peeling stress applied to the mask layer can be equalized, and the cohesive failure of the mask layer can be more effectively suppressed.

以上説明したように、本実施の形態に係るモールド101においては、凹凸構造Aは、マスク層のモールド101に対する塗工性を向上させ、マスク層の配置精度及び厚み精度を向上させると共に、モールド101を除去する際のマスク層の凝集破壊をより効果的に抑制する観点から、下記式(10)を満たすことが好ましい。
式(10)

Figure 0006307258
As described above, in the mold 101 according to the present embodiment, the concavo-convex structure A improves the coating property of the mask layer to the mold 101, improves the placement accuracy and thickness accuracy of the mask layer, and at the same time, the mold 101. From the viewpoint of more effectively suppressing the cohesive failure of the mask layer when removing, it is preferable to satisfy the following formula (10).
Formula (10)
Figure 0006307258

更に、下記式(11)を満たすことで、上記効果をよりいっそう発現できると共に、マスク層をモールド101の凹凸構造101a上に成膜する際の、成膜速度を向上した場合であっても、安定的にマスク層を精度高く凹凸構造101aに対して配置できる。更に、モールド101を剥離する際の速度を向上させた場合であっても、マスク層に対する剥離応力の集中を抑制できるため、転写性を良好に保つことができる。
式(11)

Figure 0006307258
Furthermore, by satisfying the following formula (11), the above effect can be further manifested, and even when the film formation speed when the mask layer is formed on the concavo-convex structure 101a of the mold 101 is improved, The mask layer can be stably disposed on the concavo-convex structure 101a with high accuracy. Furthermore, even when the speed at which the mold 101 is peeled is improved, the concentration of peeling stress on the mask layer can be suppressed, so that the transferability can be kept good.
Formula (11)
Figure 0006307258

凹部開口幅(Mcc)と凸部頂部幅(Mcv)との和(Mcc+Mcv)は、平均ピッチ(Pav)の3倍以下であることが好ましい。この範囲を満たすことにより、凹凸構造101aの凸部101bの頂部の外縁部における塗工液の流の乱れを小さくできる。このため、マスク層の成膜性と膜厚精度が向上する。更に、モールド101をマスク層より剥離する際の、モールド101の凹凸構造101aの凸部101bの頂部の外縁部より加えられるマスク層の凸部の底部外縁部への応力の分布が小さくなる。換言すれば、中間体201の凸部の底部外縁部において応力の極度に集中するポイントが発生することを抑制できる。このためマスク層の凝集破壊をより効果的に抑制できる。上記効果をより発揮する観点から、和(Mcc+Mcv)は平均ピッチ(Pav)の2√2倍以下であることがより好ましく、1.2倍以下であることがより好ましく、1倍以下であることが最も好ましい。   The sum (Mcc + Mcv) of the concave opening width (Mcc) and the convex top width (Mcv) is preferably not more than three times the average pitch (Pav). By satisfying this range, it is possible to reduce the disturbance in the flow of the coating liquid at the outer edge of the top of the convex portion 101b of the concavo-convex structure 101a. For this reason, the film formability and film thickness accuracy of the mask layer are improved. Further, when the mold 101 is peeled from the mask layer, the stress distribution to the bottom outer edge portion of the convex portion of the mask layer applied from the outer edge portion of the top portion of the convex portion 101b of the concavo-convex structure 101a of the mold 101 is reduced. In other words, it is possible to suppress the occurrence of stress concentration points at the bottom outer edge of the convex portion of the intermediate body 201. For this reason, the cohesive failure of a mask layer can be suppressed more effectively. From the viewpoint of more exerting the above effect, the sum (Mcc + Mcv) is more preferably 2√2 times or less of the average pitch (Pav), more preferably 1.2 times or less, and more preferably 1 time or less. Is most preferred.

凹凸構造101aの凸部の頂部外縁部における塗工液の流の整流性を向上させ、ミクロに観た塗工性をいっそう向上させる観点から、比率(Sh/Scm)は、0.4以上であることがより好ましい。特に、塗工液の塗工速度を大きくした場合であっても、局所的な塗工液の流の乱れを抑制する観点から、0.45以上であることがより好ましく、0.6以上であると最も好ましい。これは、凹凸構造101aの凹部101cの内部に形成される塗工液の仮想液滴の曲率半径が極大化するように、塗工液が凹凸構造内部へと濡れ広がるためである。なお、仮想液滴とは、凹凸構造Aの凹部101cの内部に存在すると仮定した、該塗工液の液滴を意味する。更に、モールド101をマスク層より剥離する際の、モールド101の凹凸構造101aの凸部頂部外縁部よりマスク層に加えられる応力を低減し、マスク層に加わる剥離応力の絶対値を小さくする観点から、比率(Sh/Scm)は0.6以上、より好ましくは0.65以上の範囲を満たすことが好ましい。更にこの場合、上記効果に加え、モールド101の凹凸構造101aの凸部101b上から凹部101cの内部方向へのポテンシャルを作用させることができるため、第2のマスク層102の充填配置精度を向上できる。更に、モールド101の凹凸構造101aの表面自由エネルギが非常に小さい、例えば、モールド101のナノ構造がフッ素やメチル基を含むような場合や、モールド101の表面自由エネルギが2erg/cm〜18erg/cmの範囲にある場合等であっても、塗工液のミクロな塗工性を向上させ、マクロな塗工性を担保する点から、比率(Sh/Scm)は0.7以上であることが望ましい。特に、このような場合であっても、塗工速度を大きくできる観点から、(Sh/Scm)は、0.75以上であることがより好ましく、0.8以上であることが更に好ましい。 The ratio (Sh / Scm) is 0.4 or more from the viewpoint of improving the flowability of the coating liquid flow at the top outer edge of the convex portion of the concavo-convex structure 101a and further improving the coating property viewed microscopically. More preferably. In particular, even when the coating speed of the coating liquid is increased, it is more preferably 0.45 or more from the viewpoint of suppressing local disturbance of the flow of the coating liquid, and 0.6 or more. Most preferred. This is because the coating liquid wets and spreads into the concavo-convex structure so that the radius of curvature of the virtual droplet of the coating liquid formed in the concave portion 101c of the concavo-convex structure 101a is maximized. The virtual droplet means a droplet of the coating liquid that is assumed to exist inside the concave portion 101c of the concavo-convex structure A. Further, when the mold 101 is peeled from the mask layer, the stress applied to the mask layer from the outer peripheral edge of the convex portion of the concavo-convex structure 101a of the mold 101 is reduced, and the absolute value of the peeling stress applied to the mask layer is reduced. The ratio (Sh / Scm) preferably satisfies the range of 0.6 or more, more preferably 0.65 or more. Furthermore, in this case, in addition to the above effects, the potential from the top of the convex portion 101b of the concave-convex structure 101a of the mold 101 to the inside of the concave portion 101c can be applied, so that the filling arrangement accuracy of the second mask layer 102 can be improved. . Furthermore, the surface free energy of the concavo-convex structure 101a of the mold 101 is very small, for example, when the nanostructure of the mold 101 contains fluorine or a methyl group, or when the surface free energy of the mold 101 is 2 erg / cm 2 to 18 erg / The ratio (Sh / Scm) is 0.7 or more from the viewpoint of improving the micro-coating property of the coating liquid and ensuring the macro-coating property even in the range of cm 2. It is desirable. In particular, even in such a case, from the viewpoint of increasing the coating speed, (Sh / Scm) is more preferably 0.75 or more, and further preferably 0.8 or more.

即ち、図11に示す直線c1,c2,c3,c4,c5,c6及びc7以上の順により好ましい。図11は、横軸に比率(Sh/Scm)を、縦軸に比率(Mcv/Mcc)をとったグラフである。図11に示す直線c1は(Sh/Scm)=0.23を、直線c2は(Sh/Scm)=0.4を、直線c3は(Sh/Scm)=0.45を、直線c4は(Sh/Scm)=0.6を、直線c5は(Sh/Scm)=0.65を、直線c6は(Sh/Scm)=0.7を、直線c7は(Sh/Scm)=0.8を示す。また、曲線a4及び曲線b4は、(Mcv/Mcc)=√(α/(Sh/Scm))−1と記載した場合のαが、それぞれ0.93と0.76の場合である。   That is, it is more preferable in the order of the straight lines c1, c2, c3, c4, c5, c6 and c7 or more shown in FIG. FIG. 11 is a graph in which the horizontal axis represents the ratio (Sh / Scm) and the vertical axis represents the ratio (Mcv / Mcc). The straight line c1 shown in FIG. 11 is (Sh / Scm) = 0.23, the straight line c2 is (Sh / Scm) = 0.4, the straight line c3 is (Sh / Scm) = 0.45, and the straight line c4 is ( Sh / Scm) = 0.6, the straight line c5 is (Sh / Scm) = 0.65, the straight line c6 is (Sh / Scm) = 0.7, and the straight line c7 is (Sh / Scm) = 0.8. Indicates. Curves a4 and b4 are cases where α is 0.93 and 0.76, respectively, when (Mcv / Mcc) = √ (α / (Sh / Scm)) − 1.

また、直線d、直線f、及び直線gは、図8のそれと同様である。即ち、縦軸方向に曲線a4以下曲線b4以上の領域であり、横軸方向に直線d以下であり、縦軸方向に直線f未満且つ直線g以上であり、且つ、横軸方向に直線c1超、c2、c3、c4、c5、c6又はc7以上の領域が本発明に係るより好ましい凹凸構造Aである。特に、比率(Sh/Scm)が大きくなる程、換言すれば直線cがc1から順番にc7へと右方へシフトする程、該領域は狭まり、このより狭くなる領域を満たす凹凸構造Aであるほど、ミクロに観た塗工性がより向上しマスク層の配置及び厚み精度が向上すると共に、モールド101を剥離する際のマスク層に加わる剥離応力の絶対値を減少させ、転写性を向上させることができる。なお、図11においては、(Mcv/Mcc)=√(α/(Sh/Scm))−1と記載した場合のαが0.93と0.76の曲線a4及びb4を図示したが、これらの曲線a及びbは、上記説明した式(4)及び式(4)内のより好ましい範囲を採用することができる。   The straight line d, the straight line f, and the straight line g are the same as those in FIG. That is, the region is the curve a4 or less curve b4 or more in the vertical axis direction, the straight line d or less in the horizontal axis direction, less than the straight line f and more than the straight line g in the vertical axis direction, and more than the straight line c1 in the horizontal axis direction. , C2, c3, c4, c5, c6 or c7 or more region is a more preferable uneven structure A according to the present invention. In particular, as the ratio (Sh / Scm) increases, in other words, as the straight line c shifts from c1 to c7 in the right direction, the region becomes narrower, and the concavo-convex structure A satisfies the narrower region. The microscopic coating property is further improved, the mask layer arrangement and thickness accuracy are improved, and the absolute value of the peeling stress applied to the mask layer when the mold 101 is peeled is reduced, thereby improving the transferability. be able to. In FIG. 11, curves a4 and b4 having α of 0.93 and 0.76 in the case of (Mcv / Mcc) = √ (α / (Sh / Scm)) − 1 are illustrated. More preferable ranges in the above-described formulas (4) and (4) can be adopted for the curves a and b.

また、比率(Sh/Scm)は、0.95以下であることが好ましい。0.95以下であることにより、モールド101の凹凸構造101aの凸部101bの力学的強度を向上できるため、第2の積層体2の製造時及び第2の積層体2の使用時の、モールド101の破損を抑制することができる。特に、0.93以下、より好ましくは0.91以下であれば、第2の積層体2のモールド101を再利用する際の、再利用回数が大きくなるため好ましい。   The ratio (Sh / Scm) is preferably 0.95 or less. Since it is 0.95 or less, the mechanical strength of the convex portion 101b of the concavo-convex structure 101a of the mold 101 can be improved. Therefore, the mold at the time of manufacturing the second laminate 2 and using the second laminate 2 101 can be prevented from being damaged. In particular, 0.93 or less, more preferably 0.91 or less, is preferable because the number of reuses when the mold 101 of the second laminate 2 is reused is increased.

以上説明したように、本実施の形態に係るモールド101は、下記式(8)を満たしてもよい。
式(8)
0.4≦(Sh/Scm)≦0.95
As described above, the mold 101 according to the present embodiment may satisfy the following formula (8).
Formula (8)
0.4 ≦ (Sh / Scm) ≦ 0.95

この場合、特に塗工液のモールド101の凹凸構造101aの凹部101cへの流入性が向上すると共に、中間体201の凸部への剥離応力をより小さくできる。   In this case, in particular, the flowability of the coating liquid into the concave portion 101c of the concave-convex structure 101a of the mold 101 is improved, and the peeling stress to the convex portion of the intermediate body 201 can be further reduced.

更に、本実施の形態に係るモールド101は、下記式(12)を満たしてもよい。
式(12)
0.6≦(Sh/Scm)≦0.95
Furthermore, the mold 101 according to the present embodiment may satisfy the following formula (12).
Formula (12)
0.6 ≦ (Sh / Scm) ≦ 0.95

この構成によれば、モールド101の凹凸構造101aに対して塗工液を塗工する際の、凹凸構造101aの凸部101bの頂部外縁部における該塗工液に対するアンカーやピン止め効果をより抑制できる。このため、第2のマスク層102の充填配置精度及び第1のマスク層103の表面平坦性を向上できる。更に、モールド101を中間体201より剥離する際に生じる中間体201の凸部の底部外縁部に加わる集中応力を緩和できるため、マスク層の破壊、第1のマスク層103と第2のマスク層102との界面剥離、及び第1のマスク層103と被処理体20との界面剥離を抑制できる。   According to this configuration, when applying the coating liquid to the concavo-convex structure 101a of the mold 101, the anchor and pinning effects on the coating liquid at the top outer edge of the convex part 101b of the concavo-convex structure 101a are further suppressed. it can. For this reason, the filling arrangement accuracy of the second mask layer 102 and the surface flatness of the first mask layer 103 can be improved. Furthermore, since the concentrated stress applied to the bottom outer edge portion of the convex portion of the intermediate body 201 generated when the mold 101 is peeled from the intermediate body 201 can be alleviated, the mask layer is destroyed, the first mask layer 103 and the second mask layer 102 and the interface peeling between the first mask layer 103 and the target object 20 can be suppressed.

特に比率(Mcv/Mcc)が、0.02以上を満たすことで、第2のマスク層102の形状安定性が向上することから、微細マスクパタン16aの精度が向上する。更には、第2の積層体2を第1のラインから第2のラインへと、搬送した場合の、第2の積層体2のマスク層の精度の維持性向上する。   In particular, when the ratio (Mcv / Mcc) satisfies 0.02 or more, the shape stability of the second mask layer 102 is improved, so that the precision of the fine mask pattern 16a is improved. Furthermore, the maintainability of the accuracy of the mask layer of the second laminate 2 is improved when the second laminate 2 is transported from the first line to the second line.

また、比率(Mcv/Mcc)が、0.85以下を満たすことで、モールド101の凹凸構造101aによる、塗工液に対するアンカーやピン止め効果を抑制できることから、該塗工液の凹部101cへの流入性が促進される。このため、第2のマスク層102の充填配置精度が向上すると共に、第1のマスク層103の露出する面の平坦性が向上する。同様の効果から、比率(Mcv/Mcc)は、0.65以下を満たすことがより好ましく、0.50以下を満たすことが最も好ましい。   In addition, when the ratio (Mcv / Mcc) satisfies 0.85 or less, the unevenness structure 101a of the mold 101 can suppress the anchoring and pinning effect on the coating liquid. Inflow is promoted. For this reason, the filling arrangement accuracy of the second mask layer 102 is improved, and the flatness of the exposed surface of the first mask layer 103 is improved. From the same effect, the ratio (Mcv / Mcc) more preferably satisfies 0.65 or less, and most preferably satisfies 0.50 or less.

ここで、離型工程におけるモールド101の剥離を容易にするために、モールド101の凹凸構造101aの表面自由エネルギを減少させた場合、中間体201の転写精度を向上できる一方、第2の積層体2を製造する際のマスク層の成膜精度が低下する場合がある。特に、凹部内マスク層102aの充填配置精度が低下し、上記説明した距離(lcc)及び距離(lcv)が共に大きくなる場合がある。なお、モールド101の表面自由エネルギが、2erg/cm2以上18erg/cm2以下であることで、マスク層の組成によらず、モールド101とマスク層と、の密着力を低減できるため、転写精度が向上する。   Here, when the surface free energy of the concavo-convex structure 101a of the mold 101 is decreased in order to facilitate the peeling of the mold 101 in the mold release step, the transfer accuracy of the intermediate body 201 can be improved, while the second laminated body In some cases, the film formation accuracy of the mask layer when manufacturing 2 is lowered. In particular, the filling arrangement accuracy of the in-recess mask layer 102a may be lowered, and both the distance (lcc) and the distance (lcv) described above may be increased. In addition, since the surface free energy of the mold 101 is 2 erg / cm 2 or more and 18 erg / cm 2 or less, the adhesive force between the mold 101 and the mask layer can be reduced regardless of the composition of the mask layer, so that the transfer accuracy is improved. To do.

このような場合であっても、塗工液が、CBモードからWモードに転位する際の圧力、凹部101cからの凸部101bの方向に加わるポテンシャルに起因したWモードからCBモードへの逆転移、該塗工液の安定化サイズとモールド101の凹凸構造101aとの大小関係、及び該塗工液の振る舞いが最終的に必ずWモードになる条件を考慮すると、上述した最も広い範囲(0.01≦(Mcv/Mcc)<1.0)において比率(Mcv/Mcc)が以下の範囲を満たすことで、上記説明した距離(lcv)及び距離(lcc)を適度に満たすように配置すると共に、第1のマスク層103を膜厚精度高く成膜することができる。即ち、(Mcv/Mcc)≦0.42を満たすことが好ましい。なお、CBモードはCassie−Baxterモード、WモードはWenzelモードである。   Even in such a case, the reverse transition from the W mode to the CB mode is caused by the pressure applied when the coating liquid transitions from the CB mode to the W mode and the potential applied in the direction of the convex portion 101b from the concave portion 101c. In view of the size relationship between the stabilized size of the coating liquid and the uneven structure 101a of the mold 101 and the condition that the behavior of the coating liquid always becomes the W mode, the widest range (0. When the ratio (Mcv / Mcc) satisfies the following range in 01 ≦ (Mcv / Mcc) <1.0), the distance (lcv) and the distance (lcc) described above are arranged so as to appropriately satisfy the following range. The first mask layer 103 can be formed with high film thickness accuracy. That is, it is preferable that (Mcv / Mcc) ≦ 0.42. The CB mode is the Cassie-Baxter mode, and the W mode is the Wenzel mode.

以上の効果をよりいっそう発現する観点から(Mcv/Mcc)≦0.35であることが好ましく、(Mcv/Mcc)≦0.28であることがより好ましい。また、モールド101の凹凸構造101aの凸部101bに接し、ピン止め効果により準安定化する塗工液の体積を小さくし、凹部内マスク層102aの充填配置精度をより向上させる観点から、(Mcv/Mcc)≦0.18であることが好ましく、(Mcv/Mcc)≦0.14であることがより好ましく、(Mcv/Mcc)≦0.10であることが特に好ましい。更に、(Mcv/Mcc)≦0.06であれば、既に説明した距離(lcv)を効果的に小さくすると共に、距離(lcv)を任意に変更できるため、被処理体20の加工マージンを大きくすることができる。   From the viewpoint of further manifesting the above effects, (Mcv / Mcc) ≦ 0.35 is preferable, and (Mcv / Mcc) ≦ 0.28 is more preferable. Further, from the viewpoint of reducing the volume of the coating liquid that is in contact with the convex portion 101b of the concavo-convex structure 101a of the mold 101 and metastable by the pinning effect, and further improving the filling arrangement accuracy of the mask layer 102a in the concave portion (Mcv /Mcc)≦0.18 is preferable, (Mcv / Mcc) ≦ 0.14 is more preferable, and (Mcv / Mcc) ≦ 0.10 is particularly preferable. Further, if (Mcv / Mcc) ≦ 0.06, the distance (lcv) already described can be effectively reduced and the distance (lcv) can be arbitrarily changed, so that the processing margin of the workpiece 20 is increased. can do.

上記説明した所定の範囲を満たす凹凸構造Aを含むモールド101を使用することで、第2の積層体2を製造する際の第2のマスク層102の配置精度及び第1のマスク層103の膜厚精度が向上し、第2の積層体2を使用する際の、中間体16を得る精度が向上するため、これに伴い被処理体20の加工精度が向上する。   By using the mold 101 including the concavo-convex structure A that satisfies the predetermined range described above, the placement accuracy of the second mask layer 102 and the film of the first mask layer 103 when the second stacked body 2 is manufactured. Since the thickness accuracy is improved and the accuracy of obtaining the intermediate body 16 when using the second laminate 2 is improved, the processing accuracy of the workpiece 20 is improved accordingly.

上記説明した効果を効果的に発揮する凹凸構造Aの範囲を図12及び図13に示した。図12に示す領域eは、(Mcv/Mcc)≧√(0.76/(Sh/Scm))−1(曲線b4以上)、(Mcv/Mcc)≦√(0.93/(Sh/Scm))−1(曲線a4以下)、(Mcv/Mcc)≧0.01(直線g以上)、(Mcv/Mcc)≦0.50(直線f以下)、(Sh/Scm)≧0.40(横軸方向に直線c2以上)、且つ(Sh/Scm)≦0.95以下(横軸方向に直線d以下)を同時に満たす領域である。図13に示す領域eは、(Mcv/Mcc)≧√(0.76/(Sh/Scm))−1(曲線b4以上)、(Mcv/Mcc)≦√(0.93/(Sh/Scm))−1(曲線a4以下)、(Mcv/Mcc)≧0.01(直線g以上)、(Mcv/Mcc)≦0.28(直線f以下)、(Sh/Scm)≧0.60(横軸方向に直線c4以上)、且つ(Sh/Scm)≦0.95以下(横軸方向に直線d以下)を同時に満たす領域である。   The range of the concavo-convex structure A that effectively exhibits the above-described effect is shown in FIGS. Region e shown in FIG. 12 is (Mcv / Mcc) ≧ √ (0.76 / (Sh / Scm)) − 1 (curve b4 or more), (Mcv / Mcc) ≦ √ (0.93 / (Sh / Scm ))-1 (curve a4 or less), (Mcv / Mcc) ≧ 0.01 (straight line g or more), (Mcv / Mcc) ≦ 0.50 (straight line f or less), (Sh / Scm) ≧ 0.40 ( This is a region that simultaneously satisfies a straight line c2 or more in the horizontal axis direction and (Sh / Scm) ≦ 0.95 or less (a straight line d or less in the horizontal axis direction). 13 includes (Mcv / Mcc) ≧ √ (0.76 / (Sh / Scm)) − 1 (curve b4 or more), (Mcv / Mcc) ≦ √ (0.93 / (Sh / Scm ))-1 (curve a4 or less), (Mcv / Mcc) ≧ 0.01 (straight line g or more), (Mcv / Mcc) ≦ 0.28 (straight line f or less), (Sh / Scm) ≧ 0.60 ( This is a region that simultaneously satisfies (straight line c4 or more in the horizontal axis direction) and (Sh / Scm) ≦ 0.95 or less (straight line d or less in the horizontal axis direction).

上記説明した凹凸構造Aを含むモールド101を使用した第2の積層体2を使用することで、容易に被処理体200を加工できる。   By using the second laminated body 2 using the mold 101 including the concavo-convex structure A described above, the object 200 can be easily processed.

(凹凸構造AとLED)
特に、凹凸構造Aを含むモールド101を使用した第2の積層体2を使用し、LED用ウェハ表面の加工を行い製造された微細パタン22を具備したLED用パタンウェハを使用することで、発光ダイオード特性の良好なLED用エピタキシャルウェハを製造可能となり、これに伴い高効率なLEDチップを製造できる。例えば、被処理体20としてサファイアウェハ、シリコンカーバイドウェハ、シリコンウェハ、LED用エピタキシャルウェハ、又は窒化ガリウム系ウェハを選定し加工した場合、微細パタン22を有す被処理体20に対して発光ダイオード構造を成膜し、続いてチップ化工程を経ることで、内部量子効率IQEと光取り出し効率LEEと、が同時に向上したLEDチップを製造できる。
(Uneven structure A and LED)
In particular, by using the second patterned body 2 using the mold 101 including the concavo-convex structure A and using the LED pattern wafer including the fine pattern 22 manufactured by processing the surface of the LED wafer, the light emitting diode An epitaxial wafer for LED having good characteristics can be manufactured, and accordingly, a highly efficient LED chip can be manufactured. For example, when a sapphire wafer, a silicon carbide wafer, a silicon wafer, an LED epitaxial wafer, or a gallium nitride-based wafer is selected and processed as the object to be processed 20, a light emitting diode structure for the object 20 having the fine pattern 22 is used. After the film formation, and subsequent chip formation step, an LED chip in which the internal quantum efficiency IQE and the light extraction efficiency LEE are simultaneously improved can be manufactured.

既に説明した図8に斜線にて示す領域eを満たす凹凸構造Aを含むモールド101を使用することで、微細パタン22を有する被処理体20の、微細パタン22の凸部の大きさと、凹部底部の平坦面の割合を適度にすることが可能となる。このため、微細パタン22上に半導体結晶層を成膜し発光ダイオード構造を作製する際に、半導体結晶層の成長モードを乱すことが可能となり、半導体結晶層内に生じる転位の密度を低減することができ、内部量子効率IQEを向上できる。図8については、既に説明した通りである。   By using the mold 101 including the concavo-convex structure A that fills the region e indicated by the oblique lines in FIG. It becomes possible to moderate the ratio of the flat surface. For this reason, when a semiconductor crystal layer is formed on the fine pattern 22 to produce a light emitting diode structure, the growth mode of the semiconductor crystal layer can be disturbed, and the density of dislocations generated in the semiconductor crystal layer can be reduced. The internal quantum efficiency IQE can be improved. FIG. 8 is as already described.

更に、LEDの内部量子効率IQEの向上を維持した状態で、光取り出し効率LEEをより改善する観点から、特に、既に説明した図12に示す領域eを満たす凹凸構造Aを含むモールド101を使用することが好ましい。この場合、微細パタン22の凸部の大きさを大きくできるため、LEDの発光光に対する光回折のモード数を増加させることが可能となり、光取り出し効率LEEが向上する。図12については既に説明した通りである。   Further, from the viewpoint of further improving the light extraction efficiency LEE while maintaining the improvement of the internal quantum efficiency IQE of the LED, the mold 101 including the concavo-convex structure A that satisfies the region e shown in FIG. It is preferable. In this case, since the size of the convex portion of the fine pattern 22 can be increased, the number of modes of light diffraction with respect to the light emitted from the LED can be increased, and the light extraction efficiency LEE is improved. FIG. 12 has already been described.

前記効果をより発現する観点から、モールド101の凹凸構造Aは、既に説明した図13に示す領域eを含むことが好ましい。図13については、既に説明した通りである。この場合、微細パタン22の配列の対称性が大きくなると共に、凸部の大きさと凹部底部の平坦面の大きさとを適度にできることから、内部量子効率IQEの改善効果を発現しつつ、光取り出し効率LEEを強い光回折により向上できる。   From the viewpoint of more manifesting the effect, it is preferable that the concavo-convex structure A of the mold 101 includes the region e illustrated in FIG. FIG. 13 is as described above. In this case, the symmetry of the arrangement of the fine patterns 22 is increased, and the size of the convex portion and the size of the flat surface at the bottom of the concave portion can be made moderate, so that the light extraction efficiency can be achieved while exhibiting the improvement effect of the internal quantum efficiency IQE. LEE can be improved by strong light diffraction.

更に、微細パタン22の凸部の体積を大きくし光回折強度を増加させると共に、凹部平坦面の大きさを一定上に大きくし半導体結晶層の成長性を向上させて、内部量子効率IQEと光取り出し効率LEEを同時により向上させる観点から、図14に示す領域eを満たす凹凸構造Aを含むモールド101を使用することが好ましい。図14は横軸に比率(Sh/Scm)を、縦軸に比率(Mcv/Mcc)をとったグラフである。領域eは、(Mcv/Mcc)≧√(0.76/(Sh/Scm))−1(図14中曲線b4以上)、(Mcv/Mcc)≦√(0.93/(Sh/Scm))−1(図1425中曲線a4以下)、(Mcv/Mcc)≧0.01(図14中直線g以上)、(Mcv/Mcc)≦0.20(図14中直線f以下)、(Sh/Scm)≧0.65(図14中横軸方向に直線c5以上)、且つ(Sh/Scm)≦0.93以下(図14中横軸方向に直線d以下)を同時に満たす領域である。   Further, the volume of the convex portion of the fine pattern 22 is increased to increase the light diffraction intensity, and the size of the flat surface of the concave portion is increased to a certain level to improve the growth of the semiconductor crystal layer. From the viewpoint of further improving the extraction efficiency LEE, it is preferable to use the mold 101 including the concavo-convex structure A that fills the region e shown in FIG. FIG. 14 is a graph in which the horizontal axis represents the ratio (Sh / Scm) and the vertical axis represents the ratio (Mcv / Mcc). Region e is (Mcv / Mcc) ≧ √ (0.76 / (Sh / Scm)) − 1 (curve b4 or more in FIG. 14), (Mcv / Mcc) ≦ √ (0.93 / (Sh / Scm) ) -1 (curve a4 or less in FIG. 1425), (Mcv / Mcc) ≧ 0.01 (over the straight line g in FIG. 14), (Mcv / Mcc) ≦ 0.20 (below the straight line f in FIG. 14), (Sh /Scm)≧0.65 (straight line c5 or more in the horizontal axis direction in FIG. 14) and (Sh / Scm) ≦ 0.93 or less (straight line d in the horizontal axis direction in FIG. 14) are simultaneously satisfied.

例えば、第2の積層体2を使用し、微細パタン22を具備したサファイアウェハを製造し、該サファイアウェハを使用してLED用エピタキシャルウェハを製造することで、LEDチップの効率を大きく改善することができる。この場合、LEDチップの効率向上メカニズムから、モールド101の凹凸構造101aは、上記説明した比率(Mcv/Mcc)と比率(Sh/Scm)と、の関係、比率(Mcv/Mcc)の範囲、比率(Sh/Scm)の範囲、及び高さhの範囲を満たすことが好ましい。この範囲の中で、モールド101の凹凸構造101aを決定できる。LEDチップの効率(外部量子効率)は、主に電子注入効率、光取り出し効率及び内部量子効率の積により決定され、特に、光取り出し効率と内部量子効率を向上させることが、高効率なLEDチップを製造するために重要である。微細パタン22を有す被処理体20に対して発光ダイオード構造を作製することで、光取り出し効率及び内部量子効率を制御できる。被処理体20を製造する時間を短縮し且つ、半導体結晶層の使用量を低下させると共に、光取り出し効率を向上させる場合、凹凸構造101aの形状は、ピッチが200nm以上1200nm以下、及びアスペクト比が0.3以上1.5以下であると好ましい。特に、ピッチが300nm以上950nm以下であり、アスペクト比が0.5以上1.3以下であることで、上記効果をより発現できる。配列は光回折による光取り出し効率向上を実現する観点から、六方配列や四方配列を採用できる。ここで、配列に乱れを加えた準六方配列や準四方配列、或いは六方配列から四方配列へと変化する配列等を採用することにより、光回折性と光散乱性の双方の効果をえることができるため、光取り出し効率をより向上できる。一方、内部量子効率を光取り出し効率の双方を同時に向上させる場合、ピッチは200nm以上350nm以下であり、アスペクト比が0.3以上1.5以下であると好ましい。この場合、被処理体20の微細パタン22の密度が向上するため、半導体結晶層内に発生する転位を分散化し、局所的及び巨視的な転位密度を低減できるため、内部量子効率が向上する。しかしながら、高密度な凹凸構造の場合、光取り出し効率の向上程度が小さくなることがあるが、配列に乱れを加えることで、光取り出し効率を向上できる。配列の乱れは、準六方配列や準四方配列、或いは六方配列から四方配列へと変化する配列等により達成できる。より具体的には、ピッチが150nm〜350nmである六方配列であり、且つ、ピッチが±5%〜±25%の範囲内にてサイン波に乗じ変動し、該変動が1000nm〜5000nmの長周期を有すホール形状であることが好ましい。   For example, the efficiency of the LED chip is greatly improved by manufacturing a sapphire wafer having the fine pattern 22 using the second laminate 2 and manufacturing an epitaxial wafer for LED using the sapphire wafer. Can do. In this case, due to the efficiency improvement mechanism of the LED chip, the concavo-convex structure 101a of the mold 101 has the relationship between the ratio (Mcv / Mcc) and the ratio (Sh / Scm) described above, the range of the ratio (Mcv / Mcc), and the ratio. It is preferable to satisfy the range of (Sh / Scm) and the range of height h. Within this range, the uneven structure 101a of the mold 101 can be determined. The efficiency (external quantum efficiency) of the LED chip is mainly determined by the product of the electron injection efficiency, the light extraction efficiency, and the internal quantum efficiency. In particular, improving the light extraction efficiency and the internal quantum efficiency is a highly efficient LED chip. Is important for manufacturing. The light extraction efficiency and the internal quantum efficiency can be controlled by manufacturing a light emitting diode structure for the object 20 having the fine pattern 22. In the case where the time for manufacturing the object to be processed 20 is shortened and the amount of the semiconductor crystal layer is reduced and the light extraction efficiency is improved, the shape of the concavo-convex structure 101a is 200 nm to 1200 nm in pitch and the aspect ratio is It is preferable that it is 0.3 or more and 1.5 or less. In particular, when the pitch is 300 nm or more and 950 nm or less and the aspect ratio is 0.5 or more and 1.3 or less, the above-described effect can be further expressed. A hexagonal arrangement or a tetragonal arrangement can be adopted as the arrangement from the viewpoint of improving the light extraction efficiency by light diffraction. Here, by adopting a quasi-hexagonal arrangement, a quasi-tetragonal arrangement, or an arrangement that changes from a hexagonal arrangement to a tetragonal arrangement, etc., in which the arrangement is disturbed, the effects of both light diffraction and light scattering can be obtained. Therefore, the light extraction efficiency can be further improved. On the other hand, when both the internal quantum efficiency and the light extraction efficiency are improved at the same time, the pitch is preferably 200 nm to 350 nm and the aspect ratio is preferably 0.3 to 1.5. In this case, since the density of the fine pattern 22 of the workpiece 20 is improved, dislocations generated in the semiconductor crystal layer can be dispersed and the local and macroscopic dislocation density can be reduced, so that the internal quantum efficiency is improved. However, in the case of a high-density concavo-convex structure, the degree of improvement in light extraction efficiency may be small, but the light extraction efficiency can be improved by adding disturbance to the arrangement. The disorder of the arrangement can be achieved by a quasi-hexagonal arrangement, a quasi-tetragonal arrangement, or an arrangement changing from a hexagonal arrangement to a tetragonal arrangement. More specifically, it is a hexagonal array with a pitch of 150 nm to 350 nm, and the pitch varies within a range of ± 5% to ± 25% by multiplying by a sine wave, and the fluctuation is a long period of 1000 nm to 5000 nm. It is preferable that the hole shape has

上記使用した記号(Mcc)は、モールド101における凹凸構造101aの凹部101cの開口幅と定義する。なお、記号(Mcc)は、既に説明した平均ピッチ(Pav)と同様のサンプルから同じ解析手法により、測定され、同様の平均点数より定義される。   The symbol (Mcc) used above is defined as the opening width of the concave portion 101 c of the concave-convex structure 101 a in the mold 101. The symbol (Mcc) is measured from the same sample as the average pitch (Pav) already described by the same analysis method, and is defined by the same average score.

まず、モールド101の凹凸構造101aがホール構造の場合、即ち隣接する凹部101cが連続する凸部101bにより隔てられる場合について説明する。凹凸構造101aの開口部の形状がn角形(n≧3)の場合、凹凸構造101aの開口部はn個の辺により構成される。この時、n個の辺の中で最も長い辺の長さを凹部開口幅(Mcc)として定義する。なお、n角形は正n角形であっても、非正n角形であってもよい。例えば、4角形を代表させると、正4角形(正方形)、長方形、平行四辺形、台形、又は、これらの4角形の対向する辺の1組以上が非平行な形状が挙げられる。一方、凹凸構造101aが、凹部開口部が非n角形の場合、凹部開口部の外縁部の所定の一点から他の一点までの距離が最長となる時の長さを、凹部開口幅(Mcc)として定義する。ここで、非n角形は、角のない構造、例えば、円、楕円、上記説明したn角形の角が丸みを帯びた形状、又は丸みを帯びた角を含む上記説明したn角形(n≧3)である。   First, a case where the concave-convex structure 101a of the mold 101 has a hole structure, that is, a case where adjacent concave portions 101c are separated by continuous convex portions 101b will be described. When the shape of the opening of the concavo-convex structure 101a is an n-gon (n ≧ 3), the opening of the concavo-convex structure 101a is configured by n sides. At this time, the length of the longest side among the n sides is defined as the recess opening width (Mcc). Note that the n-gon may be a regular n-gon or a non-regular n-gon. For example, when a quadrangular shape is represented, a regular quadrangular shape (square), a rectangular shape, a parallelogram shape, a trapezoid shape, or a shape in which one or more sets of opposite sides of these quadrangular shapes are non-parallel is exemplified. On the other hand, when the concave-convex structure 101a has a non-n-square recess opening, the length when the distance from a predetermined point on the outer edge of the recess opening to the other point is the longest is the recess opening width (Mcc). Define as Here, the non-n-gonal shape is a structure having no corners, for example, a circle, an ellipse, a shape with rounded corners of the above-described n-sided shape, or the above-described n-sided shape including rounded corners (n ≧ 3). ).

なお、上記説明したホールの形状がn角形のホールと、非n角形のホールを混在させて設けることができる。   Note that the hole described above can be provided in a mixture of an n-gonal hole and a non-n-square hole.

次に、モールド101の凹凸構造101aがドット構造、即ち隣接する凸部101bが連続する凹部101cにより隔てられる場合について説明する。複数の凸部101bから任意に1つの凸部(A)を選択し、この凸部(A)の外縁部の一点と、凸部(A)の周囲を囲む他の凸部(B)の外縁部との距離が最短になる時の、該距離を凹部開口幅(Mcc)として定義する。なお、モールド101を凹凸構造101aの表面から観察した際の凸部101bの輪郭形状は、上記説明した凹凸構造101aがホール構造の場合の、ホールの形状を採用できる。   Next, the case where the concavo-convex structure 101a of the mold 101 is a dot structure, that is, a case where adjacent convex portions 101b are separated by continuous concave portions 101c will be described. One convex part (A) is arbitrarily selected from the plurality of convex parts 101b, one point of the outer edge part of the convex part (A), and the outer edge of the other convex part (B) surrounding the convex part (A) The distance when the distance to the portion is the shortest is defined as the recess opening width (Mcc). As the contour shape of the convex portion 101b when the mold 101 is observed from the surface of the concavo-convex structure 101a, the shape of a hole when the concavo-convex structure 101a described above has a hole structure can be adopted.

ラインアンドスペース構造の場合、隣り合う凸状ライン間の最短距離を凹部開口幅(Mcc)とする。   In the case of a line-and-space structure, the shortest distance between adjacent convex lines is defined as a concave opening width (Mcc).

なお、上記説明したホール構造とラインアンドスペース構造、或いはドット構造とラインアンドスペース構造とは混在して設けることができる。   The hole structure and the line and space structure described above, or the dot structure and the line and space structure can be provided in a mixed manner.

記号(Mcv)は、モールド101における凹凸構造101aの凸部11bの頂部幅と定義する。なお、記号(Mcv)は、既に説明した平均ピッチと同様のサンプルから同じ解析手法により、測定され、同様の平均点数より定義される。   The symbol (Mcv) is defined as the top width of the convex portion 11 b of the concavo-convex structure 101 a in the mold 101. The symbol (Mcv) is measured by the same analysis method from the same sample as the average pitch already described, and is defined by the same average score.

モールド101の凹凸構造101aがホール構造の場合、即ち隣接する凹部101cが連続する凸部101bにより隔てられる場合について説明する。複数の凹部101cから任意に1つの凹部(A)を選択し、この凹部(A)の外縁部の一点と、凹部(A)の周囲を囲む他の凹部(B)の外縁部との距離が最短になる時の該距離を凸部頂部幅(Mcv)として定義する。   A case where the concave-convex structure 101a of the mold 101 has a hole structure, that is, a case where adjacent concave portions 101c are separated by continuous convex portions 101b will be described. One recess (A) is arbitrarily selected from the plurality of recesses 101c, and the distance between one point of the outer edge of the recess (A) and the outer edge of another recess (B) surrounding the recess (A) is The distance at the shortest time is defined as the convex top width (Mcv).

次に、モールド101の凹凸構造101aがドット構造の場合、即ち隣接する凸部101bが連続する凹部101cにより隔てられる場合について説明する。凸部101bの形状がn角形(n≧3)の場合、凹凸構造101aの凸部101bはn個の辺により構成される。この時、n個の辺の中で最も長い辺の長さを凸部頂部幅(Mcv)として定義する。なお、n角形は正n角形であっても、非正n角形であってもよい。例えば、4角形を代表させると、正4角形(正方形)、長方形、平行四辺形、台形、又は、これらの4角形の対向する辺の1組以上が非平行な形状が挙げられる。   Next, a case where the uneven structure 101a of the mold 101 has a dot structure, that is, a case where adjacent convex portions 101b are separated by continuous concave portions 101c will be described. When the shape of the convex portion 101b is an n-gon (n ≧ 3), the convex portion 101b of the concavo-convex structure 101a is composed of n sides. At this time, the length of the longest side among the n sides is defined as the convex portion top width (Mcv). Note that the n-gon may be a regular n-gon or a non-regular n-gon. For example, when a quadrangular shape is represented, a regular quadrangular shape (square), a rectangular shape, a parallelogram shape, a trapezoid shape, or a shape in which one or more sets of opposite sides of these quadrangular shapes are non-parallel is exemplified.

一方、凹凸構造101aの凸部101bが非n角形の場合、凹凸構造101aの凸部101bの頂部の外縁部の所定の一点Aから他の一点Bまでの距離が最長となる時の長さを、凸部頂部幅(lcc)として定義する。ここで、非n角形は、角のない構造、例えば、円、楕円、上記説明したn角形の角が丸みを帯びた形状、又は丸みを帯びた角を含む上記説明したn角形(n≧3)である。   On the other hand, when the convex part 101b of the concavo-convex structure 101a is non-n-square, the length when the distance from a predetermined point A to the other one point B of the top edge of the convex part 101b of the concavo-convex structure 101a is the longest is , Defined as the convex top width (lcc). Here, the non-n-gonal shape is a structure having no corners, for example, a circle, an ellipse, a shape with rounded corners of the above-described n-sided shape, or the above-described n-sided shape including rounded corners (n ≧ 3). ).

ラインアンドスペース構造の場合、凸ライン幅を凸部頂部幅(Mcv)と定義する。   In the case of a line-and-space structure, the convex line width is defined as the convex top width (Mcv).

記号(Scm)は、単位面積である。単位面積とは、凹凸構造101aの一主面に平行な面内における凹凸構造101aの上部に配置され、且つ、凹凸構造101aの一主面と平行な面の面積である。単位面積(Scm)の大きさは、平均ピッチ(Pav)の10倍角の正方形の領域として定義する。なお、記号(Scm)は、既に説明した平均ピッチ(Pav)と同様のサンプルの、平均ピッチ(Pav)を求める際の解析手法により撮像される画像内に設定される。   The symbol (Scm) is a unit area. The unit area is an area of a surface that is disposed on the top of the concavo-convex structure 101a in a plane parallel to one main surface of the concavo-convex structure 101a and is parallel to one main surface of the concavo-convex structure 101a. The size of the unit area (Scm) is defined as a square area 10 times the average pitch (Pav). Note that the symbol (Scm) is set in an image captured by an analysis method for obtaining the average pitch (Pav) of a sample similar to the average pitch (Pav) already described.

比率(Sh/Scm)は、モールド101における凹凸構造101aの開口率である。モールド101の凹凸構造101aがホール構造の場合は、凹凸構造101aの主面と平行な面内において、凹凸構造101a上の単位面積(Scm)下に含まれる、凹部101cの面積の和(Sh)の比率が開口率である。例えば、単位面積(Scm)内に凹部101cがN個含まれているとする。このN個の凹部101cの開口部面積(Sh1〜ShN)の和がShとして与えられ、開口率は、(Sh/Scm)で与えられる。一方で、凹凸構造101aがドット状の場合は、凹凸構造101aの主面と平行な面内において、凹凸構造101a上の単位面積(Scm)下に含まれる、凹部101cの面積が開口率である。例えば、単位面積(Scm)内に凸部101bがM個含まれているとする。このM個の凸部101bの頂部面積(Sh’1〜Sh’M)の和がSh’として与えられ、開口部の面積ShはScm−Sh’として与えられ、開口率は、(Sh/Scm)で与えられる。開口率を100倍すればパーセントとして表記できる。   The ratio (Sh / Scm) is the aperture ratio of the concavo-convex structure 101 a in the mold 101. When the concavo-convex structure 101a of the mold 101 has a hole structure, the sum (Sh) of the areas of the recesses 101c included under the unit area (Scm) on the concavo-convex structure 101a in a plane parallel to the main surface of the concavo-convex structure 101a. Is the aperture ratio. For example, it is assumed that N concave portions 101c are included in the unit area (Scm). The sum of the opening area (Sh1 to ShN) of the N recesses 101c is given as Sh, and the aperture ratio is given by (Sh / Scm). On the other hand, when the concavo-convex structure 101a has a dot shape, the area of the concave portion 101c included under the unit area (Scm) on the concavo-convex structure 101a is the aperture ratio in a plane parallel to the main surface of the concavo-convex structure 101a. . For example, it is assumed that M convex portions 101b are included in the unit area (Scm). The sum of the top areas (Sh′1 to Sh′M) of the M convex portions 101b is given as Sh ′, the area Sh of the opening is given as Scm−Sh ′, and the aperture ratio is (Sh / Scm ). If the aperture ratio is multiplied by 100, it can be expressed as a percentage.

本発明の第2の積層体2は、モールド101の凹凸構造101aに対するマスク層の配置、第1のマスク層103の表面の表面粗さRaが上記説明した範囲を満たす部位を含む。即ち、既に説明した定義に沿い、各要件を求めた時に、第2の積層体2の要件を同時に満たす部位が含まれれば、本発明の第2の積層体2である。即ち、要件を満たさない部分が散在していても、要件を満たす部分が局所的に設けられていてもよい。要件を満たす部分と満たさない部分との配置関係は特に限定されず、一方が他方に挟まれていても、一方が他方に囲まれていても、或いは、互いに周期的に配置されてもよい。また、要件を満たす部分と満たさない部分との界面形状は直線状であっても、曲線状であっても、角のある形状であってもよい。また、要件を満たす部分或いは満たさない部分の集合の形状は、不定形、n角形(n≧3)、非n角形(n≧3)や、格子状、ライン状等を採用できる。n角形は正n角形であっても、非正n角形であってもよい。例えば、n角形としては、4角形を代表させると、正4角形(正方形)、長方形、平行四辺形、台形、又は、これらの4角形の対向する辺の1組以上が非平行な形状を含む。更に、星状のような形状も含む。非n角形は、角のない構造、例えば、円、楕円、n角形の角が丸みを帯びた形状(n角形の角の曲率半径が0超の形状)、又は丸みを帯びた角(曲率半径が0超の角部)を含むn角形(n≧3)である。   The second laminate 2 of the present invention includes a portion where the arrangement of the mask layer with respect to the concavo-convex structure 101a of the mold 101 and the surface roughness Ra of the surface of the first mask layer 103 satisfy the above-described range. That is, when each requirement is obtained in accordance with the definition already described, if the portion that satisfies the requirement of the second laminate 2 at the same time is included, it is the second laminate 2 of the present invention. That is, even if the portions that do not satisfy the requirements are scattered, the portions that satisfy the requirements may be provided locally. The arrangement relationship between the part that satisfies the requirement and the part that does not satisfy the requirement is not particularly limited, and one may be sandwiched between the other, one may be surrounded by the other, or may be periodically arranged. Further, the interface shape between the part that satisfies the requirement and the part that does not satisfy the requirement may be linear, curved, or angular. Further, the shape of a set of portions that satisfy or do not satisfy the requirements may be indefinite, n-gon (n ≧ 3), non-n-gon (n ≧ 3), lattice, line, or the like. The n-gon may be a regular n-gon or a non-regular n-gon. For example, as an n-gon, when a tetragon is represented, it includes a regular tetragon (square), a rectangle, a parallelogram, a trapezoid, or a shape in which one or more sets of opposing sides of these quadrangles are non-parallel. . Furthermore, a star-like shape is included. A non-n-gonal shape is a structure with no corners, for example, a circle, an ellipse, a shape with rounded corners of an n-sided shape (a shape with a radius of curvature of n-sided corners exceeding 0), or a rounded angle (curvature radius). Is an n-gon (n ≧ 3) including a corner portion exceeding 0).

本発明の第2の積層体2は、上記式(4)〜(7)を同時に満たす凹凸構造Aを含むことが好ましい。既に説明した定義に沿い、モールド101の凹凸構造101aに対する式(4)〜式(7)を求めた時に、式(4)〜式(7)を同時に満たす部位が含まれれば、本発明のより好ましい第2の積層体2である。即ち、式(4)〜式(7)を満たさない部分が散在していても、式(4)〜式(7)を満たす部分が局所的に設けられていてもよい。式(4)〜式(7)を満たす部分と満たさない部分と、の配置関係は特に限定されず、一方が他方に挟まれていても、一方が他方に囲まれていても、或いは、互いに周期的に配置されてもよい。また、式(4)〜式(7)を満たす部分と満たさない部分と、の界面形状は直線状であっても、曲線状であっても、角のある形状であってもよい。また、式(4)〜式(7)を満たす部分或いは満たさない部分の集合の形状は、不定形、n角形(n≧3)、非n角形(n≧3)や、格子状、ライン状等を採用できる。n角形は正n角形であっても、非正n角形であってもよい。例えば、n角形としては、4角形を代表させると、正4角形(正方形)、長方形、平行四辺形、台形、又は、これらの4角形の対向する辺の1組以上が非平行な形状を含む。更に、星状のような形状も含む。非n角形は、角のない構造、例えば、円、楕円、n角形の角が丸みを帯びた形状(n角形の角の曲率半径が0超の形状)、又は丸みを帯びた角(曲率半径が0超の角部)を含むn角形(n≧3)である。   It is preferable that the 2nd laminated body 2 of this invention contains the uneven structure A which satisfy | fills said Formula (4)-(7) simultaneously. According to the definition already explained, when the formulas (4) to (7) for the concavo-convex structure 101a of the mold 101 are obtained, if portions that simultaneously satisfy the formulas (4) to (7) are included, the present invention This is a preferred second laminate 2. That is, even if the portions that do not satisfy the expressions (4) to (7) are scattered, the portions that satisfy the expressions (4) to (7) may be provided locally. The arrangement relationship between the portion that satisfies the formula (4) to the formula (7) and the portion that does not satisfy the formula (7) is not particularly limited, and even if one is sandwiched between the other, one is surrounded by the other, You may arrange | position periodically. Further, the interface shape between the portion that satisfies the formula (4) to the formula (7) and the portion that does not satisfy the formula (4) to the formula (7) may be linear, curved, or angular. In addition, the shape of the set of portions satisfying or not satisfying formulas (4) to (7) can be indefinite, n-gonal (n ≧ 3), non-n-gonal (n ≧ 3), grid-like, or line-like Etc. can be adopted. The n-gon may be a regular n-gon or a non-regular n-gon. For example, as an n-gon, when a tetragon is represented, it includes a regular tetragon (square), a rectangle, a parallelogram, a trapezoid, or a shape in which one or more sets of opposing sides of these quadrangles are non-parallel. . Furthermore, a star-like shape is included. A non-n-gonal shape is a structure with no corners, for example, a circle, an ellipse, a shape with rounded corners of an n-sided shape (a shape with a radius of curvature of n-sided corners exceeding 0), or a rounded angle (curvature radius). Is an n-gon (n ≧ 3) including a corner portion exceeding 0).

次に、第2の積層体2のモールド101についてより詳細に説明する。   Next, the mold 101 of the second stacked body 2 will be described in detail.

(モールド)
モールド101の形状は、表面に凹凸構造101aが形成されていれば特に限定されないが、平板状、フィルム状又はリール状であることが好ましい。また、モールド101は、図15Aに示すように、支持基材100を用いずに形成されていてもよく、図15Bに示すように、支持基材100上に形成されていてもよい。
(mold)
The shape of the mold 101 is not particularly limited as long as the concavo-convex structure 101a is formed on the surface, but is preferably a flat plate shape, a film shape, or a reel shape. 15A, the mold 101 may be formed without using the support base material 100, or may be formed on the support base material 100 as shown in FIG. 15B.

モールド101の凹凸構造101aの表面上への水滴の接触角は、第2の積層体2を製造する際の、マスク層の成膜性の観点から、90度よりも小さいことが好ましい。特に、塗工液のレべリング性を向上させる観点から、70度以下であることがより好ましい。一方、該接触角は、モールド101を剥離して中間体21を得る際の、中間体21に加わる剥離エネルギの観点から、90度より大きいことが好ましく、95度以上であることがより好ましく、この効果をよりいっそう発揮する観点から、100度以上であることが最も好ましい。同様の効果から、凹凸構造101aの表面に対する水滴の転落角は、90度未満であることが好ましく、65度以下であることがより好ましく、35度以下であることが最も好ましい。なお、モールド101の凹凸構造101aの表面自由エネルギは、凹凸構造101aとマスク層との接着力が第1のマスク層103と第2のマスク層102及び第1のマスク層103と被処理体20との接着力よりも小さくなる範囲であればよい。   The contact angle of water droplets on the surface of the concavo-convex structure 101a of the mold 101 is preferably smaller than 90 degrees from the viewpoint of film formability of the mask layer when the second laminate 2 is manufactured. In particular, from the viewpoint of improving the leveling properties of the coating liquid, it is more preferably 70 degrees or less. On the other hand, the contact angle is preferably larger than 90 degrees, more preferably 95 degrees or more, from the viewpoint of peeling energy applied to the intermediate body 21 when the mold 101 is peeled to obtain the intermediate body 21. From the viewpoint of further exerting this effect, the angle is most preferably 100 degrees or more. From the same effect, the falling angle of the water droplet with respect to the surface of the concavo-convex structure 101a is preferably less than 90 degrees, more preferably 65 degrees or less, and most preferably 35 degrees or less. Note that the surface free energy of the concavo-convex structure 101a of the mold 101 is such that the adhesive force between the concavo-convex structure 101a and the mask layer is the first mask layer 103, the second mask layer 102, the first mask layer 103, and the object 20 to be processed. It may be in a range smaller than the adhesive strength.

なお、モールド101の凹凸構造101aの表面自由エネルギは、凹凸構造101aに対する水の接触角に相関する。この接触角が大きい程、表面自由エネルギは小さくなる。上述した表面自由エネルギの低い凹凸構造101aとは、接触角が85度以上の状態として定義する。なお、接触角は、『基板ガラス表面のぬれ性試験方法』として、JISR3257(1999)に制定された接触角測定方法を用いて測定する。この場合、接触角測定対象となる基材としては、本実施の形態に係るモールド101の凹凸構造101aが形成された表面を使用するものとする。   In addition, the surface free energy of the uneven structure 101a of the mold 101 correlates with the contact angle of water with respect to the uneven structure 101a. The larger the contact angle, the smaller the surface free energy. The concavo-convex structure 101a having a low surface free energy described above is defined as a state where the contact angle is 85 degrees or more. The contact angle is measured using a contact angle measurement method established in JIS R3257 (1999) as a “wetting test method for substrate glass surface”. In this case, the surface on which the concavo-convex structure 101a of the mold 101 according to this embodiment is formed is used as the base material to be a contact angle measurement target.

モールド101の凹凸構造101aの表面の自由エネルギを減少させることが好ましい。即ち、凹凸構造101aとマスク層との物理的及び化学的接着力を低減することで、転写性を大きく向上させることができる。自由エネルギを低減させる手法としては、凹凸構造101aに対して離型処理を行うか、自由エネルギの低い材質を選定するか、表面の自由エネルギを低下させる成分を仕込む手法等を採用できる。凹凸構造101aに対する離型処理は、公知一般に知られる離型処理を採用でき、一般的な防汚剤、レべリング材、撥水剤或いは指紋付着防止剤等を使用できる。また、離型処理を行う前に、凹凸構造101aの表面を金属や金属酸化物にて被覆してもよい。この場合、離型処理の均等性と凹凸構造101aの強度を向上させることができる。自由エネルギの低い材質としては、フッ素含有樹脂やシリコーン樹脂などを使用できる。表面の自由エネルギを低下させる成分を仕込む手法としては、偏析やブリードアウトなどを利用することができる。例えば、フッ素成分やメリル基成分の偏析、シリコーン成分のブリードアウトを利用できる。なお、表面の自由エネルギを低減させる成分を仕込む手法は、マスク層に対して行うこともできる。例えば、フッ素成分やシリコーン成分をマスク層に仕込むことで、フッ素成分の偏析やシリコーン成分のブリードアウトを利用することができるため、マスク層と凹凸構造101aとの接着強度を大きく低減できる。特に、マスク層の種類によらず、マスク層とモールド101と、の密着力を低減する観点から、モールド101の凹凸構造101aの表面の自由エネルギは、3erg/cm2以上18erg/cm2以下であることが好ましい。特に、モールド101を剥離除去する際の摩擦力を低減する観点から、3erg/cm2以上15erg/cm2以下であることが最も好ましい。   It is preferable to reduce the free energy of the surface of the concavo-convex structure 101a of the mold 101. That is, the transferability can be greatly improved by reducing the physical and chemical adhesion between the concavo-convex structure 101a and the mask layer. As a method for reducing the free energy, a method of performing a mold release process on the concavo-convex structure 101a, selecting a material having a low free energy, or a method of charging a component that reduces the free energy of the surface can be employed. As the mold release treatment for the concavo-convex structure 101a, a known and generally known mold release treatment can be adopted, and a general antifouling agent, leveling material, water repellent or fingerprint adhesion preventing agent can be used. Further, the surface of the concavo-convex structure 101a may be covered with a metal or a metal oxide before performing the mold release process. In this case, the uniformity of the mold release process and the strength of the concavo-convex structure 101a can be improved. As a material having low free energy, a fluorine-containing resin or a silicone resin can be used. Segregation, bleed out, or the like can be used as a method of charging a component that reduces the free energy of the surface. For example, segregation of fluorine components and meryl group components, and bleeding out of silicone components can be used. Note that the method of charging a component for reducing the free energy of the surface can be performed on the mask layer. For example, by adding a fluorine component or a silicone component to the mask layer, segregation of the fluorine component or bleed-out of the silicone component can be used, so that the adhesive strength between the mask layer and the concavo-convex structure 101a can be greatly reduced. In particular, from the viewpoint of reducing the adhesion between the mask layer and the mold 101 regardless of the type of the mask layer, the free energy of the surface of the concavo-convex structure 101a of the mold 101 is 3 erg / cm 2 or more and 18 erg / cm 2 or less. Is preferred. In particular, from the viewpoint of reducing the frictional force when the mold 101 is peeled and removed, it is most preferably 3 erg / cm 2 or more and 15 erg / cm 2 or less.

上記説明したフッ素成分は、ポリフルオロアルキレンやペルフルオロ(ポリオキシアルキレン)鎖、直鎖状ペルフルオロアルキレン基、炭素原子−炭素原子間にエーテル性酸素原子が挿入され且つつトリフルオロメチル基を側鎖に有するペルフルオロオキシアルキレン基、トリフルオロメチル基を分子側鎖又は分子構造末端に有する直鎖状のポリフルオロアルキレン鎖、又は直鎖状のペルフルオロ(ポリオキシアルキレン)鎖等を具備するモノマや樹脂により導入できる。特に、表面自由エネルギの低減効果を大きくする点から、ポリフルオロアルキレン鎖は、炭素数2〜炭素数24のポリフルオロアルキレン基であることが好ましい。   The fluorine component described above is composed of a polyfluoroalkylene, a perfluoro (polyoxyalkylene) chain, a linear perfluoroalkylene group, an etheric oxygen atom inserted between carbon atoms and a trifluoromethyl group as a side chain. Introduced by monomers or resins having a perfluorooxyalkylene group, a trifluoromethyl group, a linear polyfluoroalkylene chain having a molecular side chain or molecular structure terminal, or a linear perfluoro (polyoxyalkylene) chain it can. In particular, the polyfluoroalkylene chain is preferably a polyfluoroalkylene group having 2 to 24 carbon atoms from the viewpoint of increasing the effect of reducing the surface free energy.

ペルフルオロ(ポリオキシアルキレン)鎖は、(CFCFO)単位、(CFCF(CF)O)単位、(CFCFCFO)単位又は(CFO)単位からなる群から選ばれた1種以上のペルフルオロ(オキシアルキレン)単位からなることが好ましく、(CFCFO)単位、(CFCF(CF)O)単位、又は(CFCFCFO)単位からなることがより好ましい。ペルフルオロ(ポリオキシアルキレン)鎖は、凹凸構造101aの物性(耐熱性、耐酸性等)が優れることから、(CFCFO)単位からなることが特に好ましい。ペルフルオロ(オキシアルキレン)単位の数は、凹凸構造101aの表面自由エネルギの低減と硬度の向上の観点から、2〜200の整数が好ましく、2〜50の整数がより好ましい。 The perfluoro (polyoxyalkylene) chain is a group consisting of (CF 2 CF 2 O) units, (CF 2 CF (CF 3 ) O) units, (CF 2 CF 2 CF 2 O) units or (CF 2 O) units. It is preferably composed of one or more perfluoro (oxyalkylene) units selected from: (CF 2 CF 2 O) units, (CF 2 CF (CF 3 ) O) units, or (CF 2 CF 2 CF 2 O). ) Units. The perfluoro (polyoxyalkylene) chain is particularly preferably composed of (CF 2 CF 2 O) units because the physical properties (heat resistance, acid resistance, etc.) of the concavo-convex structure 101a are excellent. The number of perfluoro (oxyalkylene) units is preferably an integer of 2 to 200, more preferably an integer of 2 to 50, from the viewpoint of reducing the surface free energy of the concavo-convex structure 101a and improving the hardness.

また、上記自由エネルギを達成するために、以下の1.及び2.の要件を満たすモノマや樹脂を使用できる。
1.ポリフルオロアルキレン鎖、ペルフルオロ(ポリオキシアルキレン)鎖、パーフルオロポリエーテル鎖、直鎖状ペルフルオロアルキレン基、又は、炭素原子−炭素原子間にエーテル性酸素原子が挿入されている。
2.トリフルオロメチル基を側鎖に有するペルフルオロオキシアルキレン基、トリフルオロメチル基を分子側鎖又は分子構造末端に有する直鎖状のポリフルオロアルキレン鎖、或いは直鎖状のペルフルオロ(ポリオキシアルキレン)鎖等を具備する。
In order to achieve the above free energy, the following 1. And 2. Monomers and resins that meet these requirements can be used.
1. A polyfluoroalkylene chain, a perfluoro (polyoxyalkylene) chain, a perfluoropolyether chain, a linear perfluoroalkylene group, or an etheric oxygen atom is inserted between carbon atoms.
2. A perfluorooxyalkylene group having a trifluoromethyl group in the side chain, a linear polyfluoroalkylene chain having a trifluoromethyl group at the molecular side chain or at the molecular structure end, or a linear perfluoro (polyoxyalkylene) chain It comprises.

特に、フッ素成分の偏析性が優れる観点から、ポリフルオロアルキレン鎖は、炭素数2〜炭素数24のポリフルオロアルキレン基であることが好ましい。また、ペルフルオロ(ポリオキシアルキレン)鎖は、(CFCFO)単位、(CFCF(CF)O)単位、(CFCFCFO)単位及び(CFO)単位からなる群から選ばれた1種以上のペルフルオロ(オキシアルキレン)単位からなることが好ましく、(CFCFO)単位、(CFCF(CF)O)単位、又は(CFCFCFO)単位からなることがより好ましい。ペルフルオロ(ポリオキシアルキレン)鎖は、モールド101の繰り返し使用性が向上する観点から、(CFCFO)単位からなることが特に好ましい。ペルフルオロ(オキシアルキレン)単位の数は、ナノ構造S11の表面自由エネルギの低減と硬度の向上の観点から、2〜200の整数が好ましく、2〜50の整数がより好ましい。 In particular, from the viewpoint of excellent segregation of the fluorine component, the polyfluoroalkylene chain is preferably a polyfluoroalkylene group having 2 to 24 carbon atoms. The perfluoro (polyoxyalkylene) chain is composed of (CF 2 CF 2 O) units, (CF 2 CF (CF 3 ) O) units, (CF 2 CF 2 CF 2 O) units, and (CF 2 O) units. It is preferably composed of one or more perfluoro (oxyalkylene) units selected from the group consisting of: (CF 2 CF 2 O) units, (CF 2 CF (CF 3 ) O) units, or (CF 2 CF 2 CF More preferably, it consists of 2 O) units. The perfluoro (polyoxyalkylene) chain is particularly preferably composed of (CF 2 CF 2 O) units from the viewpoint of improving the repeated usability of the mold 101. The number of perfluoro (oxyalkylene) units is preferably an integer of 2 to 200, more preferably an integer of 2 to 50, from the viewpoint of reducing the surface free energy of the nanostructure S11 and improving the hardness.

また、フッ素含有ポリウレタン、ポリテトラフルオロエチレン(PTFE)、テトラフルオロエチレン・パーフルオロアルキルビニルエーテル共重合体(PFA)、テトラフルオロエチレン・ヘキサフルオロプロピレン共重合体(FEP)、テトラフルオロエチレン・エチレン共重合体(ETFE)、ポリビニリデンフルオライド(PVDF)、ポリクロロトリフルオロエチレン(PCTFE)、フッ素含有アクリル樹脂等を使用することも出来る。ETFEとしては、テトラフルオロエチレン(TFE)に基づく繰り返し単位とエチレン(E)に基づく繰り返し単位とのモル比率(TFE/E)が、70/30〜30/70のものが好ましく、65/35〜40/60のものがより好ましい。また、ETFEは、他のコモノマーに基づく繰り返し単位を含むことが出来る。他のコモノマーとしては、例えば、CF=CFClに代表されるTFEを除いたフルオロエチレン類、CF=CFCFやCF=CHCFに代表されるフルオロプロピレン類、CFCFCFCFCH=CHやCFCFCFCFCF=CHに代表される炭素数が2〜12のパーフルオロアルキル基を有するフルオロエチレン類、Rf(OCFXCF)kOCF=CF(ただし、Rfは炭素数1〜6のパーフルオロアルキル基であり、Xはフッ素原子又はトリフルオロメチル基であり、kは0〜5の整数である)に代表されるパーフルオロビニルエーテル類、Eを除いたオレフィン類、プロピレンに代表されるC3オレフィン、及び、ブチレンやイソブチレンに代表されるC4オレフィン等が挙げられる。中でも、CFCFCFCFCH=CHが特に好ましい。また、上記他のコモノマーに基づく繰り返し単位の割合は、ETFEを構成する全繰り返し単位(100モル%)のうち、30モル%以下が好ましく、0.1〜15モル%がより好ましく、0.2〜10モル%が特に好ましい。 Fluorine-containing polyurethane, polytetrafluoroethylene (PTFE), tetrafluoroethylene / perfluoroalkyl vinyl ether copolymer (PFA), tetrafluoroethylene / hexafluoropropylene copolymer (FEP), tetrafluoroethylene / ethylene copolymer Combined (ETFE), polyvinylidene fluoride (PVDF), polychlorotrifluoroethylene (PCTFE), fluorine-containing acrylic resin, and the like can also be used. As ETFE, the molar ratio (TFE / E) of the repeating unit based on tetrafluoroethylene (TFE) and the repeating unit based on ethylene (E) is preferably 70/30 to 30/70, and 65/35 to More preferred is 40/60. ETFE can also contain repeat units based on other comonomers. Other comonomer includes, for example, fluoroethylenes excluding TFE represented by CF 2 = CFCl, fluoropropylenes represented by CF 2 = CFCF 3 and CF 2 = CHCF 3 , CF 3 CF 2 CF 2 CF fluoro ethylenes which 2 CH = CH 2 and CF 3 CF 2 CF 2 CF 2 CF = number of carbon atoms represented by CH 2 has a 2-12 perfluoroalkyl group, Rf (OCFXCF 2) kOCF = CF 2 ( provided that Rf is a perfluoroalkyl group having 1 to 6 carbon atoms, X is a fluorine atom or a trifluoromethyl group, k is an integer of 0 to 5), and excluding E Olefins, C3 olefins typified by propylene, and C4 olefins typified by butylene and isobutylene And the like. Among these, CF 3 CF 2 CF 2 CF 2 CH═CH 2 is particularly preferable. Further, the ratio of the repeating unit based on the other comonomer is preferably 30 mol% or less, more preferably 0.1 to 15 mol%, and more preferably 0.1 to 15 mol% of all repeating units (100 mol%) constituting ETFE. 10 mol% is particularly preferred.

また、モールド101の凹凸構造101aは、含フッ素環状重合体を含むことが出来る。ここで、含フッ素環状重合体とは、主鎖に含フッ素脂肪族環を有する含フッ素重合体であり、含フッ素脂肪族環を構成する炭素原子の1個以上が該含フッ素重合体の主鎖を構成する炭素原子であるものと定義する。含フッ素脂肪族環を構成する原子としては、炭素原子以外に酸素原子、窒素原子等を含んでもよい。含フッ素脂肪族環としては、1〜2個の酸素原子を有する含フッ素脂肪族環が好ましい。含フッ素脂肪族環を構成する原子の数は4〜7個が好ましい。主鎖を構成する炭素原子は、含フッ素環状重合体が環状単量体を重合させて得た重合体である場合には、該含フッ素重合体を構成する単量体の重合性二重結合の2個の炭素原子に由来する。また、ジエン系単量体を環化重合させて得た重合体である場合には、2個の重合性二重結合の4個の炭素原子に由来する。重合性二重結合としては、ビニル基、アリル基、アクリロイル基、メタクリロイル基等が好ましい。   Moreover, the uneven structure 101a of the mold 101 can contain a fluorine-containing cyclic polymer. Here, the fluorinated cyclic polymer is a fluorinated polymer having a fluorinated aliphatic ring in the main chain, and at least one carbon atom constituting the fluorinated aliphatic ring is the main chain of the fluorinated polymer. It is defined as the carbon atom that constitutes the chain. The atoms constituting the fluorinated aliphatic ring may contain oxygen atoms, nitrogen atoms, etc. in addition to carbon atoms. The fluorine-containing aliphatic ring is preferably a fluorine-containing aliphatic ring having 1 to 2 oxygen atoms. The number of atoms constituting the fluorine-containing aliphatic ring is preferably 4-7. When the fluorinated cyclic polymer is a polymer obtained by polymerizing a cyclic monomer, the carbon atom constituting the main chain is a polymerizable double bond of the monomer constituting the fluorinated polymer. Derived from two carbon atoms. In the case of a polymer obtained by cyclopolymerizing a diene monomer, it is derived from 4 carbon atoms of 2 polymerizable double bonds. As the polymerizable double bond, a vinyl group, an allyl group, an acryloyl group, a methacryloyl group and the like are preferable.

含フッ素環状重合体としては、環状単量体の単独重合体又は共重合体、ジエン系単量体を環化重合させた単独重合体又は共重合体等が挙げられる。環状単量体とは、含フッ素脂肪族環を有し、且つ含フッ素脂肪族環を構成する炭素原子−炭素原子間に重合性二重結合を有する単量体、又は、含フッ素脂肪族環を有し、且つ含フッ素脂肪族環を構成する炭素原子と含フッ素脂肪族環外の炭素原子との間に重合性二重結合を有する単量体である。ジエン系単量体とは、2個の重合性二重結合を有する単量体である。環状単量体及びジエン系単量体は、フッ素原子を有する単量体であり、炭素原子に結合した水素原子と炭素原子に結合したフッ素原子の合計数に対する炭素原子に結合したフッ素原子の数の割合が80%以上の単量体が好ましく、パーフルオロ単量体(該割合が100%の単量体)がより好ましい。環状単量体及びジエン系単量体は、パーフルオロ単量体のフッ素原子の一部(1〜4個が好ましい)が塩素原子に置換された単量体(以下、パーハロポリフルオロ単量体)であってもよい。環状単量体及びジエン系単量体と共重合させる単量体も、パーフルオロ単量体又はパーハロポリフルオロ単量体が好ましい。環状単量体と共重合させる単量体としては、例えば、CF=CF、CF=CFCl、CF=CFOCF等が挙げられる。また、ジエン系単量体としては、CF=CF−Q−CF=CF(Qは、エーテル性酸素原子を有していてもよい炭素数1〜3のパーフルオロアルキレン基である。Qがエーテル性酸素原子を有するパーフルオロアルキレン基である場合、該パーフルオロアルキレン基におけるエーテル性酸素原子は、該基の一方の末端に存在していてもよく、該基の両末端に存在していてもよく、該基の炭素原子間に存在していてもよい。環化重合性の点から、該基の一方の末端に存在していることが好ましい。)等が挙げられる。ジエン系単量体の具体例としては、CF=CFOCFCF=CF、CF=CFOCF(CF)CF=CF、CF=CFOCFCFCF=CF、CF=CFOCFCF(CF)CF=CF、CF=CFOCF(CF)CFCF=CF、CF=CFOCFOCF=CF、CF=CFOC(CFOCF=CF、CF=CFCFCF=CF、CF=CFCFCFCF=CF等が挙げられる。 Examples of the fluorinated cyclic polymer include homopolymers or copolymers of cyclic monomers, and homopolymers or copolymers obtained by cyclopolymerizing diene monomers. The cyclic monomer is a monomer having a fluorine-containing aliphatic ring and having a polymerizable double bond between carbon atoms constituting the fluorine-containing aliphatic ring, or a fluorine-containing aliphatic ring. And a monomer having a polymerizable double bond between a carbon atom constituting the fluorinated aliphatic ring and a carbon atom outside the fluorinated aliphatic ring. The diene monomer is a monomer having two polymerizable double bonds. The cyclic monomer and the diene monomer are monomers having a fluorine atom, and the number of fluorine atoms bonded to the carbon atom relative to the total number of hydrogen atoms bonded to the carbon atom and fluorine atoms bonded to the carbon atom. A monomer having a ratio of 80% or more is preferable, and a perfluoromonomer (a monomer having the ratio of 100%) is more preferable. The cyclic monomer and the diene monomer are monomers in which a part of fluorine atoms (preferably 1 to 4) of the perfluoromonomer are substituted with chlorine atoms (hereinafter, perhalopolyfluoromonomers). Body). The monomer to be copolymerized with the cyclic monomer and the diene monomer is also preferably a perfluoromonomer or a perhalopolyfluoromonomer. Examples of the monomer to be copolymerized with the cyclic monomer include CF 2 = CF 2 , CF 2 = CFCl, CF 2 = CFOCF 3 and the like. Further, as the diene monomer, CF 2 = CF-Q- CF = CF 2 (Q is an etheric perfluoroalkylene group oxygen atom 1-3 carbon atoms which may have a .Q Is a perfluoroalkylene group having an etheric oxygen atom, the etheric oxygen atom in the perfluoroalkylene group may be present at one end of the group or at both ends of the group. And may be present between the carbon atoms of the group, preferably from one end of the group from the viewpoint of cyclopolymerizability. As specific examples of the diene monomer, CF 2 = CFOCF 2 CF = CF 2 , CF 2 = CFOCF (CF 3 ) CF = CF 2 , CF 2 = CFOCF 2 CF 2 CF = CF 2 , CF 2 = CFOCF 2 CF (CF 3) CF = CF 2, CF 2 = CFOCF (CF 3) CF 2 CF = CF 2, CF 2 = CFOCF 2 OCF = CF 2, CF 2 = CFOC (CF 3) 2 OCF = CF 2, CF 2 = CFCF 2 CF = CF 2, CF 2 = CFCF 2 CF 2 CF = CF 2 , and the like.

また、凹凸構造101aの表層のフッ素元素濃度を調整するために、ノアソルブ GS BP85(グリーン・ノア株式会社製)、ゼオローラH(日本ゼオン株式会社製)、ゾニールTCコート(デュポン社製)、旭硝子社製「サイトップ」(例えば、CTL−107M、CTL−107A)、「アサヒクリン」(例えば、AE−3000、AE−3100E、AK−225、AC−6000、AC−2000)、ノベックEGC−1720(住友スリーエム社製)、ダイキン工業社製「オプツール(登録商標)」(例えば、DSX、DAC、AES)、「デュラサーフ」(例えば、HD−2101Z、HD2100、HD−1101Z)、「エフトーン(登録商標)」(例えば、AT−100)、「ゼッフル(登録商標)」(例えば、GH−701)、「ユニダイン(登録商標)」、「ダイフリー(登録商標)」、「オプトエース(登録商標)」、ネオス社製「フタージェント」(例えば、Mシリーズ:フタージェント251、フタージェント215M、フタージェント250、FTX−245M、FTX−290M;Sシリーズ:FTX−207S、FTX−211S、FTX−220S、FTX−230S;Fシリーズ:FTX−209F、FTX−213F、フタージェント222F、FTX−233F、フタージェント245F;Gシリーズ:フタージェント208G、FTX−218G、FTX−230G、FTS−240G;オリゴマーシリーズ:フタージェント730FM、フタージェント730LM;フタージェントPシリーズ;フタージェント710FL;FTX−710HL、等)、DIC社製「メガファック」(例えば、F−114、F−410、F−493、F−494、F−443、F−444、F−445、F−470、F−471、F−474、F−475、F−477、F−479、F−480SF、F−482、F−483、F−489、F−172D、F−178K、F−178RM、MCF−350SF、等)、フロロテクノロジー社製「フロロサーフ」等を使用することも出来る。   In addition, in order to adjust the fluorine element concentration in the surface layer of the concavo-convex structure 101a, Noorsolve GS BP85 (manufactured by Green Noah Co., Ltd.), Zeorora H (manufactured by Zeon Corporation of Japan), Zonyl TC Coat (manufactured by DuPont), Asahi Glass Co., Ltd. “Cytop” (for example, CTL-107M, CTL-107A), “Asahiklin” (for example, AE-3000, AE-3100E, AK-225, AC-6000, AC-2000), Novec EGC-1720 ( "Optool (registered trademark)" (for example, DSX, DAC, AES), "Durasurf" (for example, HD-2101Z, HD2100, HD-1101Z), "Eftone (registered trademark)", manufactured by Daikin Industries, Ltd. ) "(For example, AT-100)," Zeffle (registered trademark) "(for example, GH- 701), “Unidyne (registered trademark)”, “Die Free (registered trademark)”, “Optoace (registered trademark)”, “Fuategent” manufactured by Neos (for example, M series: Futgent 251, Futgent 215M, Footage 250, FTX-245M, FTX-290M; S Series: FTX-207S, FTX-211S, FTX-220S, FTX-230S; F Series: FTX-209F, FTX-213F, Footent 222F, FTX-233F, TF 245F; G Series: HF 208G, FTX-218G, FTX-230G, FTS-240G; Oligomer Series: FT 730FM, FT 730LM; FT P Series; FT 710FL; FT 710HL, etc.), manufactured by DIC "Megafuck" (for example, F-114, F-410, F-493, F-494, F-443, F-444, F-445, F-470, F- 471, F-474, F-475, F-477, F-479, F-480SF, F-482, F-483, F-487, F-172D, F-178K, F-178RM, MCF-350SF, Etc.), “Fluorosurf” manufactured by Fluoro Technology, Inc. can also be used.

また、フッ素含有シランカップリング材を含むことが出来る。フッ素含有シランカップリング材を含むことで、モールドの繰り返し使用性が向上すると共に、凹凸構造101aと第2のマスク層102及び第1のマスク層103と、の密着性をより低減できる。フッ素含有シランカップリング剤としては、例えば、一般式FC−(CF)n−(CH)m−Si(O−R)(ただし、nは1〜11の整数であり、mは1〜4の整数であり、そしてRは炭素数1〜3のアルキル基である。)で表される化合物であることができ、ポリフルオロアルキレン鎖及び/又はペルフルオロ(ポリオキシアルキレン)鎖を含んでいてもよい。直鎖状ペルフルオロアルキレン基、又は炭素原子−炭素原子間にエーテル性酸素原子が挿入され、且つ、トリフルオロメチル基を側鎖に有するペルフルオロオキシアルキレン基がさらに好ましい。また、トリフルオロメチル基を分子側鎖又は分子構造末端に有する直鎖状のポリフルオロアルキレン鎖及び/又は直鎖状のペルフルオロ(ポリオキシアルキレン)鎖が特に好ましい。ポリフルオロアルキレン鎖は、炭素数2〜炭素数24のポリフルオロアルキレン基が好ましい。ペルフルオロ(ポリオキシアルキレン)鎖は、(CFCFO)単位、(CFCF(CF)O)単位、(CFCFCFO)単位、及び(CF2O)単位からなる群から選ばれる少なくとも1種類以上のペルフルオロ(オキシアルキレン)単位から構成されることが好ましく、(CFCFO)単位、(CFCF(CF)O)単位、又は(CFCFCFO)単位から構成されることがより好ましい。ペルフルオロ(ポリオキシアルキレン)鎖は、表面への偏析性が優れるという観点から、(CFCFO)単位から構成されることが特に好ましい。 Moreover, a fluorine-containing silane coupling material can be included. By including the fluorine-containing silane coupling material, it is possible to improve the reusability of the mold and to further reduce the adhesion between the concavo-convex structure 101a, the second mask layer 102, and the first mask layer 103. The fluorine-containing silane coupling agent, for example, the formula F 3 C- (CF 2) n- (CH 2) m-Si (O-R) 3 ( where, n is an integer of 1 to 11, m Is an integer of 1 to 4 and R is an alkyl group having 1 to 3 carbon atoms.), A polyfluoroalkylene chain and / or a perfluoro (polyoxyalkylene) chain. May be included. More preferred is a linear perfluoroalkylene group or a perfluorooxyalkylene group having an etheric oxygen atom inserted between carbon atoms and a carbon atom and having a trifluoromethyl group in the side chain. Further, a linear polyfluoroalkylene chain having a trifluoromethyl group at the molecular side chain or molecular structure terminal and / or a linear perfluoro (polyoxyalkylene) chain is particularly preferred. The polyfluoroalkylene chain is preferably a polyfluoroalkylene group having 2 to 24 carbon atoms. The perfluoro (polyoxyalkylene) chain is from the group consisting of (CF 2 CF 2 O) units, (CF 2 CF (CF 3 ) O) units, (CF 2 CF 2 CF 2 O) units, and (CF 2 O) units. It is preferably composed of at least one selected perfluoro (oxyalkylene) unit, (CF 2 CF 2 O) unit, (CF 2 CF (CF 3 ) O) unit, or (CF 2 CF 2 CF 2). More preferably, it is composed of O) units. The perfluoro (polyoxyalkylene) chain is particularly preferably composed of (CF 2 CF 2 O) units from the viewpoint of excellent segregation on the surface.

また、本実施の形態に係る第2の積層体2において、モールド101は、フッ素元素、メチル基、又は、シリコン元素の群から選ばれる少なくとも一つの要素を含有することが好ましい。特に、シリコン元素はシロキサン結合により導入されることが好ましい。このような要素を含むことにより、モールド101の凹凸構造101aとマスク層との物理的及び化学的接着力を小さくすることができる。即ち、マスク層と被処理体200との接着力を、凹凸構造101aとマスク層との接着力に比べ相対的に大きくすることが容易となる。   Moreover, in the 2nd laminated body 2 which concerns on this Embodiment, it is preferable that the mold 101 contains the at least 1 element chosen from the group of a fluorine element, a methyl group, or a silicon element. In particular, the silicon element is preferably introduced by a siloxane bond. By including such an element, the physical and chemical adhesive force between the concavo-convex structure 101a of the mold 101 and the mask layer can be reduced. That is, it becomes easy to make the adhesive force between the mask layer and the target object 200 relatively larger than the adhesive force between the concavo-convex structure 101a and the mask layer.

また、シロキサン結合を含む添加剤、フッ素を含む添加剤又はメチル基を含む添加剤を凹凸構造101aの原料に添加し、モールド101の凹凸構造101aの表面自由エネルギを減少させることもできる。添加量としては、凹凸構造101aの原料全体に対して、概ね0.1重量%以上30重量%以下であると、凹凸構造101aの物理安定性が向上すると共に、マスク層への該添加剤の転写が抑制されるため好ましい。   Further, an additive containing a siloxane bond, an additive containing fluorine, or an additive containing a methyl group can be added to the raw material of the concavo-convex structure 101a to reduce the surface free energy of the concavo-convex structure 101a of the mold 101. The amount added is approximately 0.1 wt% or more and 30 wt% or less with respect to the entire raw material of the concavo-convex structure 101a, and the physical stability of the concavo-convex structure 101a is improved, and the additive to the mask layer Since transfer is suppressed, it is preferable.

シロキサン結合の導入は、一般式−[−Si−O−]−nにおいて、nが50以上の部位を含む樹脂であると表面自由エネルギの低下が促進されるため好ましい。特に、nが100以上であると好ましく、300以上であるとより好ましく、1000以上であると最も好ましい。このような樹脂は、公知一般のシリコーンを使用することができる。   Introducing a siloxane bond is preferably a resin containing a site where n is 50 or more in the general formula-[-Si-O-]-n, since the reduction of surface free energy is promoted. In particular, n is preferably 100 or more, more preferably 300 or more, and most preferably 1000 or more. As such a resin, a known general silicone can be used.

また、凹凸構造101aがフッ素含有樹脂より構成される場合、凹凸構造101aを構成する樹脂全体に対するフッ素元素濃度が25atm.%以上であると、凹凸構造101aの表面の自由エネルギの低下が大きくなるため好ましく、35atm.%以上であるとより好ましい。   When the concavo-convex structure 101a is made of a fluorine-containing resin, the fluorine element concentration with respect to the entire resin constituting the concavo-convex structure 101a is 25 atm. % Or more is preferable because the reduction in free energy on the surface of the concavo-convex structure 101a is large, and preferably 35 atm. % Or more is more preferable.

本実施の形態に係る第2の積層体2において、モールド101の凹凸構造101aのマスク層面側の表層フッ素元素濃度(Es)とモールド101の平均フッ素元素濃度(Eb)と、の比率(Es/Eb)は、1超30000以下であることが好ましい。なお、平均フッ素元素濃度(Eb)は、モールド101が、支持基材100と凹凸構造101aより構成される場合は、凹凸構造101aに対して測定される。   In the second laminate 2 according to the present embodiment, the ratio (Es /) of the surface layer fluorine element concentration (Es) on the mask layer surface side of the concavo-convex structure 101a of the mold 101 and the average fluorine element concentration (Eb) of the mold 101. Eb) is preferably more than 1 and 30000 or less. In addition, an average fluorine element density | concentration (Eb) is measured with respect to the uneven structure 101a, when the mold 101 is comprised from the support base material 100 and the uneven structure 101a.

比率(Es/Eb)を1超にすることにより、モールド101の、支持基材100と凹凸構造101aとの接着力を大きくすると共に、凹凸構造101aの物理強度を向上させることができる。一方、該比率(Es/Eb)を30000以下することで、モールド101の凹凸構造101aに対するマスク層の配置精度が向上すると共に、凹凸構造101a表面の自由表面自由エネルギを効果的に減少させることができるため、マスク層と凹凸構造101aとの接着力を低減できる。即ち、比率(Es/Eb)が上記範囲を満たすことで、第2の積層体2の物理的安定性が向上すると共に、転写精度を高めることができる。また、モールド101の再利用性が向上する。   By setting the ratio (Es / Eb) to more than 1, it is possible to increase the adhesive strength between the support substrate 100 and the concavo-convex structure 101a of the mold 101 and to improve the physical strength of the concavo-convex structure 101a. On the other hand, by setting the ratio (Es / Eb) to 30000 or less, the placement accuracy of the mask layer with respect to the concavo-convex structure 101a of the mold 101 can be improved and the free surface free energy on the surface of the concavo-convex structure 101a can be effectively reduced. Therefore, the adhesive force between the mask layer and the concavo-convex structure 101a can be reduced. That is, when the ratio (Es / Eb) satisfies the above range, the physical stability of the second laminate 2 is improved and the transfer accuracy can be increased. Further, the reusability of the mold 101 is improved.

比率(Es/Eb)が3≦Es/Eb≦1500、10≦Es/Eb≦100の範囲となるにしたがって、よりマスク層の転写精度が向上すると共に、モールド101の再利用性が向上するため好ましい。なお、上記する最も広い範囲(1<Es/Eb≦30000)の中にあって、20≦Es/Eb≦200の範囲であれば、表層フッ素元素濃度(Es)が、平均フッ素濃度(Eb)より十分高くなり、モールド101の凹凸構造101aの表面の自由エネルギが効果的に減少するので、マスク層と物理的及び化学的接着力が低下する。また、凹凸構造101aと支持基材100との接着力が大きくなると共に、凹凸構造101a内部のフッ素元素濃度の勾配が適度となることから、凹凸構造101aの機械強度が大きくなる。これにより、支持基材100との密着性に優れ、マスク層との離型性に優れ、しかも、再利用性に優れるモールド101を得ることができるので特に好ましい。この効果をより発現する観点から、26≦Es/Eb≦189、30≦Es/Eb≦160、31≦Es/Eb≦155の順に好ましい。更に、46≦Es/Eb≦155であれば、モールド101を複製する効果とモールド101を再利用する効果がより大きくなるため好ましい。   As the ratio (Es / Eb) is in the range of 3 ≦ Es / Eb ≦ 1500 and 10 ≦ Es / Eb ≦ 100, the transfer accuracy of the mask layer is improved and the reusability of the mold 101 is improved. preferable. In the widest range described above (1 <Es / Eb ≦ 30000) and in the range of 20 ≦ Es / Eb ≦ 200, the surface fluorine element concentration (Es) is the average fluorine concentration (Eb). It becomes higher enough, and the free energy on the surface of the concavo-convex structure 101a of the mold 101 is effectively reduced, so that the physical and chemical adhesion with the mask layer is lowered. In addition, the adhesive strength between the concavo-convex structure 101a and the support substrate 100 is increased, and the gradient of the fluorine element concentration inside the concavo-convex structure 101a is moderate, so that the mechanical strength of the concavo-convex structure 101a is increased. Thereby, it is particularly preferable because it is possible to obtain a mold 101 that has excellent adhesion to the support substrate 100, excellent releasability from the mask layer, and excellent reusability. From the viewpoint of expressing this effect, it is preferable in the order of 26 ≦ Es / Eb ≦ 189, 30 ≦ Es / Eb ≦ 160, and 31 ≦ Es / Eb ≦ 155. Further, 46 ≦ Es / Eb ≦ 155 is preferable because the effect of replicating the mold 101 and the effect of reusing the mold 101 are further increased.

なお、モールド101の凹凸構造表層とは、例えば、凹凸構造101aの表面側からモールド101の裏面(支持基材面)側に向かって、略1〜10%厚み方向に侵入した部分、又は厚み方向に2nm〜20nm侵入した部分を意味する。なお、表層フッ素元素濃度(Es)は、X線光電子分光法(XPS法)により定量できる。XPS法のX線の浸入長は数nmと浅いため、Es値を定量する上で適している。また、モールド101の平均フッ素濃度(Eb)は、仕込み量から計算することができる。又は、モールド101切片を、フラスコ燃焼法にて分解し、続いてイオンクロマトグラフ分析にかけることでも、モールド101の平均フッ素元素濃度(Eb)を同定することができる。   In addition, the uneven | corrugated structure surface layer of the mold 101 is the part which penetrate | invaded in about 1 to 10% thickness direction from the surface side of the uneven | corrugated structure 101a toward the back surface (support base material surface) side of the mold 101, or a thickness direction, for example. It means a part that has penetrated 2 nm to 20 nm. The surface fluorine element concentration (Es) can be quantified by X-ray photoelectron spectroscopy (XPS method). Since the penetration length of X-rays in the XPS method is as shallow as several nm, it is suitable for quantifying the Es value. Further, the average fluorine concentration (Eb) of the mold 101 can be calculated from the charged amount. Alternatively, the average fluorine element concentration (Eb) of the mold 101 can be identified by decomposing the section of the mold 101 by a flask combustion method and subsequently subjecting it to an ion chromatographic analysis.

このような比率(Es/Eb)を満たすモールド101を構成するための原料としては、非フッ素含有の(メタ)アクリレート、フッ素含有(メタ)アクリレート又は光重合開始剤の混合物を使用することができる。これらの混合物を、表面自由エネルギの低い疎水性界面等に接触させた状態で光硬化させると、モールド101の凹凸構造101aの表層部のフッ素元素濃度(Es)を、モールド101の平均フッ素元素濃度(Eb)より大きくでき、更にはモールド101の平均フッ素元素濃度(Eb)をより小さくするように調整することができる。例えば、疎水性界面の表面自由エネルギが、3erg/cm2以上18erg/cm2以下であると、モールド101の表層フッ素元素濃度(Es)が良好に高まり、表面自由エネルギの低いモールド101を製造できる。   As a raw material for constituting the mold 101 satisfying such a ratio (Es / Eb), a non-fluorine-containing (meth) acrylate, a fluorine-containing (meth) acrylate, or a mixture of photopolymerization initiators can be used. . When these mixtures are photocured in contact with a hydrophobic interface or the like having a low surface free energy, the fluorine element concentration (Es) of the surface layer portion of the concavo-convex structure 101a of the mold 101 is changed to the average fluorine element concentration of the mold 101. (Eb) can be made larger, and furthermore, the average fluorine element concentration (Eb) of the mold 101 can be adjusted to be made smaller. For example, when the surface free energy of the hydrophobic interface is 3 erg / cm 2 or more and 18 erg / cm 2 or less, the surface layer fluorine element concentration (Es) of the mold 101 is improved satisfactorily, and the mold 101 having a low surface free energy can be manufactured.

なお、非フッ素含有(メタ)アクリレートは、公知一般の光重合性モノマや光重合性オリゴマを使用することができる。また、光重合開始剤についても同様に公知一般の光重合開始剤を使用できる。フッ素含有(メタ)アクリレートは、分子中にフッ素元素を含む光重合性(メタ)アクリレートであれば特に限定されないが、例えば、下記化学式(1)〜(3)で示されるフッ素含有ウレタン(メタ)アクリレートであると、効果的に平均フッ素元素濃度(Eb)を低く且つ、表層フッ素元素濃度(Es)を高くできるため、より好ましい。このようなウレタン(メタ)アクリレートとしては、例えば、ダイキン工業社製の「オプツールDAC」を用いることができる。   As the non-fluorine-containing (meth) acrylate, a known general photopolymerizable monomer or photopolymerizable oligomer can be used. Moreover, a well-known general photoinitiator can be used similarly about a photoinitiator. The fluorine-containing (meth) acrylate is not particularly limited as long as it is a photopolymerizable (meth) acrylate containing a fluorine element in the molecule. For example, the fluorine-containing urethane (meth) represented by the following chemical formulas (1) to (3) An acrylate is more preferable because it can effectively lower the average fluorine element concentration (Eb) and increase the surface fluorine element concentration (Es). As such urethane (meth) acrylate, for example, “OPTOOL DAC” manufactured by Daikin Industries, Ltd. can be used.

Figure 0006307258
(化学式(1)中、R1は、下記化学式(2)を表し、R2は、下記化学式(3)を表す。)
Figure 0006307258
(化学式(2)中、nは、1以上6以下の整数である。)
Figure 0006307258
(化学式(3)中、Rは、H又はCHである。)
Figure 0006307258
(In the chemical formula (1), R1 represents the following chemical formula (2), and R2 represents the following chemical formula (3).)
Figure 0006307258
(In chemical formula (2), n is an integer of 1 or more and 6 or less.)
Figure 0006307258
(In the chemical formula (3), R is H or CH 3. )

モールド101を構成する支持基材100と凹凸構造101aとは、任意に組み合わせることができる。例えば、支持基材100としては、ガラス、石英、シリコン、SUS、アルミ板等の無機材料であっても、スポンジやゴム(シリコーンゴム等)に代表される柔弾性体で構成されても、PETフィルム、TACフィルム、COPフィルム、PEフィルム、PPフィルムといった樹脂フィルムで構成されてもよい。また、これらはフレキシブルであっても、非フレキシブルであってもよい。一方で、凹凸構造101aを構成する材料としては、公知一般の熱可塑性樹脂、熱硬化性樹脂、光硬化樹脂や無機物を採用できる。その他にも、以下に説明する第1のマスク層の材料及び第2のマスク層の材料を使用することも、それらをブレンドすることもできる。凹凸構造101aを構成する無機物としては、金属や金属酸化物等を使用できる。例えば、シリコン、石英、ニッケル、クロム、サファイア、シリコンカーバイド、ダイヤモンド、ダイヤモンドライクカーボン又はフッ素含有ダイヤモンドライクカーボン、金属アルコキシド、金属アルコラート、金属キレート化合物、ハロゲン化シラン、スピンオングラスの無機材等を使用できる。特に、凹凸構造101aと支持基材100と、の密着力を良好に高める観点から、支持基材100の表面自由エネルギと凹凸構造101aを構成する材料の原料の表面張力と、の差の絶対値は、30erg/cm2以下であることが好ましく、15erg/cm2以下であることが最も好ましい。   The support base material 100 and the concavo-convex structure 101a constituting the mold 101 can be arbitrarily combined. For example, the support substrate 100 may be an inorganic material such as glass, quartz, silicon, SUS, or an aluminum plate, or may be composed of a flexible elastic body typified by sponge or rubber (silicone rubber, etc.) You may be comprised with resin films, such as a film, a TAC film, a COP film, PE film, and PP film. These may be flexible or non-flexible. On the other hand, as a material constituting the concavo-convex structure 101a, a known general thermoplastic resin, thermosetting resin, photo-curing resin, or inorganic material can be adopted. In addition, the material of the first mask layer and the material of the second mask layer described below can be used, or they can be blended. As an inorganic substance constituting the concavo-convex structure 101a, a metal, a metal oxide, or the like can be used. For example, silicon, quartz, nickel, chromium, sapphire, silicon carbide, diamond, diamond-like carbon or fluorine-containing diamond-like carbon, metal alkoxide, metal alcoholate, metal chelate compound, halogenated silane, and spin-on-glass inorganic materials can be used. . In particular, from the viewpoint of favorably improving the adhesion between the concavo-convex structure 101a and the support base material 100, the absolute value of the difference between the surface free energy of the support base material 100 and the surface tension of the material constituting the concavo-convex structure 101a. Is preferably 30 erg / cm 2 or less, and most preferably 15 erg / cm 2 or less.

特に、凹凸構造101aは弾性体であると、マスク層の転写精度がより一層向上するため好ましい。これは、第2の積層体2を使用する際の環境雰囲気の巻き込みを抑制できることと、モールド101を除去する際の、マスク層に加わる剥離応力の絶対値を減少できることによる。このような観点から、モールド101の凹凸構造101aは、ABS樹脂、ポリエチレン、ポリプロピレン、ポリスチレン、塩化ビニル樹脂、メタクリル樹脂、アクリル樹脂、フッ素含有アクリル樹脂、ポリアミド、ポリカーボネート、ポリアセタール、ポリエステル、ポリフェニレンエーテル、ポリウレタン、フッ素含有ポリウレタン、ポリテトラフルオロエチレン(PTFE)、テトラフルオロエチレン・パーフルオロアルキルビニルエーテル共重合体(PFA)、テトラフルオロエチレン・ヘキサフルオロプロピレン共重合体(FEP)、テトラフルオロエチレン・エチレン共重合体(ETFE)、ポリビニリデンフルオライド(PVDF)、ポリクロロトリフルオロエチレン(PCTFE)、クロロトリフルオエチレン・エチレン共重合体(ECTFE)、シリコーン樹脂、又はポリジメチルシロキサンの樹脂より構成されると好ましい。特に、光硬化性樹脂の硬化物より構成される場合、原料となる光硬化性樹脂の平均官能基数は6以下であると転写精度が一層向上するため好ましく、4以下であるとより好ましく、3以下であると最も好ましい。凹凸構造101aの弾性率を減少させ、被処理体20に転写付与されるマスク層の選択幅を拡大する観点から、2.5以下であると好ましく、1.5以下であるとより好ましい。   In particular, it is preferable that the concavo-convex structure 101a is an elastic body because the transfer accuracy of the mask layer is further improved. This is because the envelopment of the environmental atmosphere when using the second laminate 2 can be suppressed, and the absolute value of the peeling stress applied to the mask layer when the mold 101 is removed can be reduced. From such a viewpoint, the concavo-convex structure 101a of the mold 101 is composed of ABS resin, polyethylene, polypropylene, polystyrene, vinyl chloride resin, methacrylic resin, acrylic resin, fluorine-containing acrylic resin, polyamide, polycarbonate, polyacetal, polyester, polyphenylene ether, polyurethane. , Fluorine-containing polyurethane, polytetrafluoroethylene (PTFE), tetrafluoroethylene / perfluoroalkyl vinyl ether copolymer (PFA), tetrafluoroethylene / hexafluoropropylene copolymer (FEP), tetrafluoroethylene / ethylene copolymer (ETFE), polyvinylidene fluoride (PVDF), polychlorotrifluoroethylene (PCTFE), chlorotrifluoroethylene / ethylene Coalescence (ECTFE), a silicone resin, or is composed of a resin polydimethylsiloxane preferred. In particular, when composed of a cured product of a photocurable resin, the average number of functional groups of the photocurable resin as a raw material is preferably 6 or less, and transfer accuracy is further improved. Most preferred is From the viewpoint of reducing the elastic modulus of the concavo-convex structure 101a and increasing the selection range of the mask layer transferred and applied to the object to be processed 20, it is preferably 2.5 or less, and more preferably 1.5 or less.

モールド101の凹凸構造101aが弾性体である場合、ガラス転移温度Tgが100度以下である弾性体であってもよく、公知市販のゴム板や樹脂板、フィルム等を使用することができるが、特に、60℃以下であることで、弾性変形の程度が大きくなることから、転写性が向上するため好ましい。最も好ましくは、同様の観点から30℃以下である。更に、該ガラス転移温度が30℃以下であることで、第2の積層体2の被処理体200に対する貼り合わせの容易性が大きくなる。同様の観点から、該ガラス転移温度Tgは、0℃以下であることが好ましく、−20℃以下であることが最も好ましい。このようなTgの低い弾性体としては、例えば、シリコーンゴム、ニトリルゴム、フッ素ゴム、ポリイソプレン(天然ゴム)、ポリブタジエン、ポリ酢酸ビニル、ポリエチレン、ポリプロピレン、ナイロン6、ナイロン66、ポリエチレンテレフタレート、ポリ塩化ビニル、ポリ塩化ビニリデン、ポリテトラフルオロエチレン、ポリフッ化ビニリデン、ポリメタクリル酸メチル、又ポリスチレンが挙げられる。   When the concavo-convex structure 101a of the mold 101 is an elastic body, an elastic body having a glass transition temperature Tg of 100 degrees or less may be used, and a known commercially available rubber plate, resin plate, film, or the like can be used. In particular, a temperature of 60 ° C. or less is preferable because the degree of elastic deformation is increased, and transferability is improved. Most preferably, it is 30 degrees C or less from the same viewpoint. Furthermore, when the glass transition temperature is 30 ° C. or lower, the ease of bonding the second laminated body 2 to the target object 200 increases. From the same viewpoint, the glass transition temperature Tg is preferably 0 ° C. or lower, and most preferably −20 ° C. or lower. Examples of such an elastic body having a low Tg include silicone rubber, nitrile rubber, fluorine rubber, polyisoprene (natural rubber), polybutadiene, polyvinyl acetate, polyethylene, polypropylene, nylon 6, nylon 66, polyethylene terephthalate, and polychlorinated chloride. Examples thereof include vinyl, polyvinylidene chloride, polytetrafluoroethylene, polyvinylidene fluoride, polymethyl methacrylate, and polystyrene.

モールド101の曲げ弾性率は、5Mpa以上10Gpa以下であると、マスク層の配置精度及び膜厚精度を向上できる。更に、モールド101を剥離除去する際の中間体201の凸部への応力の勾配を緩やかにすることができるため、中間体201のマスク層の破損を抑制できる。   When the bending elastic modulus of the mold 101 is 5 Mpa or more and 10 Gpa or less, the placement accuracy and film thickness accuracy of the mask layer can be improved. Furthermore, since the gradient of the stress to the convex part of the intermediate body 201 when the mold 101 is peeled and removed, the mask layer of the intermediate body 201 can be prevented from being damaged.

ここで、モールド101の曲げ弾性率は、支持基材のある場合とない場合とに分類できる。   Here, the bending elastic modulus of the mold 101 can be classified into the case with and without the support base material.

支持基材100のない場合、即ち、モールド101が凹凸構造101aのみより構成される場合、上記効果をより発揮する観点から、モールド101の曲げ弾性率は、100Mpa以上5Gpa以下であることがより好ましく、400Mpa以上3.0Gpa以下であることが最も好ましい。特に、凹部内マスク層102aの精度と第1のマスク層103の膜厚精度をより向上させる観点からは、400Mpa以上2Gpa以下を満たすことが好ましく、450Mpa以上1.5Gpa以下を満たすことがより好ましい。更に、中間体201の破損を抑制する観点から、2Gpa超3Gpa以下を満たすことがより好ましい。   In the case where there is no supporting substrate 100, that is, when the mold 101 is composed only of the concavo-convex structure 101a, the bending elastic modulus of the mold 101 is more preferably 100 Mpa or more and 5 Gpa or less from the viewpoint of further exerting the above effects. It is most preferable that it is 400 Mpa or more and 3.0 Gpa or less. In particular, from the viewpoint of further improving the accuracy of the in-recess mask layer 102a and the film thickness accuracy of the first mask layer 103, 400 Mpa or more and 2 Gpa or less are preferable, and 450 Mpa or more and 1.5 Gpa or less are more preferable. . Furthermore, from the viewpoint of suppressing breakage of the intermediate 201, it is more preferable to satisfy more than 2 Gpa and 3 Gpa or less.

一方で、支持基材100のある場合、即ち、モールド101が凹凸構造101a及び支持基材より構成される場合、モールド101の曲げ弾性率は、750Mpa以上10Gpa以下を満たすことが好ましく、1.3Gpa以上10Gpa以下を満たすことがより好ましく、2.3Gpa以上10Gpa以下を満たすことが最も好ましい。中でも、5Gpa以上10Gpa以下であることで、モールド101の操作性が向上すると共に、凹部内マスク層102aの精度と第1のマスク層103の膜厚精度が向上する。同様の効果から、7.5Gpa以上10Gpa以下であることがより好ましい。   On the other hand, when the support substrate 100 is present, that is, when the mold 101 is constituted by the concavo-convex structure 101a and the support substrate, the flexural modulus of the mold 101 preferably satisfies 750 Mpa to 10 Gpa, and 1.3 Gpa. It is more preferable to satisfy 10 Gpa or less, and it is most preferable to satisfy 2.3 Gpa or more and 10 Gpa or less. In particular, by being 5 Gpa or more and 10 Gpa or less, the operability of the mold 101 is improved, and the accuracy of the in-recess mask layer 102 a and the film thickness accuracy of the first mask layer 103 are improved. From the same effect, it is more preferably 7.5 Gpa or more and 10 Gpa or less.

また、上記曲げ弾性率は、JIS K 7171、ISO 178に準拠し測定される値とする。   The flexural modulus is a value measured in accordance with JIS K 7171 and ISO 178.

また、モールド101の凹凸構造101aは、上記支持基材100のない場合にて説明した曲げ弾性率値を満たす材料により構成されると好ましく、モールド101の支持基材100は、上記支持基材100のある場合にて説明した曲げ弾性率値を満たす材料であると好ましい。   The uneven structure 101a of the mold 101 is preferably made of a material that satisfies the bending elastic modulus value described in the case where the support base 100 is not provided. The support base 100 of the mold 101 is the support base 100 described above. It is preferable that the material satisfies the flexural modulus value described in the case where there is.

モールド101の支持基材100は、透明であっても着色されていてもよい。透明であることにより、モールド101を製造する際にロール・ツー・ロール法(光ナノインプリント法)を適用することが容易となるため、モールド101の精度及び製造パフォーマンスが向上する。更に、第2の積層体2を被処理体200に貼り合わせた後にエネルギ線を照射する場合に、支持基材100越しにエネルギ線を照射することが可能となる。また、支持基材100が透明であることにより、第2の積層体2を被処理体20に貼り合わせた後に、遮光マスクを支持基材100の上方に配置すると共に、エネルギー線を照射することで、中間体21の凹凸構造をパターニングして形成することもできる。一方、着色されている場合、第2の積層体2の保存性を向上できる。特に、第2の積層体2に光重合性物質が含まれる場合、光重合性物質の光重合適用波長を着色により抑制することが可能となる。同様に、凹凸構造101aも透明であっても、着色されていてもよい。   The support substrate 100 of the mold 101 may be transparent or colored. Since it is transparent, it becomes easy to apply a roll-to-roll method (optical nanoimprint method) when manufacturing the mold 101, so that the accuracy and manufacturing performance of the mold 101 are improved. Further, when the energy beam is irradiated after the second laminate 2 is bonded to the workpiece 200, the energy beam can be irradiated through the support base material 100. Moreover, since the support base material 100 is transparent, after the 2nd laminated body 2 is bonded together to the to-be-processed object 20, while arrange | positioning a light shielding mask above the support base material 100 and irradiating an energy beam. Thus, the uneven structure of the intermediate body 21 can be formed by patterning. On the other hand, when it is colored, the storage stability of the second laminate 2 can be improved. In particular, when the second laminate 2 includes a photopolymerizable substance, the photopolymerization application wavelength of the photopolymerizable substance can be suppressed by coloring. Similarly, the concavo-convex structure 101a may be transparent or colored.

また、透明である場合、紫外光(UV)に対する透過率が50%以下であると第2の積層体2の保存性が向上するため好ましい。特に、第2の積層体2の第1のマスク層103の光劣化を抑制する観点から、紫外光の透過率は35%以下であることが好ましく、15%以下であることがより好ましく、5%以下であることが最も好ましい。なお、紫外光吸収材や金属膜を具備した支持基材100や凹凸構造101aの場合、紫外光の透過率をほぼ0%にすることができる。この場合、第2の積層体2の性能保存性をより向上できる。   Moreover, when it is transparent, it is preferable that the transmittance with respect to ultraviolet light (UV) is 50% or less because the storage stability of the second laminate 2 is improved. In particular, from the viewpoint of suppressing photodegradation of the first mask layer 103 of the second laminate 2, the ultraviolet light transmittance is preferably 35% or less, more preferably 15% or less. % Is most preferred. In the case of the support base material 100 and the concavo-convex structure 101a provided with an ultraviolet light absorbing material or a metal film, the transmittance of ultraviolet light can be almost 0%. In this case, the performance preservation | save property of the 2nd laminated body 2 can be improved more.

一方、着色されている場合、第2の積層体2に含まれる成分の感光波長(λ)の光に対する透過率が60%以下であると第2の積層体2の保存性が向上するため好ましい。特に、第2の積層体2の第1のマスク層103の光劣化を抑制する観点から、該感光波長(λ)の光の透過率は35%以下であることが好ましく、15%以下であることがより好ましく、5%以下であることが最も好ましい。なお、金属や金属酸化物の膜を具備した支持基材100や凹凸構造101aの場合、該感光波長(λ)の光の透過率をほぼ0%にすることができる。この場合、第2の積層体2の性能保存性をより向上できる。   On the other hand, when it is colored, it is preferable that the transmittance of the component contained in the second laminate 2 with respect to light of the photosensitive wavelength (λ) is 60% or less because the storage stability of the second laminate 2 is improved. . In particular, from the viewpoint of suppressing photodegradation of the first mask layer 103 of the second laminate 2, the light transmittance of the photosensitive wavelength (λ) is preferably 35% or less, and is 15% or less. More preferably, it is most preferably 5% or less. In the case of the support substrate 100 and the concavo-convex structure 101a provided with a metal or metal oxide film, the light transmittance of the photosensitive wavelength (λ) can be made almost 0%. In this case, the performance preservation | save property of the 2nd laminated body 2 can be improved more.

また、保護層106を設けない場合であって、第2の積層体2をロール・ツー・ロール法により連続的に製造する場合、支持基材100のモールド101とは反対側の表面(裏面)の表面粗さRabは、既に説明した第2の積層体2の第1のマスク層103の表面粗さであるRaの1.5倍以下であることが好ましい。この範囲を満たすことで、第2の積層体2の巻き取りに対するハンドリングや制御性が向上し、連続的にロール・ツー・ロール法にて第2の積層体2を製造できる。更に、第1のマスク層103の表面状態を良好に保つことができる。なお、表面粗さRabのより好ましい範囲は、第2の積層体2の第1のマスク層103の表面粗さRaのより好ましい範囲の1.5倍以下である。なお、表面粗さRabは、既に説明した第1のマスク層103の表面粗さRaと同様の手法にて測定される値である。また、比率(Rab/lor)が、既に説明した比率(Ra/lor)の1.5倍以下であっても、上記同様の効果を奏すため好ましい。より具体的には、第2の積層体2を安定的に製造すると共に、第2の積層体2を搬送する際に不意に加わる力による第1のマスク層103の表面精度の悪化を抑制する観点から、表面粗さRabは、1000nm以下であることが好ましく、500nm以下であることがより好ましく、100nm以下であることが最も好ましい。特に、第2の積層体2を製造する際の、製造速度を向上させる観点から、60nm以下であることが好ましく、45nm以下であることがより好ましく、15nm以下であることが最も好ましい。   Further, in the case where the protective layer 106 is not provided and the second laminate 2 is continuously manufactured by the roll-to-roll method, the surface (back surface) of the support substrate 100 opposite to the mold 101 is provided. The surface roughness Rab is preferably 1.5 times or less of Ra which is the surface roughness of the first mask layer 103 of the second laminate 2 described above. By satisfying this range, handling and controllability for winding of the second laminate 2 are improved, and the second laminate 2 can be continuously produced by a roll-to-roll method. Furthermore, the surface state of the first mask layer 103 can be kept good. A more preferable range of the surface roughness Rab is 1.5 times or less of a more preferable range of the surface roughness Ra of the first mask layer 103 of the second stacked body 2. The surface roughness Rab is a value measured by the same method as the surface roughness Ra of the first mask layer 103 already described. In addition, it is preferable that the ratio (Rab / lor) is 1.5 times or less of the ratio (Ra / lor) already described because the same effect as described above can be obtained. More specifically, the second laminated body 2 is stably manufactured, and deterioration of the surface accuracy of the first mask layer 103 due to a force applied unexpectedly when the second laminated body 2 is conveyed is suppressed. From the viewpoint, the surface roughness Rab is preferably 1000 nm or less, more preferably 500 nm or less, and most preferably 100 nm or less. In particular, from the viewpoint of improving the production rate when the second laminate 2 is produced, it is preferably 60 nm or less, more preferably 45 nm or less, and most preferably 15 nm or less.

モールド101としてフレキシブルなものを採用する場合、支持基材100もフレキシブルな材質となる。この場合、支持基材100は、ASTM規格のD638に定められる引張強さが、15MPa〜90MPaの範囲にあることが好ましい。これにより、第2の積層体2を使用する際の、被処理体200に対する貼り合わせ精度が向上する。特に、ラミネートロールを使用した貼り合わせに関し、速度と精度を共に向上させる観点から、該引張強さは、20Mpa〜80Mpaであることがより好ましく、30Mpa〜80Mpaであることが最も好ましい。   When a flexible material is used as the mold 101, the support substrate 100 is also a flexible material. In this case, it is preferable that the supporting base material 100 has a tensile strength defined by ASTM standard D638 in the range of 15 MPa to 90 MPa. Thereby, the bonding precision with respect to the to-be-processed object 200 at the time of using the 2nd laminated body 2 improves. In particular, regarding the bonding using a laminate roll, from the viewpoint of improving both speed and accuracy, the tensile strength is more preferably 20 Mpa to 80 Mpa, and most preferably 30 Mpa to 80 Mpa.

フレキシブルな支持基材100は、ASTM規格のD638に定められる破断時伸びが10%〜1500%の範囲にあることが好ましい。これにより、第2の積層体2を使用する際の、被処理体200に対する貼り合わせ精度が向上する。特に、ラミネートロールを使用した貼り合わせに関し、速度と精度を共に向上させる観点から、該破断時伸びは、150%〜500%であることがより好ましく、25%〜400%であることが最も好ましい。   The flexible support substrate 100 preferably has an elongation at break defined by ASTM standard D638 in the range of 10% to 1500%. Thereby, the bonding precision with respect to the to-be-processed object 200 at the time of using the 2nd laminated body 2 improves. In particular, regarding the bonding using a laminate roll, from the viewpoint of improving both speed and accuracy, the elongation at break is more preferably 150% to 500%, and most preferably 25% to 400%. .

フレキシブルな支持基材100は、ASTM規格のD638に定められる引張弾性率が500MPa〜5000MPaの範囲にあることが好ましい。これにより、第2の積層体2の第1のマスク層103を被処理体200に対して貼り合わせる際の、皺を抑制することが出来る。同様の観点から、該引張弾性率は、1500MPa〜4900MPaであることがより好ましく、2300MPa〜4800MPaであることが最も好ましい。   The flexible support substrate 100 preferably has a tensile elastic modulus defined by ASTM standard D638 in the range of 500 MPa to 5000 MPa. Thereby, wrinkles when the first mask layer 103 of the second stacked body 2 is bonded to the object 200 can be suppressed. From the same viewpoint, the tensile elastic modulus is more preferably 1500 MPa to 4900 MPa, and most preferably 2300 MPa to 4800 MPa.

フレキシブルな支持基材100は、ASTM規格のD638に定められる圧縮強さが10MPa〜150MPaの範囲にあることが好ましい。これにより、第2の積層体2を巻き取る際の空気の同伴を抑制できる。巻き取りをよりスムーズにする観点から、該圧縮強さは、50MPa〜115MPaであることがより好ましい。   The flexible support base material 100 preferably has a compressive strength defined by ASTM standard D638 in the range of 10 MPa to 150 MPa. Thereby, the entrainment of the air at the time of winding up the 2nd laminated body 2 can be suppressed. From the viewpoint of making winding more smooth, the compressive strength is more preferably 50 MPa to 115 MPa.

フレキシブルな支持基材100は、ASTM規格のD638に定められる曲げ強さが50MPa以上200MPa以下であることが好ましい。これにより、第2の積層体2を製造する際のパスラインの選択肢が大きくなる。特に、第2の積層体2を製造する装置をコンパクトに仕上げる観点から、該曲げ強さは、60MPa以上160MPa以下であることがより好ましい。更に、中間体21を得る際のモールド101の剥離性を良好にする観点から、65MPa以上125MPa以下であることが最も好ましい。   The flexible support base material 100 preferably has a flexural strength of 50 MPa or more and 200 MPa or less as defined in ASTM standard D638. Thereby, the choice of the pass line at the time of manufacturing the 2nd laminated body 2 becomes large. In particular, the bending strength is more preferably 60 MPa or more and 160 MPa or less from the viewpoint of compactly finishing the apparatus for producing the second laminate 2. Furthermore, it is most preferable that it is 65 MPa or more and 125 MPa or less from a viewpoint of making the peelability of the mold 101 favorable when obtaining the intermediate body 21.

フレキシブルな支持基材100は、ASTM規格のD696に定められる線膨張率が3×10−5/℃〜15×10−5/℃の範囲にあることが好ましい。これにより、第2の積層体2を製造する際、使用する際、或いは搬送する際の温度変化に対する耐久性が向上する。本効果をより一層発揮する観点から、該線膨張率は、4×10−5/℃〜9×10−5/℃であることがより好ましく、5×10−5/℃〜7×10−5/℃であることが最も好ましい。 The flexible support base material 100 preferably has a linear expansion coefficient defined by ASTM standard D696 in the range of 3 × 10 −5 / ° C. to 15 × 10 −5 / ° C. Thereby, when manufacturing the 2nd laminated body 2, the durability with respect to the temperature change at the time of using or conveying is improved. From the viewpoint of further exerting this effect, the linear expansion coefficient is more preferably 4 × 10 −5 / ° C. to 9 × 10 −5 / ° C., and 5 × 10 −5 / ° C. to 7 × 10 −. Most preferably, it is 5 / ° C.

フレキシブルな支持基材100は、ASTM規格のD570に定められる吸水率(24時間)0.3重量%以下であることが好ましい。これにより、第2の積層体2を製造する際の季節の影響を抑制できる。季節の影響は、主に第2のマスク層102の成膜性、より具体的には、第2のマスク層102の配置精度を左右する問題である。また、第2の積層体2を輸送する際の湿度変化に対する耐性を向上させる観点から、該吸水率は0.25重量%以下であることがより好ましい。更に、第1のマスク層103と保護層106と、の強固な密着を抑制する観点から、該吸水率は、0.2重量%以下であることがより好ましく、0.1重量%以下であることが最も好ましい。   The flexible support substrate 100 preferably has a water absorption rate (24 hours) of 0.3% by weight or less as defined in ASTM standard D570. Thereby, the influence of the season at the time of manufacturing the 2nd laminated body 2 can be suppressed. The influence of the season is a problem that mainly affects the film formability of the second mask layer 102, more specifically, the arrangement accuracy of the second mask layer 102. Further, from the viewpoint of improving the resistance against humidity change when transporting the second laminate 2, the water absorption is more preferably 0.25% by weight or less. Furthermore, from the viewpoint of suppressing the strong adhesion between the first mask layer 103 and the protective layer 106, the water absorption is more preferably 0.2% by weight or less, and 0.1% by weight or less. Most preferred.

フレキシブルな支持基材100としては、具体的に、例えば、フェノール・フォルムアルデヒド樹脂(PF)、ユリアフォルムアルデヒド樹脂(UF)、メラミン・フォルムアルデヒド樹脂(MF)、エポキシ樹脂(EP)、不飽和ポリエステル樹脂(UP、シリコーン樹脂(SI)、ポリウレタン樹脂(PUR)、ポリビニルクロライド樹脂(PVC)、ポリエチレン樹脂(PE)、エチレン・酢酸ビニル共重合樹脂(EVA)、ポリプロピレン樹脂(PP)、ポリスチレン樹脂(PS)、アクリロ二トリル・ブタジエン・スチレン樹脂(ABS)、アクリロ二トリル・スチレン樹脂(AS)、ポリメチルメタクリレート樹脂(PMMA)、ポリオキシメチレン樹脂(POM)、ポリカーボネート樹脂(PC)、ポリエチレンテレフタレート樹脂(PET)、変性ポリフェニレンエーテル樹脂(m−PPE)、ポリブチレンテレフタレート樹脂(PBT)、超高分子量ポリエチレン樹脂(U−PE)、ポリエーテルエーテルケトン樹脂(PEEK)、ポリフェニレンサルファイド樹脂(PPS)、ポリサルフォン樹脂(PSF)、ポリエーテルサルフォン(PES)、ポリアリレート樹脂(PAR)、ポリアミドイミド樹脂(PAI)、ポリエーテルイミド樹脂(PEI)、ポリテトラフルオロエチレン樹脂(PTFE)、ポリクロロトリフルオロエチレン(PCTFE)、ポリフッ化ビニリデン(PVDF)、エチレン−ビニルアルコール共重合樹脂(EVOH)、ポリイミド樹脂(PI)、ポリアミド樹脂(PA)、セルローストリアセテート樹脂(TAC)、ポリエチレンナフタレート樹脂(PEN)等を使用することができる。またこれらの樹脂の積層フィルムや、表面を親水処理或は疎水処理を施したものも使用可能である。また、これらの樹脂の表面に、アルミニウム、酸化アルミニウム、クロム、酸化クロム、タングステン、酸化タングステン、銅、酸化銅、銀、酸化銀、酸化インジウムスズ等を成膜したものを使用することも出来る。   Specific examples of the flexible support substrate 100 include phenol formaldehyde resin (PF), urea formaldehyde resin (UF), melamine formaldehyde resin (MF), epoxy resin (EP), and unsaturated polyester. Resin (UP, silicone resin (SI), polyurethane resin (PUR), polyvinyl chloride resin (PVC), polyethylene resin (PE), ethylene / vinyl acetate copolymer resin (EVA), polypropylene resin (PP), polystyrene resin (PS ), Acrylonitrile / butadiene / styrene resin (ABS), acrylonitrile / styrene resin (AS), polymethyl methacrylate resin (PMMA), polyoxymethylene resin (POM), polycarbonate resin (PC), polyethylene terephthalate Resin (PET), modified polyphenylene ether resin (m-PPE), polybutylene terephthalate resin (PBT), ultrahigh molecular weight polyethylene resin (U-PE), polyether ether ketone resin (PEEK), polyphenylene sulfide resin (PPS), Polysulfone resin (PSF), Polyethersulfone (PES), Polyarylate resin (PAR), Polyamideimide resin (PAI), Polyetherimide resin (PEI), Polytetrafluoroethylene resin (PTFE), Polychlorotrifluoroethylene (PCTFE), polyvinylidene fluoride (PVDF), ethylene-vinyl alcohol copolymer resin (EVOH), polyimide resin (PI), polyamide resin (PA), cellulose triacetate resin (TAC), polyethylene It is possible to use phthalate resin (PEN), etc. Also, it is possible to use laminated films of these resins and those whose surfaces have been subjected to hydrophilic treatment or hydrophobic treatment. Aluminum oxide, chromium, chromium oxide, tungsten, tungsten oxide, copper, copper oxide, silver, silver oxide, indium tin oxide, or the like can also be used.

[保護層]
図16に示すように、第1のマスク層103の凹凸構造101aとは反対側の面側に保護層106を設けることができる。保護層106としては、保護層106を除去する際に第1のマスク層103がモールド101より引きはがされたり、或いは破損したり、また保護層106の成分が第1のマスク層103を汚染しないものであれば特に限定されず、公知一般の、例えば、感光性樹脂フィルムやドライフィルムレジストに使用されている保護層(保護フィルム)を使用できる。
[Protective layer]
As shown in FIG. 16, a protective layer 106 can be provided on the surface of the first mask layer 103 opposite to the concavo-convex structure 101a. As the protective layer 106, when removing the protective layer 106, the first mask layer 103 is peeled off or damaged from the mold 101, and components of the protective layer 106 contaminate the first mask layer 103. If it does not, it will not specifically limit, The well-known general, for example, the protective layer (protective film) currently used for the photosensitive resin film and the dry film resist can be used.

保護層106の第1のマスク層103に貼り合わせる面の表面自由エネルギと、第1のマスク層103の保護層106と接する面の表面自由エネルギと、の差の絶対値は、2erg/cm以上50erg/cm以下であることが好ましい。この範囲を満たすことで、保護層106と第1のマスク層103と、の密着性が良好となり、連続的に第2の積層体2を製造し巻き取ることができると共に、第2の積層体2を使用に際し保護層106を剥離した際の、第1のマスク層103の破損を抑制できる。本効果をより発揮する観点から、該表面自由エネルギの差の絶対値は、5erg/cm以上30erg/cmであることが最も好ましい。 The absolute value of the difference between the surface free energy of the surface bonded to the first mask layer 103 of the protective layer 106 and the surface free energy of the surface of the first mask layer 103 in contact with the protective layer 106 is 2 erg / cm 2. It is preferably 50 erg / cm 2 or less. By satisfying this range, the adhesion between the protective layer 106 and the first mask layer 103 is improved, the second laminate 2 can be continuously produced and wound, and the second laminate When the protective layer 106 is peeled off when using 2, damage to the first mask layer 103 can be suppressed. From the viewpoint of further exerting this effect, the absolute value of the difference in surface free energy is most preferably 5 erg / cm 2 or more and 30 erg / cm 2 .

保護層106の表面粗さRaPは、既に説明した第2の積層体2の第1のマスク層103の表面粗さであるRaの1.5倍以下であることが好ましい。この範囲を満たすことで、第2の積層体2に対して、例えば皺を効果的に抑制し、良好に保護層106を貼り合わせることができる。このため、連続的にロール・ツー・ロール法にて第2の積層体2を製造できる。更に、第1のマスク層103の表面状態を良好に保つことができる。なお、表面粗さRaPのより好ましい範囲は、第2の積層体2の第1のマスク層103の表面粗さRaのより好ましい範囲の1.5倍以下である。なお、表面粗さRaPは、既に説明した第1のマスク層103の表面粗さRaと同様の手法にて測定される値である。また、比率(RaP/lor)が、既に説明した比率(Ra/lor)の1.5倍以下であっても、上記同様の効果を奏すため好ましい。より具体的には、第2の積層体2を安定的に製造すると共に、第2の積層体2を搬送する際に不意に加わる力による第1のマスク層103の表面精度の悪化を抑制する観点から、保護層106の表面粗さRaPは1000nm以下であることが好ましく、500nm以下であることがより好ましく、100nm以下であることが最も好ましい。特に、第2の積層体2を製造する際の、製造速度を向上させる観点から、60nm以下であることが好ましく、45nm以下であることがより好ましく、15nm以下であることが最も好ましい。   The surface roughness RaP of the protective layer 106 is preferably 1.5 times or less of Ra which is the surface roughness of the first mask layer 103 of the second laminate 2 described above. By satisfy | filling this range, a wrinkle can be effectively suppressed with respect to the 2nd laminated body 2, and the protective layer 106 can be bonded together favorably. For this reason, the 2nd laminated body 2 can be manufactured continuously by a roll-to-roll method. Furthermore, the surface state of the first mask layer 103 can be kept good. A more preferable range of the surface roughness RaP is 1.5 times or less of a more preferable range of the surface roughness Ra of the first mask layer 103 of the second stacked body 2. The surface roughness RaP is a value measured by the same method as the surface roughness Ra of the first mask layer 103 already described. In addition, it is preferable that the ratio (RaP / lor) is 1.5 times or less of the already described ratio (Ra / lor) because the same effect as described above can be obtained. More specifically, the second laminated body 2 is stably manufactured, and deterioration of the surface accuracy of the first mask layer 103 due to a force applied unexpectedly when the second laminated body 2 is conveyed is suppressed. From the viewpoint, the surface roughness RaP of the protective layer 106 is preferably 1000 nm or less, more preferably 500 nm or less, and most preferably 100 nm or less. In particular, from the viewpoint of improving the production rate when the second laminate 2 is produced, it is preferably 60 nm or less, more preferably 45 nm or less, and most preferably 15 nm or less.

また、保護層106は、着色されていてもよい。これにより、第2の積層体2の保存性を向上できる。特に、第2の積層体2に光重合性物質が含まれる場合、光重合性物質の光重合適用波長を着色により抑制することが可能となる。   Further, the protective layer 106 may be colored. Thereby, the preservability of the 2nd laminated body 2 can be improved. In particular, when the second laminate 2 includes a photopolymerizable substance, the photopolymerization application wavelength of the photopolymerizable substance can be suppressed by coloring.

また、保護層106が透明である場合、紫外光(UV)に対する透過率が50%以下の保護層106であると第2の積層体2の保存性が向上するため好ましい。特に、第2の積層体2の第1のマスク層103の光劣化を抑制する観点から、保護層106に対する紫外光の透過率は35%以下であることが好ましく、15%以下であることがより好ましく、5%以下であることが最も好ましい。なお、紫外光吸収材や金属膜を具備した保護層106の場合、紫外光の透過率をほぼ0%にすることができる。この場合、第2の積層体2の性能保存性をより向上できる。   In addition, when the protective layer 106 is transparent, it is preferable that the protective layer 106 has a transmittance with respect to ultraviolet light (UV) of 50% or less because the storage stability of the second laminate 2 is improved. In particular, from the viewpoint of suppressing photodegradation of the first mask layer 103 of the second stacked body 2, the transmittance of ultraviolet light to the protective layer 106 is preferably 35% or less, and preferably 15% or less. More preferably, it is most preferably 5% or less. In the case of the protective layer 106 provided with an ultraviolet light absorbing material or a metal film, the transmittance of ultraviolet light can be made substantially 0%. In this case, the performance preservation | save property of the 2nd laminated body 2 can be improved more.

一方、保護層106が着色されている場合、第2の積層体2に含まれる成分の感光波長(λ)の光に対する透過率が60%以下の保護層106であると第2の積層体2の保存性が向上するため好ましい。特に、第2の積層体2の第1のマスク層103の光劣化を抑制する観点から、保護層106に対する該感光波長(λ)の光の透過率は35%以下であることが好ましく、15%以下であることがより好ましく、5%以下であることが最も好ましい。なお、金属膜を具備した保護層106の場合、該感光波長(λ)の光の透過率をほぼ0%にすることができる。この場合、第2の積層体2の性能保存性をより向上できる。   On the other hand, when the protective layer 106 is colored, if the protective layer 106 has a transmittance of 60% or less with respect to light of the photosensitive wavelength (λ) of the components contained in the second laminated body 2, the second laminated body 2. This is preferable because the preservability is improved. In particular, from the viewpoint of suppressing photodegradation of the first mask layer 103 of the second laminate 2, the light transmittance of the photosensitive wavelength (λ) with respect to the protective layer 106 is preferably 35% or less. % Or less is more preferable, and 5% or less is most preferable. In the case of the protective layer 106 provided with a metal film, the light transmittance of the photosensitive wavelength (λ) can be made substantially 0%. In this case, the performance preservation | save property of the 2nd laminated body 2 can be improved more.

なお、上記説明した、紫外光又は感光波長(λ)に対する透過率範囲を満たす保護層106或いは支持基材100の少なくともいずれか一方を採用することで、第2の積層体2の性能劣化を抑制することができる。特に、支持基材100及び保護層106のいずれも上記説明した透過率範囲を満たすことにより、第2の積層体2の性能劣化抑制効果が大きくなるため好ましい。   In addition, by adopting at least one of the protective layer 106 or the support substrate 100 that satisfies the transmittance range described above for ultraviolet light or photosensitive wavelength (λ), the performance degradation of the second laminate 2 is suppressed. can do. In particular, it is preferable that both of the support base material 100 and the protective layer 106 satisfy the transmittance range described above because the effect of suppressing the performance deterioration of the second laminate 2 is increased.

保護層106としての保護フィルムのJIS B 0601に準拠し測定される表面粗さは、小さい程好ましい。例えば、中心線平均粗さ0.003μm〜0.05μmであることで、第1のマスク層103の保護層106と接する面の表面粗さRaを、良好に保つことができる。特に、0.005μm〜0.03μmであることが好ましい。また、保護層106の第1のマスク層103と接触しない面のJIS B 0601に準拠し測定される中心線平均粗さが0.1μm〜0.8μm、及び最大高さが1μm〜5μmであると、保護層106を除去した後に、保護層106を巻き取り回収する際のハンドリング性が大きく向上する。前記効果をいっそう発揮する観点から、中心線平均粗さ0.15μm〜0.4μm、及び最大高さが1.5μm〜3.0μmであるとより好ましい。上記中心線平均粗さ及び最大高さは、接触型表面粗さ計を用いて測定する。また、保護層106を配置しない場合は、モールド101の凹凸構造101aとは反対側の面の中心線平均粗さ及び最大高さが、上記第1のマスク層103と接触しない保護層106の中心線平均粗さ及び最大高さの範囲を満たすことが好ましい。   The surface roughness measured according to JIS B 0601 of the protective film as the protective layer 106 is preferably as small as possible. For example, when the center line average roughness is 0.003 μm to 0.05 μm, the surface roughness Ra of the surface in contact with the protective layer 106 of the first mask layer 103 can be kept good. In particular, the thickness is preferably 0.005 μm to 0.03 μm. Further, the center line average roughness measured in accordance with JIS B 0601 on the surface of the protective layer 106 that does not contact the first mask layer 103 is 0.1 μm to 0.8 μm, and the maximum height is 1 μm to 5 μm. In addition, after the protective layer 106 is removed, the handling property when the protective layer 106 is wound and collected is greatly improved. From the viewpoint of further exerting the above effects, it is more preferable that the center line average roughness is 0.15 μm to 0.4 μm and the maximum height is 1.5 μm to 3.0 μm. The centerline average roughness and maximum height are measured using a contact-type surface roughness meter. Further, when the protective layer 106 is not disposed, the center line average roughness and the maximum height of the surface of the mold 101 on the side opposite to the concavo-convex structure 101 a are not in contact with the first mask layer 103. It is preferable to satisfy the ranges of the line average roughness and the maximum height.

保護層に含まれる直径80μm以上のフィッシュアイが、500個/m以上存在していてもよい。これは、フィッシュアイの数が多い保護層(保護フィルム)106を使用した場合であっても、凹凸構造101aとマスク層との界面への影響は殆どない、と考えられるためである。また、マスク層に光硬化性物質が含まれる場合、保護層106を貼りあわせる際に発生する気泡を利用して、マスク層の寿命を伸ばすこともできると考えられるためである。 There may be 500 / m 2 or more fish eyes with a diameter of 80 μm or more included in the protective layer. This is because even when the protective layer (protective film) 106 having a large number of fish eyes is used, it is considered that there is almost no influence on the interface between the uneven structure 101a and the mask layer. Further, when the photocurable material is included in the mask layer, it is considered that the lifetime of the mask layer can be extended by using bubbles generated when the protective layer 106 is bonded.

マスク層に保護層106のフィッシュアイが転写形成されることによる、第2の積層体2を被処理体20に貼合する際に生じるエアボイドの発生をより抑制するという観点から、保護層106(保護フィルム)中に含まれる直径が80μm以上のフィッシュアイは5個/m以下であると好ましい。保護層106の膜厚は、1μm〜100μmであると保護層106の貼合性、ロール・ツー・ロールとしてのウェブハンドリング性、及び環境負荷低減の観点から好ましく、5μm〜50μmであるとより好ましく、15μm〜50μmであると最も好ましい。 From the viewpoint of further suppressing the generation of air voids that occur when the second laminate 2 is bonded to the object 20 due to the transfer of fish eyes of the protective layer 106 to the mask layer, the protective layer 106 ( The number of fish eyes having a diameter of 80 μm or more contained in the protective film) is preferably 5 / m 2 or less. The film thickness of the protective layer 106 is preferably 1 μm to 100 μm from the viewpoints of bonding properties of the protective layer 106, web handling properties as a roll-to-roll, and environmental load reduction, and more preferably 5 μm to 50 μm. 15 μm to 50 μm is most preferable.

保護層106は、ASTM規格のD638に定められる引張強さが、15MPa〜90MPaの範囲にあることが好ましい。これにより、保護層106を第1のマスク層103に対して貼り合わせる際の、保護層106の破断を抑制できる。保護層106のラミネーション性の観点から、該引張強さは、20Mpa〜40Mpaであることがより好ましく、20Mpa〜30Mpaであることが最も好ましい。   The protective layer 106 preferably has a tensile strength defined by ASTM standard D638 in the range of 15 MPa to 90 MPa. Thereby, the fracture | rupture of the protective layer 106 at the time of bonding the protective layer 106 with respect to the 1st mask layer 103 can be suppressed. From the viewpoint of the lamination property of the protective layer 106, the tensile strength is more preferably 20 Mpa to 40 Mpa, and most preferably 20 Mpa to 30 Mpa.

保護層106は、ASTM規格のD638に定められる破断時伸びが10%〜1500%の範囲にあることが好ましい。これにより、保護層106を第1のマスク層103に対して貼り合わせる際の、皺を抑制することが出来る。皺の抑制と、保護層106のラミネーション性の観点から、該破断時伸びは、50%〜900%であることがより好ましく、90%〜800%であることが最も好ましい。   The protective layer 106 preferably has an elongation at break defined by ASTM standard D638 in the range of 10% to 1500%. Thereby, wrinkles when the protective layer 106 is bonded to the first mask layer 103 can be suppressed. From the viewpoint of suppression of wrinkles and the lamination property of the protective layer 106, the elongation at break is more preferably 50% to 900%, and most preferably 90% to 800%.

保護層106は、ASTM規格のD638に定められる引張弾性率が500MPa〜5000MPaの範囲にあることが好ましい。これにより、保護層106を第1のマスク層103に対して貼り合わせる際の、皺を抑制することが出来る。皺の抑制と、保護層106のラミネーション性の観点から、該引張弾性率は、750MPa〜2500MPaであることがより好ましく、900MPa〜1500MPaであることが最も好ましい。   The protective layer 106 preferably has a tensile elastic modulus defined by ASTM standard D638 in the range of 500 MPa to 5000 MPa. Thereby, wrinkles when the protective layer 106 is bonded to the first mask layer 103 can be suppressed. From the viewpoint of suppression of wrinkles and the lamination property of the protective layer 106, the tensile elastic modulus is more preferably 750 MPa to 2500 MPa, and most preferably 900 MPa to 1500 MPa.

保護層106は、ASTM規格のD638に定められる圧縮強さが10MPa〜150MPaの範囲にあることが好ましい。これにより、第2の積層体2を巻き取る際の空気の同伴を抑制できる。巻取りをよりスムーズにする観点から、該圧縮強さは、15MPa〜60MPaであることがより好ましい。   The protective layer 106 preferably has a compressive strength defined by ASTM standard D638 in the range of 10 MPa to 150 MPa. Thereby, the entrainment of the air at the time of winding up the 2nd laminated body 2 can be suppressed. From the viewpoint of making winding easier, the compressive strength is more preferably 15 MPa to 60 MPa.

保護層106は、ASTM規格のD638に定められる曲げ強さが150MPa以下であることが好ましい。これにより、第2の積層体2を製造する際の、保護層106のパスラインの選択肢が大きくなる。特に、第2の積層体2を製造する装置をコンパクトに仕上げる観点から、該曲げ強さは、100MPa以下であることがより好ましく、50MPa以下であることが最も好ましい。   The protective layer 106 preferably has a flexural strength of 150 MPa or less as defined in ASTM standard D638. Thereby, the choice of the pass line of the protective layer 106 when manufacturing the 2nd laminated body 2 becomes large. In particular, from the viewpoint of compacting the apparatus for producing the second laminate 2, the bending strength is more preferably 100 MPa or less, and most preferably 50 MPa or less.

保護層106は、ASTM規格のD696に定められる線膨張率が3×10−5/℃〜15×10−5/℃の範囲にあることが好ましい。これにより、第2の積層体2を製造する際、使用する際、或は搬送する際の温度変化に対する耐久性が向上する。本効果をより一層発揮する観点から、該線膨張率は、4×10−5/℃〜9×10−5/℃であることがより好ましく、5×10−5/℃〜7×10−5/℃であることが最も好ましい。 The protective layer 106 preferably has a linear expansion coefficient defined by ASTM standard D696 in the range of 3 × 10 −5 / ° C. to 15 × 10 −5 / ° C. Thereby, when manufacturing the 2nd laminated body 2, the durability with respect to the temperature change at the time of using or conveying is improved. From the viewpoint of further exerting this effect, the linear expansion coefficient is more preferably 4 × 10 −5 / ° C. to 9 × 10 −5 / ° C., and 5 × 10 −5 / ° C. to 7 × 10 −. Most preferably, it is 5 / ° C.

保護層106は、ASTM規格のD570に定められる吸水率(24時間)0.3重量%以下であることが好ましい。これにより、第2の積層体2を製造する際の季節の影響を抑制できる。また、第2の積層体2を輸送する際の湿度変化に対する耐性を向上させる観点から、該吸水率は0.2重量%以下であることがより好ましい。更に、第1のマスク層103と保護層106と、の強固な密着を抑制する観点から、該吸水率は、0.1重量%以下であることがより好ましく、0.01重量%以下であることが最も好ましい。   The protective layer 106 preferably has a water absorption rate (24 hours) defined by ASTM standard D570 of 0.3% by weight or less. Thereby, the influence of the season at the time of manufacturing the 2nd laminated body 2 can be suppressed. Further, from the viewpoint of improving the resistance to humidity change when transporting the second laminate 2, the water absorption is more preferably 0.2% by weight or less. Furthermore, from the viewpoint of suppressing the strong adhesion between the first mask layer 103 and the protective layer 106, the water absorption is more preferably 0.1% by weight or less, and 0.01% by weight or less. Most preferred.

保護層106としては、具体的に、例えば、フェノール・フォルムアルデヒド樹脂(PF)、ユリアフォルムアルデヒド樹脂(UF)、メラミン・フォルムアルデヒド樹脂(MF)、エポキシ樹脂(EP)、不飽和ポリエステル樹脂(UP、シリコーン樹脂(SI)、ポリウレタン樹脂(PUR)、ポリビニルクロライド樹脂(PVC)、ポリエチレン樹脂(PE)、エチレン・酢酸ビニル共重合樹脂(EVA)、ポリプロピレン樹脂(PP)、ポリスチレン樹脂(PS)、アクリロ二トリル・ブタジエン・スチレン樹脂(ABS)、アクリロ二トリル・スチレン樹脂(AS)、ポリメチルメタクリレート樹脂(PMMA)、ポリオキシメチレン樹脂(POM)、ポリカーボネート樹脂(PC)、ポリエチレンテレフタレート樹脂(PET)、変性ポリフェニレンエーテル樹脂(m−PPE)、ポリブチレンテレフタレート樹脂(PBT)、超高分子量ポリエチレン樹脂(U−PE)、ポリエーテルエーテルケトン樹脂(PEEK)、ポリフェニレンサルファイド樹脂(PPS)、ポリサルフォン樹脂(PSF)、ポリエーテルサルフォン(PES)、ポリアリレート樹脂(PAR)、ポリアミドイミド樹脂(PAI)、ポリエーテルイミド樹脂(PEI)、ポリテトラフルオロエチレン樹脂(PTFE)、ポリクロロトリフルオロエチレン(PCTFE)、ポリフッ化ビニリデン(PVDF)、エチレン−ビニルアルコール共重合樹脂(EVOH)、ポリイミド樹脂(PI)、ポリアミド樹脂(PA)、セルローストリアセテート樹脂(TAC)、ポリエチレンナフタレート樹脂(PEN)等を使用することができる。またこれらの樹脂の積層フィルムや、表面を親水処理或は疎水処理を施したものも使用可能である。また、これらの樹脂の表面に、アルミニウム、酸化アルミニウム、クロム、酸化クロム、タングステン、酸化タングステン、銅、酸化銅、銀、参加銀、酸化インジウムスズ等を成膜したものを使用することも出来る。EVA樹脂の場合、酢酸ビニル含有量は、0.1重量%以上50重量%以下であることが好ましい。これは、第1のマスク層103に対する接着性と剥離性を担保するためである。同様の効果から、1重量%以上30重量%以下であることがより好ましく、1.3重量%以上16重量%以下であることが最も好ましい。   Specifically, as the protective layer 106, for example, phenol / formaldehyde resin (PF), urea formaldehyde resin (UF), melamine / formaldehyde resin (MF), epoxy resin (EP), unsaturated polyester resin (UP , Silicone resin (SI), polyurethane resin (PUR), polyvinyl chloride resin (PVC), polyethylene resin (PE), ethylene-vinyl acetate copolymer resin (EVA), polypropylene resin (PP), polystyrene resin (PS), acrylo Nitryl / butadiene / styrene resin (ABS), acrylonitrile / styrene resin (AS), polymethyl methacrylate resin (PMMA), polyoxymethylene resin (POM), polycarbonate resin (PC), polyethylene terephthalate resin (PET) Modified polyphenylene ether resin (m-PPE), polybutylene terephthalate resin (PBT), ultra high molecular weight polyethylene resin (U-PE), polyether ether ketone resin (PEEK), polyphenylene sulfide resin (PPS), polysulfone resin (PSF) , Polyethersulfone (PES), polyarylate resin (PAR), polyamideimide resin (PAI), polyetherimide resin (PEI), polytetrafluoroethylene resin (PTFE), polychlorotrifluoroethylene (PCTFE), polyfluoride Vinylidene chloride (PVDF), ethylene-vinyl alcohol copolymer resin (EVOH), polyimide resin (PI), polyamide resin (PA), cellulose triacetate resin (TAC), polyethylene naphthalate resin PEN), etc. In addition, laminated films of these resins, and those having a surface subjected to hydrophilic treatment or hydrophobic treatment can also be used. , Chromium, chromium oxide, tungsten, tungsten oxide, copper, copper oxide, silver, participating silver, indium tin oxide, etc. In the case of EVA resin, the vinyl acetate content is 0. The content is preferably 1% by weight or more and 50% by weight or less in order to ensure adhesion and peelability to the first mask layer 103. From the same effect, it is 1% by weight or more and 30% by weight or less. More preferably, it is 1.3 wt% or more and 16 wt% or less.

(第2のマスク層)
次に、第2の積層体2の第2のマスク層102の組成について説明する。図18に示すように、第2の積層体2を被処理体200に貼り合わせ(図18A)、その後モールド101を剥離し形成された中間体201(図18C)に対して、第2のマスク層102を加工マスクとして第1のマスク層103をドライエッチング加工することで、微細マスクパタン202aを具備した微細パタン構造体202を得ることができる。即ち、第2のマスク層102は、微細パタン構造体202を得る際のドライエッチング加工に対する耐性が高いことが好ましい。なお、ドライエッチングに対する耐性については、選択比として後述する。第2のマスク層102の材料は、有機物、無機物或いは有機無機複合体であってもよい。また、モノマ、オリゴマ、或いはポリマのみから構成されても、これらを複数含んでもよい。このため、例えば、有機粒子、有機フィラー、無機粒子、無機フィラー、有機無機ハイブリッド粒子、有機無機ハイブリッドフィラー、ゾルゲル反応を誘発する分子、有機ポリマ、有機オリゴマ、無機ポリマ、無機オリゴマ、有機無機ハイブリッドポリマ、有機無機ハイブリッドオリゴマ、重合性樹脂、重合性モノマ、金属アルコキシド、金属アルコラート、金属キレート化合物、ハロゲン化シラン、スピンオングラス、又は、金属或いは金属酸化物等を使用することができる。
(Second mask layer)
Next, the composition of the second mask layer 102 of the second stacked body 2 will be described. As shown in FIG. 18, the second laminate 2 is bonded to the object 200 (FIG. 18A), and then the second mask is applied to the intermediate body 201 (FIG. 18C) formed by peeling the mold 101. By performing dry etching on the first mask layer 103 using the layer 102 as a processing mask, the fine pattern structure 202 including the fine mask pattern 202a can be obtained. That is, it is preferable that the second mask layer 102 has high resistance to dry etching processing when the fine pattern structure 202 is obtained. The resistance to dry etching will be described later as a selection ratio. The material of the second mask layer 102 may be an organic material, an inorganic material, or an organic-inorganic composite. Moreover, even if comprised only from a monomer, an oligomer, or a polymer, you may contain these two or more. Therefore, for example, organic particles, organic fillers, inorganic particles, inorganic fillers, organic-inorganic hybrid particles, organic-inorganic hybrid fillers, molecules that induce sol-gel reactions, organic polymers, organic oligomers, inorganic polymers, inorganic oligomers, organic-inorganic hybrid polymers Organic-inorganic hybrid oligomers, polymerizable resins, polymerizable monomers, metal alkoxides, metal alcoholates, metal chelate compounds, halogenated silanes, spin-on-glass, or metals or metal oxides can be used.

第2の積層体2を使用し中間体201を得る際の転写速度、そして精度を向上させる観点から、第2のマスク層102を構成する材料は、硬化性物質を含むことが好ましい。ここで、硬化性物質とは、光重合又は熱重合、そしてこれらの複合重合を起こす材料である。よって、光重合可能な光重合性基と熱重合可能な重合性基の両方、又はいずれか一方を含むと特に好ましい。また、第1のマスク層103の加工精度を向上させることができるため金属元素を含むことが好ましい。   From the viewpoint of improving the transfer speed and accuracy in obtaining the intermediate body 201 using the second laminate 2, the material constituting the second mask layer 102 preferably contains a curable substance. Here, the curable substance is a material that undergoes photopolymerization or thermal polymerization and complex polymerization thereof. Accordingly, it is particularly preferable that the photopolymerizable group contains either a photopolymerizable group capable of photopolymerization and / or a polymerizable group capable of thermal polymerization. In addition, since the processing accuracy of the first mask layer 103 can be improved, a metal element is preferably included.

第2のマスク層102を構成する材料は、以下の[第1のマスク層]にて説明する材料に、下記金属元素を加えた材料を使用することができる。特に、その中でも、樹脂及びモノマを含み、少なくともモノマによって金属元素を導入することが好ましい。例えば、モノマとして金属アルコキシドを使用できる。更にこの場合、樹脂が無機樹脂、例えば、シリコーンであることが好ましい。更にこの場合、少なくともモノマが硬化性物質を含むことが好ましく、特に光硬化性物質であるとより好ましい。この場合、硬化開始材を含むことができる。なお、第1のマスク層103と第2のマスク層102の双方に金属元素を含むとき、第2のマスク層102に含まれうる金属元素の方が、原子番号が大きい、或いは、最大の原子番号が同じ場合は、該最大の原子番号の金属元素の濃度が、第2のマスク層102の方が、1.5倍以上、より好ましくは5倍以上、最も好ましくは10倍以上大きくなるようにすればよい。   As a material constituting the second mask layer 102, a material obtained by adding the following metal element to the material described in [First mask layer] below can be used. In particular, among them, it is preferable to introduce a metal element including at least a monomer including a resin and a monomer. For example, a metal alkoxide can be used as a monomer. Furthermore, in this case, the resin is preferably an inorganic resin such as silicone. Further, in this case, it is preferable that at least the monomer contains a curable substance, and it is more preferable that the monomer is a photocurable substance. In this case, a curing initiator can be included. Note that when both the first mask layer 103 and the second mask layer 102 contain a metal element, the metal element that can be contained in the second mask layer 102 has a larger atomic number or the largest atomic number. When the numbers are the same, the concentration of the metal element with the largest atomic number is 1.5 times or more, more preferably 5 times or more, and most preferably 10 times or more larger in the second mask layer 102. You can do it.

金属元素としては、特に限定されないが、例えば、マンガン(Mn)、コバルト(Co)、ニッケル(Ni)、銅(Cu)、ルビジウム(Rb)、ニオブ(Nb)、モリブデン(Mo)、テクネチウム(Tc)、ルテニウム(Ru)、パラジウム(Pd)、銀(Ag)、セシウム(Cs)、オスミウム(Os)、プラチナ(Pt)、金(Au)、カリウム(K)、リチウム(Li)、ナトリウム(Na)、バリウム(Ba)、カルシウム(Ca)、マグネシウム(Mg)、鉛(Pb)、ストロンチウム(Sr)、亜鉛(Zn)、アルミニウム(Al)、ホウ素(B)、ビスマス(Bi)、鉄(Fe)、ガリウム(Ga)、インジウム(In)、ランタン(La)、アンチモン(Sb)、バナジウム(V)、イットリウム(Y)、ゲルマニウム(Ge)、ハフニウム(Hf)、シリコン(Si)、錫(Sn)、チタン(Ti)、ジルコニウム(Zr)、ニオブ(Nb)、タンタル(Ta)及びタングステン(W)かなる群から選ばれた少なくとも1種以上であることが好ましい。これは、第2のマスク層102の配置精度、第2のマスク層102の物理的及び化学的安定性の観点から選定している。微細マスクパタン202aの加工精度の観点から、チタン(Ti)、ジルコニウム(Zr)、クロム(Cr)、亜鉛(Zn)、スズ(Sn)、ホウ素(B)、インジウム(In)、アルミニウム(Al)、シリコン(Si)、モリブデン(Mo)、タングステン(W)及びゲルマニウム(Ge)からなる群から選ばれた少なくとも1種であることが好ましい。特に、チタン(Ti)、ジルコニウム(Zr)、クロム(Cr)、シリコン(Si)又は亜鉛(Zn)であることが好ましく、チタン(Ti)、ジルコニウム(Zr)、シリコン(Si)又は亜鉛(Zn)であることが最も好ましい。   Although it does not specifically limit as a metal element, For example, manganese (Mn), cobalt (Co), nickel (Ni), copper (Cu), rubidium (Rb), niobium (Nb), molybdenum (Mo), technetium (Tc) ), Ruthenium (Ru), palladium (Pd), silver (Ag), cesium (Cs), osmium (Os), platinum (Pt), gold (Au), potassium (K), lithium (Li), sodium (Na) ), Barium (Ba), calcium (Ca), magnesium (Mg), lead (Pb), strontium (Sr), zinc (Zn), aluminum (Al), boron (B), bismuth (Bi), iron (Fe ), Gallium (Ga), indium (In), lanthanum (La), antimony (Sb), vanadium (V), yttrium (Y), germanium At least selected from the group consisting of Ge), hafnium (Hf), silicon (Si), tin (Sn), titanium (Ti), zirconium (Zr), niobium (Nb), tantalum (Ta) and tungsten (W). One or more are preferable. This is selected from the viewpoint of the arrangement accuracy of the second mask layer 102 and the physical and chemical stability of the second mask layer 102. From the viewpoint of the processing accuracy of the fine mask pattern 202a, titanium (Ti), zirconium (Zr), chromium (Cr), zinc (Zn), tin (Sn), boron (B), indium (In), aluminum (Al) It is preferably at least one selected from the group consisting of silicon (Si), molybdenum (Mo), tungsten (W) and germanium (Ge). In particular, titanium (Ti), zirconium (Zr), chromium (Cr), silicon (Si) or zinc (Zn) is preferable, and titanium (Ti), zirconium (Zr), silicon (Si) or zinc (Zn) ) Is most preferred.

また、特に、化学的安定性を向上させる観点から、第2のマスク層102は、メタロキサン結合(―O−Me1−O−Me2−O−)を含むことが好ましい。ここで、Me1及びMe2は共に金属元素であり、同一の金属元素であっても異なっていてもよい。Me1又はMe2としては、上記説明した金属元素を採用するこができる。例えば、単一金属元素の場合、―O−Ti−O−Ti−O−や、―O−Zr−O−Zr−O−、そして―O−Si−O−Si−O−が挙げられる。異種金属元素の場合、―O−Ti−O−Si−O−、―O−Zr−O−Si−O−、―O−Zn−O−Si−O−等が挙げられる。なお、メタロキサン結合中の金属元素種は、3種類以上含まれてもよい。特に、2種類以上含まれる場合、転写精度の観点から、少なくともSiを含むことが好ましい。   In particular, from the viewpoint of improving chemical stability, the second mask layer 102 preferably includes a metalloxane bond (—O—Me 1 —O—Me 2 —O—). Here, Me1 and Me2 are both metal elements and may be the same metal element or different. As Me1 or Me2, the above-described metal element can be employed. For example, in the case of a single metal element, —O—Ti—O—Ti—O—, —O—Zr—O—Zr—O—, and —O—Si—O—Si—O— are exemplified. In the case of a dissimilar metal element, —O—Ti—O—Si—O—, —O—Zr—O—Si—O—, —O—Zn—O—Si—O—, and the like can be given. In addition, three or more types of metal element species in the metalloxane bond may be included. In particular, when two or more types are included, it is preferable to include at least Si from the viewpoint of transfer accuracy.

特に、前記金属元素は、Si元素とSi以外の金属元素を含むことが好ましい。この場合、Si元素濃度(CpSi)と、Si以外の金属元素の合計濃度(CpM1)と、の比率(CpM1/CpSi)が、0.02以上24未満であると、転写精度及び、微細マスクパタン202aの加工精度がより向上するため好ましい。特に、0.05以上20以下であるとより好ましく、0.1以上15以下であると最も好ましい。 In particular, the metal element preferably contains a Si element and a metal element other than Si. In this case, when the ratio (C pM1 / C pSi ) between the Si element concentration (C pSi ) and the total concentration of metal elements other than Si (C pM1 ) is 0.02 or more and less than 24, transfer accuracy and It is preferable because the processing accuracy of the fine mask pattern 202a is further improved. In particular, it is more preferably 0.05 or more and 20 or less, and most preferably 0.1 or more and 15 or less.

この様な金属元素を含むことで、特に金属元素を少なくとも金属アルコキシドに代表されるゾルゲル材料により導入することで、第1のマスク層103をドライエッチングする際の、縦方向のドライエッチングレート(Vr)と、横方向のドライエッチングレート(Vr//)との比率(Vr/Vr//)を大きくすることができる。これは、第1のマスク層103をドライエッチングする際の、加工される第1のマスク層103の側壁保護効果が向上するためである。即ち、第2のマスク層102は、第1のマスク層103の側壁へ、と移動することができる。 By including such a metal element, a vertical dry etching rate (Vr) when the first mask layer 103 is dry-etched, in particular, by introducing the metal element with at least a sol-gel material typified by metal alkoxide. and) can be the ratio of the lateral dry etching rate (Vr //) to (Vr / Vr //) is increased. This is because the sidewall protecting effect of the first mask layer 103 to be processed is improved when the first mask layer 103 is dry-etched. That is, the second mask layer 102 can move to the side wall of the first mask layer 103.

第2のマスク層102を構成する材料には、極性基が含まれることが好ましい。この極性基は、以下に[第1のマスク層]にて説明するものと同様のものを使用できる。これにより、第2のマスク層102とモールド101の凹凸構造101aとの接着力を低減することができると共に、第2のマスク層102の力学的強度を向上させることができるため、転写精度が向上する。特に、[第1のマスク層]にて説明する重合性基を含むことで、第2のマスク層102の体積収縮により第2のマスク層102とモールド101の凹凸構造101aと、の接着力がより低下すると共に、第1のマスク層103と第2のマスク層102と、の界面接着強度が向上することから、転写性がより向上する。   The material constituting the second mask layer 102 preferably contains a polar group. As this polar group, those described below in [First mask layer] can be used. Thereby, the adhesive strength between the second mask layer 102 and the concavo-convex structure 101a of the mold 101 can be reduced, and the mechanical strength of the second mask layer 102 can be improved, so that the transfer accuracy is improved. To do. In particular, the adhesive force between the second mask layer 102 and the concavo-convex structure 101a of the mold 101 is reduced by the volume shrinkage of the second mask layer 102 by including the polymerizable group described in [First mask layer]. In addition to the further decrease, the interface adhesion strength between the first mask layer 103 and the second mask layer 102 is improved, so that the transferability is further improved.

いずれにしても、第2のマスク層102の材料は、以下に説明する選択比を満たす範囲において、設計される。   In any case, the material of the second mask layer 102 is designed in a range that satisfies the selection ratio described below.

更に、第2のマスク層102は、透明であっても着色されていてもよい。透明であることにより、第2の積層体2を被処理体200に貼り合わせた積層体に対しエネルギ線を照射する際に、第2のマスク層102越しにエネルギ線を照射することが可能となる。一方、着色されている場合、モールド101を剥離した後に、転写性を目視或いは光学検査により容易に確認することができる。なお、着色は以下の[第1のマスク層]にて説明する染料、顔料等の着色物質を使用できる。   Furthermore, the second mask layer 102 may be transparent or colored. By being transparent, it is possible to irradiate energy beams through the second mask layer 102 when irradiating energy beams to the stacked body in which the second stacked body 2 is bonded to the object 200. Become. On the other hand, when colored, after the mold 101 is peeled off, the transferability can be easily confirmed visually or by optical inspection. For coloring, coloring substances such as dyes and pigments described in [First Mask Layer] below can be used.

更に、第2のマスク層102の安定性を向上させるために、酸化防止剤を含むことができる。また、可塑剤等の添加剤を含めることもできる。この酸化防止剤や可塑剤等の添加剤は、以下の[第1のマスク層]にて説明するものを使用できる。   Further, an antioxidant may be included to improve the stability of the second mask layer 102. Moreover, additives, such as a plasticizer, can also be included. As the additives such as antioxidants and plasticizers, those described in the following [First Mask Layer] can be used.

(第1のマスク層)
次に、第2の積層体2の第1のマスク層103の組成について説明する。第1のマスク層103は、被処理体200を加工する際の加工マスクとして機能することが好ましいため、以下の選択比を満たすことが好ましい。例えば、有機物、無機物或いは有機無機複合体であってもよい。また、モノマ、オリゴマ、或いはポリマのみから構成されても、これらを複数含んでもよい。このため、例えば、有機粒子、有機フィラー、無機粒子、無機フィラー、有機無機ハイブリッド粒子、有機無機ハイブリッドフィラー、ゾルゲル反応を誘発する分子、有機ポリマ、有機オリゴマ、無機ポリマ、無機オリゴマ、有機無機ハイブリッドポリマ、有機無機ハイブリッドオリゴマ、重合性樹脂、重合性モノマ、金属アルコキシド、金属アルコラート、金属キレート化合物、ハロゲン化シラン、スピンオングラス、又は、金属或いは金属酸化物等を使用することができる。
(First mask layer)
Next, the composition of the first mask layer 103 of the second stacked body 2 will be described. Since the first mask layer 103 preferably functions as a processing mask when processing the workpiece 200, it is preferable to satisfy the following selection ratio. For example, an organic substance, an inorganic substance, or an organic-inorganic composite may be used. Moreover, even if comprised only from a monomer, an oligomer, or a polymer, you may contain these two or more. Therefore, for example, organic particles, organic fillers, inorganic particles, inorganic fillers, organic-inorganic hybrid particles, organic-inorganic hybrid fillers, molecules that induce sol-gel reactions, organic polymers, organic oligomers, inorganic polymers, inorganic oligomers, organic-inorganic hybrid polymers Organic-inorganic hybrid oligomers, polymerizable resins, polymerizable monomers, metal alkoxides, metal alcoholates, metal chelate compounds, halogenated silanes, spin-on-glass, or metals or metal oxides can be used.

第1のマスク層103は、既に説明したように、上記[第2のマスク層]で説明した金属元素を含むことができる。なお、第1のマスク層103と第2のマスク層102の双方に金属元素を含む場合、第2のマスク層102に含まれる金属元素の方が、原子番号が大きい、或いは、最大の原子番号が同じ場合は、該最大の原子番号の金属元素の濃度が、第2のマスク層102の方が、1.5倍以上、より好ましくは5倍以上、最も好ましくは10倍以上大きくなるようにすればよい。これにより、第2のマスク層102を加工マスクとして、第1のマスク層103を容易にエッチングし、微細マスクパタン202aを得ることができる。   As described above, the first mask layer 103 can include the metal element described in the above [Second mask layer]. Note that when both the first mask layer 103 and the second mask layer 102 contain a metal element, the metal element contained in the second mask layer 102 has a larger atomic number or the largest atomic number. Are the same, the concentration of the metal element having the largest atomic number is 1.5 times or more, more preferably 5 times or more, and most preferably 10 times or more higher in the second mask layer 102. do it. Accordingly, the first mask layer 103 can be easily etched using the second mask layer 102 as a processing mask, and a fine mask pattern 202a can be obtained.

特に、第1のマスク層103が樹脂を含むことで、第1のマスク層103の硬度を減少させることができると共に、第1のマスク層103の配置安定性を向上させることができる。本明細書における樹脂は、分子量が1000以上のオリゴマ或いはポリマとして定義する。樹脂の構成としては、有機樹脂、無機樹脂又は有機無機ハイブリッド樹脂等が挙げられる。これらは1種のみ含んでも、複数含んでもよい。この様な樹脂を含むことで、第1のマスク層103の硬度が減少するため、第1のマスク層103の表層の流動性の束縛が解放されやすくなり、第1のマスク層103と被処理体20との間に発生するエアボイドを良好に抑制できる。また、第1のマスク層103に樹脂を含むことで、モールド101の凹凸構造101aに配置された第1のマスク層103の物理的安定性が向上することから、第2の積層体2の搬送やハンドリングにより、第1のマスク層103の膜厚精度が低下することを抑制できる。これらの樹脂は、公知一般のオリゴマ或いはポリマを採用できる。例えば、一般的に、フォトレジスト用樹脂、ナノインプリント用樹脂、接着剤用樹脂、粘着剤用樹脂、ドライフィルムレジスト用樹脂、エンプラ、封止材用樹脂、ゴム、プラスチック、繊維、医療用プラスチック、医薬用樹脂等を使用できる。また、天然高分子も使用できる。   In particular, since the first mask layer 103 contains a resin, the hardness of the first mask layer 103 can be reduced, and the placement stability of the first mask layer 103 can be improved. The resin in this specification is defined as an oligomer or polymer having a molecular weight of 1000 or more. Examples of the resin structure include organic resins, inorganic resins, and organic-inorganic hybrid resins. These may contain only 1 type, or may contain multiple. By including such a resin, the hardness of the first mask layer 103 decreases, so that the fluidity constraint on the surface layer of the first mask layer 103 is easily released, and the first mask layer 103 and the object to be processed Air voids generated between the body 20 and the body 20 can be satisfactorily suppressed. Moreover, since the physical stability of the 1st mask layer 103 arrange | positioned at the uneven structure 101a of the mold 101 improves by including resin in the 1st mask layer 103, conveyance of the 2nd laminated body 2 is carried out. And the handling can prevent the film thickness accuracy of the first mask layer 103 from being lowered. These resins can employ known general oligomers or polymers. For example, in general, resin for photoresist, resin for nanoimprint, resin for adhesive, resin for adhesive, resin for dry film resist, engineering plastic, resin for sealing material, rubber, plastic, fiber, medical plastic, pharmaceutical Resin etc. can be used. Natural polymers can also be used.

また、樹脂が、「#−C=C−#」部位及び/又は「#−C=O」部位を含むことで、第1のマスク層103と第2のマスク層102との界面強度及び第1のマスク層103と被処理体200との界面強度が向上するため、中間体201の精度が向上する。なお、本明細書における化学式において使用される「#−A」という表記は、Aという元素或いはAという部位、又は骨格が、「#」を介して他の元素に化学結合することを意味する。また、「#」は、酸素元素(O)、窒素元素(N)、炭素元素(C)、水素元素(H)又は硫黄元素(S)のいずれかである。なお、結合手の不足した部分は、水素元素、炭素元素或いは酸素元素により、該不足が補われているものとする。   Further, since the resin includes the “# —C═C— #” portion and / or the “# —C═O” portion, the interface strength between the first mask layer 103 and the second mask layer 102 and the second strength can be increased. Since the interface strength between the one mask layer 103 and the workpiece 200 is improved, the accuracy of the intermediate 201 is improved. Note that the notation “# -A” used in the chemical formulas in this specification means that the element A, the site A, or the skeleton is chemically bonded to another element via “#”. “#” Is any one of an oxygen element (O), a nitrogen element (N), a carbon element (C), a hydrogen element (H), or a sulfur element (S). It is assumed that the shortage of bonds is made up by hydrogen, carbon, or oxygen.

樹脂の重量平均分子量は、第1のマスク層103の成膜性及び膜厚精度の観点から、1000〜1000000であることが好ましい。下限値の1000は、第1のマスク層103の硬度の減少から決定された。一方で、上限値の1000000は、第1のマスク層103の凹凸構造101aに対する配置精度から決定された。特に、第1のマスク層103の配置精度をより高める観点から、重量平均分子量は、500000以下であることが好ましく、100000であることがより好ましく、更に好ましくは60000である。   The weight average molecular weight of the resin is preferably 1000 to 1000000 from the viewpoint of the film formability and film thickness accuracy of the first mask layer 103. The lower limit of 1000 was determined from the decrease in hardness of the first mask layer 103. On the other hand, the upper limit of 1000000 was determined from the placement accuracy of the first mask layer 103 with respect to the concavo-convex structure 101a. In particular, from the viewpoint of further improving the arrangement accuracy of the first mask layer 103, the weight average molecular weight is preferably 500,000 or less, more preferably 100,000, and still more preferably 60000.

樹脂の分散度は概ね1〜6のものが用いられ、1〜4であることが好ましい。分散度は、重量平均分子量と数平均分子量の比(重量平均分子量)/(数平均分子量)である。なお、分子量は、日本分光社製ゲルパーミエーションクロマトグラフィー(GPC)、(ポンプ:Gulliver、PU−1580型、カラム:昭和電工社製Shodex(登録商標)(KF−807、KF−806M、KF−806M、KF−802.5)4本直列、移動層溶剤:テトラヒドロフラン、ポリスチレン標準サンプルによる検量線使用)により重量平均分子量(ポリスチレン換算)として求められる。   The dispersion degree of the resin is approximately 1 to 6, and preferably 1 to 4. The degree of dispersion is the ratio of the weight average molecular weight to the number average molecular weight (weight average molecular weight) / (number average molecular weight). The molecular weight was measured by Gel Permeation Chromatography (GPC) manufactured by JASCO Corporation (pump: Gulliver, PU-1580 type, column: Shodex (registered trademark) manufactured by Showa Denko KK (KF-807, KF-806M, KF- 806M, KF-802.5) 4 in series, moving bed solvent: tetrahydrofuran, using a calibration curve based on polystyrene standard sample) to determine the weight average molecular weight (polystyrene conversion).

特に、第1のマスク層103に含まれる樹脂は、極性基を有すことが好ましい。この場合、第1のマスク層103内における分子間相互作用を強くすることができるため、第1のマスク層103とモールド101の凹凸構造101aとの密着力を小さくすることができる。更に、第1のマスク層103と被処理体20との界面に対する静電相互作用や水素結合作用等が強くなる傾向にあるため、第1のマスク層103と被処理体20との接着強度が向上する。以上から、極性基を含むことで、転写性を向上させることができる。極性基の種類は特に限定されないが、エポキシ基、水酸基、フェノール性水酸基、アクリロイル基、メタクリロイル基、ビニル基、カルボキシル基、カルボニル基、アミノ基、アリル基、ジオキタセン基、シアノ基、イソシアネート基及びチオールからなる群の少なくとも1以上の極性基を含むことで、モールド101の凹凸構造101aと第1のマスク層103との界面接着力を分子スケールの隙間により弱めることができるためである。特に、モールド101の凹凸構造101aと第1のマスク層103との物理的接着力及び化学的接着力を共に低減する観点から、エポキシ基、水酸基、フェノール性水酸基、アクリロイル基、メタクリロイル基、ビニル基、カルボキシル基、カルボニル基、アミノ基及びイソシアネート基からなる群の少なくとも1以上の極性基を含むことが好ましい。更に、エポキシ基、水酸基、アクリロイル基、メタクリロイル基、ビニル基、カルボキシル基及びカルボニル基から成る群から選ばれる少なくとも1以上の極性基を含むと、光重合による体積収縮、熱重合による体積収縮、或いは水素結合による高密度化の1以上の現象を発現できるため、モールド101の凹凸構造101aと第1のマスク層103との界面接着力がより低下し、転写性がいっそう向上するため好ましい。中でも、エポキシ基、水酸基、アクリロイル基、メタクリロイル基、ビニル基、又は、カルボキシル基の少なくとも1以上を含むことで、前記効果がより大きくなる。   In particular, the resin contained in the first mask layer 103 preferably has a polar group. In this case, since the intermolecular interaction in the first mask layer 103 can be strengthened, the adhesive force between the first mask layer 103 and the concavo-convex structure 101a of the mold 101 can be reduced. Furthermore, since there is a tendency for electrostatic interaction, hydrogen bonding, and the like to the interface between the first mask layer 103 and the object to be processed 20, the adhesive strength between the first mask layer 103 and the object to be processed 20 is increased. improves. As mentioned above, transferability can be improved by including a polar group. The type of polar group is not particularly limited, but epoxy group, hydroxyl group, phenolic hydroxyl group, acryloyl group, methacryloyl group, vinyl group, carboxyl group, carbonyl group, amino group, allyl group, diquitacene group, cyano group, isocyanate group and thiol This is because the interfacial adhesive force between the uneven structure 101a of the mold 101 and the first mask layer 103 can be weakened by the gap on the molecular scale by including at least one polar group of the group consisting of: In particular, from the viewpoint of reducing both physical adhesion and chemical adhesion between the concavo-convex structure 101a of the mold 101 and the first mask layer 103, epoxy group, hydroxyl group, phenolic hydroxyl group, acryloyl group, methacryloyl group, vinyl group. It preferably contains at least one polar group selected from the group consisting of a carboxyl group, a carbonyl group, an amino group and an isocyanate group. Further, when it contains at least one polar group selected from the group consisting of epoxy group, hydroxyl group, acryloyl group, methacryloyl group, vinyl group, carboxyl group and carbonyl group, volume shrinkage due to photopolymerization, volume shrinkage due to thermal polymerization, or Since one or more phenomena of densification due to hydrogen bonding can be exhibited, the interfacial adhesive force between the uneven structure 101a of the mold 101 and the first mask layer 103 is further reduced, which is preferable because transferability is further improved. Especially, the said effect becomes larger by including at least 1 or more of an epoxy group, a hydroxyl group, an acryloyl group, a methacryloyl group, a vinyl group, or a carboxyl group.

樹脂が硬化性樹脂である場合、第2の積層体2の第1のマスク層103の体積よりも、モールド101を除去する際の第1のマスク層103の体積は小さくなる傾向がある。即ち、モールド101を第1のマスク層103より除去する段階において、モールド101の凹凸構造101aと第1のマスク層103との界面に分子スケール以上の隙間を作ることができる。これは、凹凸構造101aとマスク層との密着力を大きく低減することを意味するため、モールド101の剥離速度を十分に大きくすることができる。硬化性樹脂は、熱、光、或いは熱及び光により硬化する樹脂である。例えば、熱硬化性樹脂であれば、フェノール樹脂、尿素樹脂、メラミン樹脂、不飽和ポリエステル樹脂、エポキシ樹脂、又はケイ素樹脂が挙げられる。また、例えば、光硬化性樹脂であれば、エポキシ基、アクリロイル基、メタクリロイル基、又は、ビニル基等を有する樹脂が挙げられる。   When the resin is a curable resin, the volume of the first mask layer 103 when removing the mold 101 tends to be smaller than the volume of the first mask layer 103 of the second laminate 2. That is, at the stage of removing the mold 101 from the first mask layer 103, a gap larger than the molecular scale can be created at the interface between the uneven structure 101 a of the mold 101 and the first mask layer 103. This means that the adhesion between the concavo-convex structure 101a and the mask layer is greatly reduced, so that the peeling speed of the mold 101 can be sufficiently increased. The curable resin is a resin that is cured by heat, light, or heat and light. For example, if it is a thermosetting resin, a phenol resin, a urea resin, a melamine resin, an unsaturated polyester resin, an epoxy resin, or a silicon resin is mentioned. In addition, for example, in the case of a photocurable resin, a resin having an epoxy group, an acryloyl group, a methacryloyl group, a vinyl group, or the like can be given.

なお、硬化性樹脂を含む場合、その硬化原理に見合った硬化開始剤を含むことが好ましい。光硬化性樹脂に対しては、光重合開始材を適用できる。光重合開始材としては、公知一般のラジカル重合開始剤、カチオン重合開始剤、又はアニオン重合開始剤を使用できる。これらは組み合わせて使用することもできる。熱重合樹脂に対しては、熱重合開始剤を適用できる。熱重合開始剤としては、公知一般の例えば、アゾ化合物を使用できる。なお、光硬化性樹脂に対して、熱重合開始剤を使用することもできる。なお、重合開始剤の他に、光増感材を添加することもできる。   In addition, when a curable resin is included, it is preferable to include a curing initiator suitable for the curing principle. A photopolymerization initiator can be applied to the photocurable resin. As the photopolymerization initiator, a known general radical polymerization initiator, cationic polymerization initiator, or anionic polymerization initiator can be used. These can also be used in combination. A thermal polymerization initiator can be applied to the thermal polymerization resin. As the thermal polymerization initiator, for example, a known general azo compound can be used. In addition, a thermal polymerization initiator can also be used with respect to photocurable resin. In addition to the polymerization initiator, a photosensitizer can be added.

特に、第1のマスク層103の体積収縮を効果的に発現させ、第1のマスク層103と凹凸構造101aとの接着強度を弱める観点から、光硬化性樹脂を含むことが好ましい。   In particular, it is preferable to include a photocurable resin from the viewpoint of effectively expressing the volume shrinkage of the first mask layer 103 and weakening the adhesive strength between the first mask layer 103 and the concavo-convex structure 101a.

また、樹脂は、少なくとも1以上の繰り返し単位を含む樹脂を含むことが好ましい。更に、この繰り返し単位は、繰り返し単位を構成する全原子数をNa、繰り返し単位中の炭素原子数をNc、及び繰り返し単位中の酸素原子数をNoとした時の比率(Na/(Nc−No))である比率Kが5.5以下の繰り返し単位であることが好ましい。即ち、繰り返し単位が3つある状態を代表させた場合、−(A)x−(B)y−(C)z−で表現される一般式において、A、B或いはCの少なくとも1以上の繰り返し単位は該比率K≦5.5を満たす。このような範囲を満たす場合、樹脂の分子間の相互作用が強まる傾向にあるため、第1のマスク層103と凹凸構造101aとの界面の分子スケールの隙間が大きくなると考えらえる。即ち、転写性が向上する。特に、樹脂の分子間相互作用と分子内相互作用を共に強め、該隙間を凹凸構造101aの表面に渡り形成させ、転写性を向上させる観点、及び、第1のマスク層103をマスクとして被処理体200をドライエッチング加工する際の加工精度の観点から、比率Kは、4.0以下を満たすことがより好ましく、3.5以下を満たすことが最も好ましい。ここでの加工精度とは、被処理体200上に加工され設けられた微細パタン220の形状精度である。これは、比率Kが上記範囲を満たすことにより、第1のマスク層103をマスクとして被処理体200をドライエッチング加工する過程における、第1のマスク層103の形状の歪を小さくできるためである。特に比率Kが3.0以下である場合、樹脂内の炭素密度が大きくなるため、第1のマスク層103と凹凸構造101aとの化学的作用を低減でき、第1のマスク層103と凹凸構造101aとの密着力をより低下させることができる。更に、ドライエッチング時にイオンやラジカルから観た樹脂のエネルギ障壁及び物理強度が大きくなることから、被処理体200の加工マージンを大きくできるため好ましい。このように、比率Kの値が小さくなる程、高精度な中間体201を得ることが可能となり、更に、第1のマスク層103をマスクとして被処理体200をドライエッチング加工する際の、第1のマスク層103の形状安定性が向上するため、被処理体200の加工精度を向上できる。特に、該比率Kが小さい程、エネルギの高いドライエッチング条件も採用することが可能となるため、被処理体200の微細パタン220の形状精度を担保しながら、加工速度を大きく改善することができる。   The resin preferably contains a resin containing at least one repeating unit. Further, this repeating unit has a ratio (Na / (Nc-No) where Na is the total number of atoms constituting the repeating unit, Nc is the number of carbon atoms in the repeating unit, and No is the number of oxygen atoms in the repeating unit. )) Is preferably a repeating unit having a ratio K of 5.5 or less. That is, when a state having three repeating units is represented, in the general formula represented by-(A) x- (B) y- (C) z-, at least one or more repetitions of A, B or C The unit satisfies the ratio K ≦ 5.5. When such a range is satisfied, the interaction between the molecules of the resin tends to increase, so it can be considered that the molecular scale gap at the interface between the first mask layer 103 and the concavo-convex structure 101a becomes large. That is, transferability is improved. In particular, both the intermolecular interaction and intramolecular interaction of the resin are strengthened, the gap is formed over the surface of the concavo-convex structure 101a, and the transfer property is improved, and the first mask layer 103 is used as a mask to be processed. From the viewpoint of processing accuracy when the body 200 is dry-etched, the ratio K more preferably satisfies 4.0 or less, and most preferably satisfies 3.5 or less. The processing accuracy here is the shape accuracy of the fine pattern 220 processed and provided on the workpiece 200. This is because, when the ratio K satisfies the above range, the distortion of the shape of the first mask layer 103 in the process of dry-etching the workpiece 200 using the first mask layer 103 as a mask can be reduced. . In particular, when the ratio K is 3.0 or less, the carbon density in the resin increases, so that the chemical action between the first mask layer 103 and the concavo-convex structure 101a can be reduced, and the first mask layer 103 and the concavo-convex structure can be reduced. The adhesive force with 101a can be further reduced. Furthermore, since the energy barrier and physical strength of the resin as viewed from ions and radicals during dry etching increase, it is preferable because the processing margin of the workpiece 200 can be increased. Thus, as the value of the ratio K decreases, it becomes possible to obtain a highly accurate intermediate 201, and further, when the object 200 is dry-etched using the first mask layer 103 as a mask. Since the shape stability of one mask layer 103 is improved, the processing accuracy of the workpiece 200 can be improved. In particular, as the ratio K is smaller, it is possible to adopt higher energy dry etching conditions, so that the processing speed can be greatly improved while ensuring the shape accuracy of the fine pattern 220 of the workpiece 200. .

上記説明においては、−(A)x−(B)y−(C)z−で表記できる繰り返し単位が3つある状態を代表させたが、繰り返し単位の構成数は3に限らず、ホモポリマ或いはホモオリゴマである1の状態から3超の状態であってもよい。特に、繰り返し単位間のドライエッチング特性差を小さくする観点から、繰り返し単位の数は5以下であることが好ましく、4以下であることがより好ましく、3以下であることが最も好ましい。なお、繰り返し単位が1であるホモポリマ或いはホモオリゴマの場合、第1のマスク層103のドライエッチング過程における形状の歪をよりいっそう低減できるため、被処理体200に設けられる微細パタン220の精度をいっそう向上できる。   In the above description, a state in which there are three repeating units that can be represented by-(A) x- (B) y- (C) z- is represented, but the number of constituents of the repeating units is not limited to 3, and homopolymers or It may be in a state of 1 to more than 3 as a homo-oligomer. In particular, from the viewpoint of reducing the difference in dry etching characteristics between repeating units, the number of repeating units is preferably 5 or less, more preferably 4 or less, and most preferably 3 or less. In the case of a homopolymer or homooligomer having a repeating unit of 1, the shape distortion in the dry etching process of the first mask layer 103 can be further reduced, so that the precision of the fine pattern 220 provided on the workpiece 200 is further improved. it can.

また、繰り返し単位数が2以上の場合、少なくとも1以上の繰り返し単位は上記比率Kを満たす。この場合、比率Kを満たす繰り返し単位Gと比率Kを満たさない繰り返し単位Bとの繰り返し数は、以下の範囲を満たすことが好ましい。繰り返し単位Gの繰り返し数の合計値をα、繰り返し単位Bの繰り返し単位数の合計値をβとする。例えば、−(A)x−(B)y−において、繰り返し単位Aが上記比率Kを満たし、繰り返し単位Bが上記比率Kを満たさない場合、x=α、y=βである。また、例えば、−(A)x−(B)y−(C)z−において、繰り返し単位Aが上記説明した比率Kを満たし、繰り返し単位B及びCが上記説明した比率Kを満たさない場合、x=α、(y+z)=βである。なお、繰り返し単位の数が4以上の場合も同様である。   When the number of repeating units is 2 or more, at least one repeating unit satisfies the ratio K. In this case, the number of repetitions of the repeating unit G satisfying the ratio K and the repeating unit B not satisfying the ratio K preferably satisfies the following range. The total value of the number of repeating units G is α, and the total number of the repeating units B is β. For example, in-(A) x- (B) y-, when the repeating unit A satisfies the ratio K and the repeating unit B does not satisfy the ratio K, x = α and y = β. For example, in-(A) x- (B) y- (C) z-, when the repeating unit A satisfies the ratio K described above and the repeating units B and C do not satisfy the ratio K described above, x = α and (y + z) = β. The same applies when the number of repeating units is 4 or more.

この時、α/β≧1を満たすことで、分子内相互作用の効果が大きくなり、転写性が向上するため好ましい。更に、樹脂分子内におけるドライエッチング特性差を小さくすることができるため、被処理体200の加工精度を向上できる。特に、α/β≧1.5を満たすことで、分子間相互作用も利用でき、転写性がより向上すると共に、ドライエッチング過程における第1のマスク層103の形状の歪をより小さくできるため好ましい。α/βが2.3以上であることで、第1のマスク層103と凹凸構造101aとの界面の化学的相互作用を抑制する効果が大きくなると共に、ドライエッチング時のパワーを強くできるため、被処理体200の加工速度を向上できる。更に、α/βが4以上であれば、第1のマスク層103を加工マスクにし、被処理体200をドライエッチング加工する際のオーバーエッチング時間を短縮できる。これらの効果をいっそう発揮する観点から、α/βが9以上であることが最も好ましい。   At this time, it is preferable to satisfy α / β ≧ 1, since the effect of intramolecular interaction is increased and transferability is improved. Furthermore, since the difference in dry etching characteristics in the resin molecule can be reduced, the processing accuracy of the object 200 can be improved. In particular, it is preferable to satisfy α / β ≧ 1.5 because the interaction between molecules can be used, transferability is further improved, and distortion of the shape of the first mask layer 103 in the dry etching process can be further reduced. . Since α / β is 2.3 or more, the effect of suppressing the chemical interaction at the interface between the first mask layer 103 and the concavo-convex structure 101a is increased, and the power during dry etching can be increased. The processing speed of the workpiece 200 can be improved. Furthermore, if α / β is 4 or more, the over-etching time when the object 200 is dry-etched with the first mask layer 103 as a processing mask can be shortened. From the viewpoint of further exerting these effects, α / β is most preferably 9 or more.

なお、ホモポリマ又はホモオリゴマの場合、α/βはβが0であることから無限に漸近する。また、繰り返し単位を2以上含む場合であって、全ての繰り返し単位が上記比率Kの範囲を満たす場合も、α/βはβが0であることから無限に漸近する。このようなα/βが無限に漸近する場合、樹脂分子内のエネルギの均等性が向上することから、モールド101を第1のマスク層103より除去する際の、凝集破壊に対する耐性が大きくなるため、最も好ましい。なお、これらの範囲を満たすことで、被処理体200をナノ加工する場合の、加工精度も大きく向上する。   In the case of homopolymers or homooligomers, α / β gradually approaches infinitely since β is 0. Further, even when two or more repeating units are included and all the repeating units satisfy the range of the ratio K, α / β gradually approaches infinitely because β is 0. When α / β asymptotically approaches infinitely, the uniformity of energy in the resin molecule is improved, so that the resistance to cohesive failure when removing the mold 101 from the first mask layer 103 is increased. Most preferred. In addition, by satisfy | filling these ranges, the processing precision at the time of carrying out nano processing of the to-be-processed object 200 improves greatly.

更に、繰り返し単位間の上記比率Kの差の最大値、即ちΔKmaxは、3.5以下であることが好ましい。これにより、効果的に分子間相互作用を発現できる。更に、樹脂分子内におけるドライエッチングレート差を小さくすることができるため、ドライエッチング過程における第1のマスク層103の形状歪を抑制する効果が大きくなる。特に、3.0以下であることで、分子内相互作用が大きくなると共に、比率Kの大きな繰り返し単位の集合が優先的にドライエッチング除去されることを抑制する効果が高まるため好ましい。2.5以下であれば、樹脂の安定性が向上し、第1のマスク層103と凹凸構造101aとの界面の化学的作用を抑制する効果が高まる。また、ドライエッチング過程における第1のマスク層103の側面部のラフネスを抑制できるため好ましい。更に、樹脂分子内のエネルギの均等化の向上に伴う第1のマスク層103の凝集破壊耐性の向上効果をより顕著にすると共に、被処理体200に設けられる微細パタン220の形状精度を向上させる観点から、2.0以下であることが好ましく、1.5以下であることがより好ましく、1.0以下であることが最も好ましい。なお、これらの範囲を満たすことで、被処理体200をナノ加工する場合の、加工精度も大きく向上する。なお、ΔKmaxが0.5以下であれば、ドライエッチングにおけるラジカルやイオンから見た第1のマスク層の103均等性が大きく向上する。このため、第1のマスク層103の側面部を含む表面の形状安定性が向上するため、被処理体200の加工精度を向上できる。   Further, the maximum value of the difference in the ratio K between the repeating units, that is, ΔKmax is preferably 3.5 or less. Thereby, an intermolecular interaction can be expressed effectively. Furthermore, since the difference in the dry etching rate in the resin molecule can be reduced, the effect of suppressing the shape distortion of the first mask layer 103 in the dry etching process is increased. In particular, it is preferable that the molecular weight is 3.0 or less because the intramolecular interaction is increased and the effect of suppressing the preferential removal of a set of repeating units having a large ratio K by dry etching is increased. If it is 2.5 or less, the stability of the resin is improved, and the effect of suppressing the chemical action at the interface between the first mask layer 103 and the concavo-convex structure 101a is enhanced. In addition, the roughness of the side surface portion of the first mask layer 103 in the dry etching process can be suppressed, which is preferable. Further, the effect of improving the cohesive fracture resistance of the first mask layer 103 due to the improvement of the equalization of energy in the resin molecules is made more remarkable, and the shape accuracy of the fine pattern 220 provided on the workpiece 200 is improved. From the viewpoint, it is preferably 2.0 or less, more preferably 1.5 or less, and most preferably 1.0 or less. In addition, by satisfy | filling these ranges, the processing precision at the time of carrying out nano processing of the to-be-processed object 200 improves greatly. If ΔKmax is 0.5 or less, the 103 uniformity of the first mask layer as seen from radicals and ions in dry etching is greatly improved. For this reason, since the shape stability of the surface including the side surface portion of the first mask layer 103 is improved, the processing accuracy of the workpiece 200 can be improved.

第1のマスク層103は、環状部位を有する材料を含むことが好ましい。特に、環状部位を有する樹脂を含むことが好ましい。環状部位を有する材料を含むことにより、環状部位同士のパッキングや配列により、マスク層の硬度の上昇や、マスク層の体積収縮を誘発する傾向にあるためである。即ち、モールド101をマスク層より除去する際のマスク層の凝集破壊の抑制や、モールド101の凹凸構造101aとマスク層との密着力低減の効果がある。更に、被処理体200をドライエッチング加工する際の第1のマスク層103の形状歪を低減できることから、被処理体200の加工精度を向上できる。   The first mask layer 103 preferably includes a material having an annular portion. In particular, it is preferable to include a resin having a cyclic portion. This is because the inclusion of a material having an annular portion tends to induce an increase in the hardness of the mask layer and a volumetric shrinkage of the mask layer due to packing and arrangement of the annular portions. That is, there are effects of suppressing the cohesive failure of the mask layer when removing the mold 101 from the mask layer and reducing the adhesion between the uneven structure 101a of the mold 101 and the mask layer. Further, since the shape distortion of the first mask layer 103 when the object to be processed 200 is dry-etched can be reduced, the processing accuracy of the object to be processed 200 can be improved.

特に、環状部位が、炭素数30以下の環状部位であることで、既に説明した比率Kを満たすことが容易となり、これにより第1のマスク層103の炭素密度が向上すると共に、第1のマスク層103の熱振動やボンバードメント耐性、またラジカルやイオンに対する耐性が向上するため、被処理体200の加工精度を向上できる。   In particular, since the cyclic portion is a cyclic portion having 30 or less carbon atoms, it becomes easy to satisfy the ratio K described above, thereby improving the carbon density of the first mask layer 103 and the first mask. Since the thermal vibration and bombardment resistance of the layer 103 and resistance to radicals and ions are improved, the processing accuracy of the workpiece 200 can be improved.

更に、環状部位が4員環、5員環及び6員環からなる群から選ばれる少なくとも1以上の要素を含み構成されることで、パッキング性が良好となることからマスク層のエネルギが低下する傾向にある。即ち、モールド101の凹凸構造101aとマスク層との化学的作用を低減できるため、転写性が向上すると共に、第1のマスク層103のドライエッチング耐性が大きく向上する。このため、被処理体200の加工精度が向上し、精度の高い微細パタン220を形成できる。ここで、環状部位は、上記説明した樹脂に含まれても、それ以外の成分、例えば以下に説明するモノマに含まれてもよい。特に、第1のマスク層103が樹脂及びモノマを含む場合、少なくとも樹脂に該環状部位を含むことが好ましい。環状部位としては、例えば、下記化学式群Aから選ばれる少なくとも1以上の環状部位が挙げられる。これらは、1種類のみを含んでも、2種類以上含まれてもよい。   Furthermore, since the cyclic portion includes at least one element selected from the group consisting of a 4-membered ring, a 5-membered ring, and a 6-membered ring, the packing property is improved and the energy of the mask layer is reduced. There is a tendency. That is, since the chemical action between the concavo-convex structure 101a of the mold 101 and the mask layer can be reduced, the transferability is improved and the dry etching resistance of the first mask layer 103 is greatly improved. For this reason, the processing precision of the to-be-processed object 200 improves, and the fine pattern 220 with high precision can be formed. Here, the cyclic portion may be contained in the above-described resin, or may be contained in other components, for example, a monomer described below. In particular, when the first mask layer 103 includes a resin and a monomer, it is preferable that at least the resin includes the cyclic portion. Examples of the cyclic moiety include at least one cyclic moiety selected from the following chemical formula group A. These may include only one type or two or more types.

Figure 0006307258
Figure 0006307258

Figure 0006307258
Figure 0006307258

Figure 0006307258
Figure 0006307258

Figure 0006307258
Figure 0006307258

本明細書においては、化学式中に表記される「*」は、「*」を介して他の元素に結合すると共に、「*」は酸素元素(O)、窒素元素(N)、硫黄元素(S)或いは炭素元素(C)のいずれかである。また、結合手の不足している部分は、水素元素(H)、メチル基(CH)、或いは水酸基(OH)へと結合する。 In the present specification, “*” represented in a chemical formula is bonded to another element via “*”, and “*” represents oxygen element (O), nitrogen element (N), sulfur element ( S) or carbon element (C). Further, the portion lacking a bond is bonded to a hydrogen element (H), a methyl group (CH 3 ), or a hydroxyl group (OH).

例えば、上記環状部位を含む樹脂として、ポリスチレン、ポリp−ヒドロキシスチレン、ポリ9−ビニルカルバゾール、カルバゾール骨格を有す樹脂、側鎖にカルバゾール骨格を有す樹脂、クレゾールノボラック骨格を有す樹脂、フェノールノボラック骨格を有す樹脂、ビスフェノールA骨格を有す樹脂、フルオレン骨格を有す樹脂、側鎖にアダマンタン骨格を有す樹脂、側鎖にアダマンチル骨格を有す樹脂、又は、側鎖にノルボルナン骨格を有す樹脂等が挙げられる。これらの環状部位を具備する樹脂に、既に説明した極性基を更に付帯させることで、転写性及び加工マスクとしての性能がより一層向上する。   For example, as the resin containing the cyclic moiety, polystyrene, poly-p-hydroxystyrene, poly-9-vinylcarbazole, a resin having a carbazole skeleton, a resin having a carbazole skeleton in the side chain, a resin having a cresol novolak skeleton, phenol Resin having novolak skeleton, resin having bisphenol A skeleton, resin having fluorene skeleton, resin having adamantane skeleton in side chain, resin having adamantyl skeleton in side chain, or norbornane skeleton in side chain Examples thereof include resins. Transferability and performance as a processing mask are further improved by further attaching the polar group described above to the resin having these cyclic sites.

また、樹脂は、アルカリ可溶性樹脂であってもよい。アルカリ可溶性の樹脂であることで、中間体201のマスク層を容易に現像し、パターニングすることができる。樹脂がアルカリ可溶性樹脂の場合、樹脂にカルボキシル基が含まれることが好ましい。カルボキシル基の量は、酸当量で100〜600が好ましく、より好ましくは300〜450である。酸当量とは、その中に1当量のカルボキシル基を有する線状重合体の質量を示す。なお、酸当量の測定は、平沼産業社製平沼自動滴定装置(COM−555)を使用し、0.1mol/Lの水酸化ナトリウム水溶液を用いて電位差滴定法により行われる。   The resin may be an alkali-soluble resin. By being an alkali-soluble resin, the mask layer of the intermediate 201 can be easily developed and patterned. When the resin is an alkali-soluble resin, the resin preferably contains a carboxyl group. The amount of the carboxyl group is preferably from 100 to 600, more preferably from 300 to 450, as an acid equivalent. An acid equivalent shows the mass of the linear polymer which has a 1 equivalent carboxyl group in it. The acid equivalent is measured by a potentiometric titration method using a Hiranuma automatic titration apparatus (COM-555) manufactured by Hiranuma Sangyo Co., Ltd., using a 0.1 mol / L sodium hydroxide aqueous solution.

また、下記の2種類の単量体の中より、各々1種又はそれ以上の単量体を共重合させることにより得られる樹脂を使用することもできる。第1の単量体は、分子中に重合性不飽和基(例えば、アクリレート或いはメタクリレート)を1個有するカルボン酸又は酸無水物である。第2の単量体は、非酸性で、分子中に重合性不飽和基を1個有する化合物であり硬化膜の可撓性、耐ドライエッチング性等の種々の特性を保持するように選ばれる。第1の単量体及び第2の単量体の選定により、既に説明した極性基を任意に樹脂に含ませることができる。   In addition, a resin obtained by copolymerizing one or more monomers from the following two types of monomers can also be used. The first monomer is a carboxylic acid or acid anhydride having one polymerizable unsaturated group (for example, acrylate or methacrylate) in the molecule. The second monomer is non-acidic and is a compound having one polymerizable unsaturated group in the molecule, and is selected so as to retain various properties such as flexibility of the cured film and resistance to dry etching. . By selecting the first monomer and the second monomer, the polar group already described can be arbitrarily included in the resin.

特に、第1のマスク層103は、上記説明した樹脂の他に、モノマを含むことが好ましい。即ち、樹脂及びモノマを含むことが好ましい。ここで、モノマは本明細書により定義される樹脂以外の物質、且つ、固体微粒子や固体フィラー以外の物質として定義する。即ち、有機物、無機物又は有機無機複合体のいずれも採用できる。この場合、樹脂により運動性を阻害されたモノマが、第2の積層体2を被処理体20に当接する際に、その運動性を開放され、第1のマスク層103の表層の流動性をより向上させることができる。このため、マスク層と被処理体20との接着面積の増加をより促進することができる。   In particular, the first mask layer 103 preferably contains a monomer in addition to the resin described above. That is, it preferably contains a resin and a monomer. Here, the monomer is defined as a substance other than the resin defined by the present specification and a substance other than the solid fine particles and the solid filler. That is, any of an organic substance, an inorganic substance, and an organic-inorganic composite can be employed. In this case, the monomer whose mobility is inhibited by the resin is released when the second laminated body 2 is brought into contact with the object to be processed 20, and the fluidity of the surface layer of the first mask layer 103 is reduced. It can be improved further. For this reason, the increase in the adhesion area of a mask layer and the to-be-processed object 20 can be promoted more.

樹脂とモノマとの組み合わせは、(樹脂/モノマ)と記載すれば、(有機物/有機物)、(有機物/無機物)、(無機物/無機物)、又は(無機物/有機物)のいずれであってもよい。例えば、(有機物/無機物)であれば、上記説明した樹脂要件を満たす有機樹脂に対して金属アルコキシドを加えることができる。(無機物/無機物)であれば、上記要件を満たす樹脂要件を満たす無機樹脂、例えば、金属ポリマや金属酸化物ポリマに対して、金属アルコキシドを加えることができる。また、例えば(無機物/有機物)であれば、上記要件を満たす樹脂要件を満たす無機樹脂、例えば、金属ポリマや金属酸化物ポリマに対して、有機モノマを加えることができる。なお、金属アルコキシドは単量体として使用しても、縮合した数量体、或いはオリゴマ体を使用してもよい。なお、金属ポリマや金属酸化物ポリマとは、チタンポリマ、チタニアポリマ、チタニアオリゴマやシリコーン等であり、金属元素が連なった分子や金属元素が酸素元素を介して連なった分子の総称である。   The combination of resin and monomer may be any of (organic matter / organic matter), (organic matter / inorganic matter), (inorganic matter / inorganic matter), or (inorganic matter / organic matter) as long as it is described as (resin / monomer). For example, in the case of (organic matter / inorganic matter), a metal alkoxide can be added to an organic resin that satisfies the above-described resin requirements. If it is (inorganic / inorganic), a metal alkoxide can be added to an inorganic resin that satisfies the above-mentioned requirements, such as a metal polymer or a metal oxide polymer. Further, for example, in the case of (inorganic / organic), an organic monomer can be added to an inorganic resin that satisfies the resin requirement satisfying the above requirements, for example, a metal polymer or a metal oxide polymer. The metal alkoxide may be used as a monomer, or a condensed quanta or oligomer may be used. The metal polymer or metal oxide polymer is a titanium polymer, titania polymer, titania oligomer, silicone, or the like, and is a general term for molecules in which metal elements are connected or molecules in which metal elements are connected through oxygen elements.

特に、この場合、樹脂或いはモノマの少なくとも一方は硬化性物質であることが好ましいく、少なくともモノマが硬化性物質であることが好ましい。硬化性物質は、上述の樹脂が硬化性樹脂である場合についての説明において、硬化性樹脂の樹脂を物質に置き換えればよい。この場合、第1のマスク層103の収縮作用が大きくなるため、凹凸構造101aとマスク層との界面接着強度が低下し、転写性が向上する。更に、以下に説明する第1のマスク層103の軟化点が高くなることから、微細パタン220の精度が向上する。特に、樹脂及びモノマが共に硬化性物質であると、該効果はより大きくなる。なお、硬化性物質を含む場合、樹脂が硬化性樹脂である場合について上記説明したように、硬化開始剤を含むことが好ましい。   In particular, in this case, at least one of the resin and the monomer is preferably a curable substance, and at least the monomer is preferably a curable substance. In the description of the case where the above-described resin is a curable resin, the curable substance may be replaced with a substance of the curable resin. In this case, since the contraction action of the first mask layer 103 is increased, the interface adhesive strength between the concavo-convex structure 101a and the mask layer is reduced, and the transferability is improved. Further, since the softening point of the first mask layer 103 described below is increased, the accuracy of the fine pattern 220 is improved. In particular, when both the resin and the monomer are curable substances, the effect becomes greater. In addition, when a curable substance is included, it is preferable to include a curing initiator as described above for the case where the resin is a curable resin.

樹脂及びモノマを含む場合、モノマの粘度は25℃において概ね5cP以上5000cP以下であると好ましく、8cP以上2500cP以下であるとより好ましく、10cP以上1500cP以下であると最も好ましい。なお、ここでの粘度は、使用するモノマ全てを混合した時の混合物に対する粘度を意味する。また、第1のマスク層103と被処理体200との界面の接着強度の固定化及びマスク層の物理安定性の向上、そして第1のマスク層103の軟化点の観点から、モノマの平均官能基数は、概ね1以上6以下が好ましく、1以上4以下が好ましく、1.5以上3以下が最も好ましい。   When the resin and the monomer are included, the viscosity of the monomer is preferably approximately 5 cP to 5000 cP at 25 ° C., more preferably 8 cP to 2500 cP, and most preferably 10 cP to 1500 cP. In addition, the viscosity here means the viscosity with respect to the mixture when all the monomers to be used are mixed. Further, from the viewpoint of fixing the adhesive strength at the interface between the first mask layer 103 and the target object 200, improving the physical stability of the mask layer, and the softening point of the first mask layer 103, the average functionality of the monomer The number of groups is generally preferably from 1 to 6, preferably from 1 to 4, and most preferably from 1.5 to 3.

なお、モノマは、上記化学式群(A)から選ばれる環状部位を含むモノマであると、環状部位による物理的安定性の効果と、凹凸構造101aの表面と、の化学的相互作用の低減の効果が大きくなる傾向にあるため、転写性が向上する。更に、環状部位によるエネルギの安定化の効果に伴い、加工マスクとしての性能が大きくなる。   When the monomer is a monomer including a cyclic moiety selected from the chemical formula group (A), the physical stability effect due to the cyclic moiety and the chemical interaction reduction effect with the surface of the concavo-convex structure 101a are reduced. Tends to increase, transferability is improved. Furthermore, with the effect of stabilizing the energy by the annular portion, the performance as a processing mask increases.

上記説明したように少なくとも樹脂を含むことで、第1のマスク層103の露出面を、非液体状態にすることができる。ここで、非液体状態とは、液体及び気体ではないこと、として定義する。即ち、本実施の形態に係る第2の積層体2の第1のマスク層103の表面は、気体のように無形体ではなく、液体のようにその形状を自ら保持できない状態ではないことを意味する。例えば、半固体状、ゲル状、粘着状又は固体状といった表現を使用することができる。特に、第2の積層体2を平面Aに置いた状態から、第2の積層体2を平面Aに対してその底面を含む平面Bが60度をなすように、第2の積層体2を傾けて10分間静置したときに、傾ける前後における第1のマスク層103の膜厚変動が実質的にない状態として定義することもできる。この時の測定点は傾ける前後において同様の位置とする。ここで、膜厚変動が実質的にないとは、測定機器が持つ誤差等の様々な測定状況に応じて生じる測定誤差があっても変動がないことを意味する。   As described above, by including at least the resin, the exposed surface of the first mask layer 103 can be in a non-liquid state. Here, the non-liquid state is defined as being neither liquid nor gas. That is, it means that the surface of the first mask layer 103 of the second stacked body 2 according to the present embodiment is not an intangible body like a gas and is not in a state where it cannot hold its shape like a liquid. To do. For example, expressions such as semi-solid, gel, sticky or solid can be used. In particular, from the state in which the second laminated body 2 is placed on the plane A, the second laminated body 2 is set so that the plane B including the bottom surface of the second laminated body 2 with respect to the plane A forms 60 degrees. It can also be defined as a state in which there is substantially no variation in the film thickness of the first mask layer 103 before and after the tilting, when it is left to stand for 10 minutes. The measurement point at this time is the same position before and after tilting. Here, “substantially no film thickness fluctuation” means that there is no fluctuation even if there is a measurement error that occurs in accordance with various measurement situations such as an error of the measuring instrument.

第2の積層体2の第1のマスク層103の露出面がこのような非液体状態を満たすことにより、第2の積層体2のマスク層の配置及び膜厚精度の維持性が高くなる。なお、最も好ましくは、第2の積層体2の第1のマスク層103の表面のタック性が抑制されている状態である。特に、温度20℃且つ遮光下にて非液体状態であることが好ましい。   When the exposed surface of the first mask layer 103 of the second stacked body 2 satisfies such a non-liquid state, the arrangement of the mask layer of the second stacked body 2 and the maintainability of film thickness accuracy are enhanced. Most preferably, the tackiness of the surface of the first mask layer 103 of the second stacked body 2 is suppressed. In particular, it is preferably in a non-liquid state at a temperature of 20 ° C. and under light shielding.

更に、第1のマスク層103は、温度20℃且つ遮光下にて非液体状態であると共に、20℃超300℃以下の温度範囲の中でタック性を示すか、又は、タック性が増加することが好ましい。これにより、第2の積層体2を被処理体20に直接当接する際に、所定の温度を加えることで、第1のマスク層103の表層の接着性が発現され、又は接着性が増加する。これにより、第1のマスク層103全体の流動性を抑制しつつ、第1のマスク層103と被処理体20の界面の流動性を向上させることができるため、第1のマスク層103と被処理体20と、第2の積層体2として予め決定したマスク層の精度を反映させた中間体201を得ることができる。例えば、樹脂の幹ポリマが、熱により運動を開始しタック性を発現することとなる。中でも、温度20℃且つ遮光下にてタック性を抑制された非液体状態である第1のマスク層103が、上記温度範囲の中でタック性を発現することが最も好ましい。特に、上記説明した極性基を含む樹脂を含むことで、タック性を容易に発現することができる。   Further, the first mask layer 103 is in a non-liquid state at a temperature of 20 ° C. and under light shielding, and exhibits a tack property within a temperature range of more than 20 ° C. and not more than 300 ° C. or increases the tack property. It is preferable. Thereby, when the second laminated body 2 is brought into direct contact with the object 20 to be processed, the adhesiveness of the surface layer of the first mask layer 103 is expressed or the adhesiveness is increased by applying a predetermined temperature. . Accordingly, the fluidity of the interface between the first mask layer 103 and the object to be processed 20 can be improved while suppressing the fluidity of the entire first mask layer 103. The intermediate body 201 reflecting the accuracy of the mask layer determined in advance as the processing body 20 and the second stacked body 2 can be obtained. For example, a resin trunk polymer starts to move by heat and develops tackiness. Among these, it is most preferable that the first mask layer 103 in a non-liquid state in which tackiness is suppressed at a temperature of 20 ° C. under light shielding exhibits tackiness in the above temperature range. In particular, by including the resin containing the polar group described above, tackiness can be easily expressed.

樹脂の総量とモノマの総量とは、重量部にて25:75〜100:0であると、第2の積層体2としてはタック性がないか又は極めて小さい状態であり、第2の積層体2を使用する際に初めてタック性を発現することができる。この観点から、40:60〜100:0がより好ましく、55:45〜100:0が最も好ましい。なお、上記説明したように、低分子量のモノマと、高分子量のオリゴマ或いはポリマである樹脂とを混合する場合、高分子量のオリゴマ又はポリマである樹脂は、一般的にバインダ樹脂と称される。また、バインダ樹脂及びモノマが共に硬化性物質、例えば光硬化性物質の場合、モノマは一般的にクロスリンカと称される。   If the total amount of resin and the total amount of monomers are 25:75 to 100: 0 by weight, the second laminate 2 is not tacky or very small, and the second laminate When using 2, tackiness can be expressed for the first time. In this respect, 40:60 to 100: 0 is more preferable, and 55:45 to 100: 0 is most preferable. As described above, when a low molecular weight monomer and a high molecular weight oligomer or polymer resin are mixed, the high molecular weight oligomer or polymer resin is generally referred to as a binder resin. In addition, when both the binder resin and the monomer are curable materials, for example, photocurable materials, the monomers are generally referred to as crosslinkers.

更に、第1のマスク層103に染料、顔料等の着色物質を含有させることもできる。着色物を含有することで、第1のマスク層103を被処理体20に転写形成した際に、凹凸構造101aの大きさが可視光の波長より十分小さい場合にも、転写が良好に行われているかを、目視及び光学式検知手段により判断することができる。更に、モールド101の凹凸構造101a上に成膜された第1のマスク層103の品質管理に、着色物質の吸収を利用することができる。着色物質は、第1のマスク層103の凹凸構造101a由来の機能に支障をきたさぬように適宜選定できる。   Further, the first mask layer 103 can contain coloring substances such as dyes and pigments. By containing the coloring matter, when the first mask layer 103 is transferred and formed on the object to be processed 20, the transfer can be performed well even when the size of the uneven structure 101a is sufficiently smaller than the wavelength of visible light. It can be judged by visual observation and optical detection means. Furthermore, the absorption of the coloring substance can be used for quality control of the first mask layer 103 formed on the concavo-convex structure 101 a of the mold 101. The coloring substance can be appropriately selected so as not to hinder the function derived from the concavo-convex structure 101 a of the first mask layer 103.

用いられる着色物質としては、例えば、フクシン、フタロシアニングリーン、オーラミン塩基、カルコキシドグリーンS、パラマジエンタ、クリスタルバイオレット、メチルオレンジ、ナイルブルー2B、ビクトリアブルー、マラカイトグリーン(保土ヶ谷化学社製アイゼン(登録商標)MALACHITEGREEN)、ベイシックブルー20、及び、ダイアモンドグリーン(保土ヶ谷化学社製アイゼン(登録商標)DIAMONDGREENGH)が挙げられる。   Examples of coloring substances used include fuchsin, phthalocyanine green, auramin base, chalcoxide green S, paramadienta, crystal violet, methyl orange, Nile Blue 2B, Victoria Blue, Malachite Green (Eisen (registered trademark) MALACHITEGREEN manufactured by Hodogaya Chemical Co., Ltd.). ), Basic Blue 20 and Diamond Green (Eizen (registered trademark) DIAMOND GREENGH manufactured by Hodogaya Chemical Co., Ltd.).

光照射により発色する発色系染料としては、例えば、ロイコ染料又はフルオラン染料と、ハロゲン化合物の組み合わせがある。   Examples of coloring dyes that develop color by light irradiation include a combination of a leuco dye or a fluoran dye and a halogen compound.

ロイコ染料としては、例えば、トリス(4−ジメチルアミノ−2−メチルフェニル)メタン[ロイコクリスタルバイオレット]、及び、トリス(4−ジメチルアミノ−2−メチルフェニル)メタン[ロイコマラカイトグリーン]が挙げられる。   Examples of the leuco dye include tris (4-dimethylamino-2-methylphenyl) methane [leuco crystal violet] and tris (4-dimethylamino-2-methylphenyl) methane [leucomalachite green].

ハロゲン化合物としては、臭化アミル、臭化イソアミル、臭化イソブチレン、臭化エチレン、臭化ジフェニルメチル、臭化ベンザル、臭化メチレン、トリブロモメチルフェニルスルフォン、四臭化炭素、トリス(2,3−ジブロモプロピル)ホスフェート、トリクロロアセトアミド、ヨウ化アミル、ヨウ化イソブチル、1,1,1−トリクロロ−2,2−ビス(p−クロロフェニル)エタン、ヘキサクロロエタン、トリアジン化合物等が挙げられる。該トリアジン化合物としては、2,4,6−トリス(トリクロロメチル)−s−トリアジン、2−(4−メトキシフェニル)−4,6−ビス(トリクロロメチル)−s−トリアジンが挙げられる。このような発色系染料の中でも、トリブロモメチルフェニルスルフォンとロイコ染料との組み合わせや、トリアジン化合物とロイコ染料との組み合わせが有用である。   Halogen compounds include amyl bromide, isoamyl bromide, isobutylene bromide, ethylene bromide, diphenylmethyl bromide, benzal bromide, methylene bromide, tribromomethylphenyl sulfone, carbon tetrabromide, tris (2,3 -Dibromopropyl) phosphate, trichloroacetamide, amyl iodide, isobutyl iodide, 1,1,1-trichloro-2,2-bis (p-chlorophenyl) ethane, hexachloroethane, triazine compounds and the like. Examples of the triazine compound include 2,4,6-tris (trichloromethyl) -s-triazine and 2- (4-methoxyphenyl) -4,6-bis (trichloromethyl) -s-triazine. Among such coloring dyes, a combination of tribromomethylphenylsulfone and a leuco dye or a combination of a triazine compound and a leuco dye is useful.

第1のマスク層103は、酸化防止剤を含むことができる。ここで、酸化防止剤は光安定剤であることが好ましい。光安定剤は、ラジカル連鎖開始阻止剤、ラジカル捕捉剤、過酸化物分解剤に分類でき、いずれも採用できる。ラジカル連鎖開始阻止剤は、更に、重金属不活性化剤と紫外線吸収剤に分類でき、重金属不活性化剤には主にヒドラジド系とアミド系があり、紫外線吸収剤には主にベンゾトリアゾール系、ベンゾフェノン系、そしてトリアジン系と、がある。これらの中では紫外線吸収剤がより好ましい。紫外線吸収剤を含ませることにより、第1のマスク層103を光学的に安定化できるため、第2の積層体2を使用に好適な場所にて使用できる。また、ラジカル捕捉剤は、HALS及びフェノール系酸化防止剤に分類できる。   The first mask layer 103 can include an antioxidant. Here, the antioxidant is preferably a light stabilizer. The light stabilizer can be classified into a radical chain initiation inhibitor, a radical scavenger, and a peroxide decomposer, and any of them can be adopted. Radical chain initiation inhibitors can be further classified into heavy metal deactivators and UV absorbers, heavy metal deactivators mainly include hydrazide and amide types, UV absorbers mainly include benzotriazole, There are benzophenone series and triazine series. Among these, an ultraviolet absorber is more preferable. By including the ultraviolet absorber, the first mask layer 103 can be optically stabilized, so that the second laminate 2 can be used in a place suitable for use. Further, radical scavengers can be classified into HALS and phenolic antioxidants.

ラジカル捕捉剤は、ラジカル重合禁止剤とも称される。例えば、p−メトキシフェノール、ハイドロキノン、ピロガロール、ナフチルアミン、tert−ブチルカテコール、塩化第一銅、2,6−ジ−tert−ブチル−p−クレゾール、2,2’−メチレンビス(4−エチル−6−tert−ブチルフェノール)、2,2’−メチレンビス(4−メチル−6−tert−ブチルフェノール)、及び、ジフェニルニトロソアミンが挙げられる。また、ヒンダードアミン系光安定化剤であるHALSは特に酸化防止効果が大きいため好ましい。HALSの場合、ニトロキシラジカルの酸化体がラジカルを捕捉すると考えらえる。この場合、ニトロキシラジカルがアルコキシアミン体を経由し、再生されると考えらえる。即ち、長期に渡り酸化防止機能を発現できることから、第2の積層体2の安定性を向上できる。特にリン系の酸化防止剤と併用することで、HALS再生効果が大きくなるため好ましい。また、過酸化物分解剤は主に、リン系酸化防止剤と硫黄系酸化防止剤に分類できるが、これはいずれを採用することもできる。特にリン系酸化防止剤が好ましい。これは、リン系酸化防止剤を使用することで、第2の積層体2の安定性が向上するばかりか、被処理体200をドライエッチング加工する際の第1のマスク層103の安定性が向上するためである。この観点から、リン系の難燃剤を併用することもできる。以上説明した酸化防止剤は、公知市販のものを使用できる。また、リン系の酸化防止剤としては、例えば、トリフェニルホスフィンを採用できる。   The radical scavenger is also referred to as a radical polymerization inhibitor. For example, p-methoxyphenol, hydroquinone, pyrogallol, naphthylamine, tert-butylcatechol, cuprous chloride, 2,6-di-tert-butyl-p-cresol, 2,2′-methylenebis (4-ethyl-6- tert-butylphenol), 2,2′-methylenebis (4-methyl-6-tert-butylphenol), and diphenylnitrosamine. Further, HALS, which is a hindered amine light stabilizer, is particularly preferable because of its great antioxidant effect. In the case of HALS, it can be considered that an oxidized form of a nitroxy radical captures the radical. In this case, it is considered that the nitroxy radical is regenerated through the alkoxyamine body. That is, since the antioxidant function can be expressed for a long time, the stability of the second laminate 2 can be improved. In particular, it is preferable to use it together with a phosphorus-based antioxidant because the HALS regeneration effect is increased. In addition, the peroxide decomposing agent can be mainly classified into a phosphorus-based antioxidant and a sulfur-based antioxidant, either of which can be adopted. In particular, phosphorus antioxidants are preferred. This is because not only the stability of the second stacked body 2 is improved by using a phosphorus antioxidant, but also the stability of the first mask layer 103 when the object 200 is dry-etched. It is for improving. From this viewpoint, a phosphorus-based flame retardant can also be used in combination. The antioxidant demonstrated above can use a well-known commercially available thing. Further, as the phosphorus-based antioxidant, for example, triphenylphosphine can be employed.

また、マスク層中に、必要に応じて可塑剤等の添加剤を含有させることもできる。そのような添加剤としては、例えば、ジエチルフタレート等のフタル酸エステル類、pートルエンスルホンアミド、ポリプロピレングリコール、及び、ポリエチレングリコールモノアルキルエーテルが挙げられる。   Moreover, additives, such as a plasticizer, can also be contained in a mask layer as needed. Examples of such additives include phthalic acid esters such as diethyl phthalate, p-toluenesulfonamide, polypropylene glycol, and polyethylene glycol monoalkyl ether.

また、第1のマスク層103の中に、反応促進剤を添加することが出来る。反応促進剤としては、光酸発生剤、光塩基発生剤又は、多官能チオール等を添加出来る。これらの添加量は、反応率と硬度と、から適宜最適化することが出来るが、概ね、第1のマスク層103全体に対して、0.05重量%〜30重量%の間である。光酸発生剤は、紫外線等の活性エネルギを受けることにより、酸を発生する化合物であればよく、例えば、スルホニウム塩、ヨードニウム塩といった芳香族オニウム塩が挙げられる。光酸発生剤により発生した酸により反応を促進する。スルホニウム塩としては、例えば、トリフェニルスルホニウム、ジフェニル−4−チオフェノキシスルホニウム等が挙げられる。ヨードニウム塩としては、例えば、ジフェニルヨードニウム、ビス(ドデシルフェニル)ヨードニウム、4−イソプロピル−4’−メチルジフェニルヨードニウム等が挙げられる。光酸発生剤として、公知慣用の光酸発生剤を単独で又は2種以上を組み合わせて用いることが出来る。上記の芳香族オニウム塩の対アニオンの例としては、テトラフルオロボレード、ヘキサフルオロホスフェート、ヘキサフルオロアンチモネート、ヘキサフルオロアルセネート、ヘキサクロロアンチモネート、テトラキス(ペンタフルオロフェニル)ボレート、過塩素酸イオン、トリフルオロメタンスルオン酸イオン、ビス(トリフルオロメタンスルホニル)イミド酸イオン、ビス(ペンタフルオロエチルスルホニル)イミド酸イオン等が挙げられる。更に、増感剤を添加することも出来る。使用出来る増感剤としては、例えば、アントラセン、1,9−ジブトキシアントラセン、1,9−ジプロポキシアントラセン、カルバゾール、フェノチアジン、ペリレン、キサントン、チオキサントン、ベンゾフェノンチオキサントン、2−4−ジエチル−9H−チオキサンテン−1−オンが挙げられ、公知慣用の増感剤を単独で又は2種以上を組み合わせて用いることが出来る。   Further, a reaction accelerator can be added to the first mask layer 103. As a reaction accelerator, a photoacid generator, a photobase generator, or a polyfunctional thiol can be added. These addition amounts can be optimized as appropriate from the reaction rate and hardness, but are generally between 0.05 wt% and 30 wt% with respect to the entire first mask layer 103. The photoacid generator may be a compound that generates an acid by receiving active energy such as ultraviolet rays, and examples thereof include aromatic onium salts such as sulfonium salts and iodonium salts. The reaction is accelerated by the acid generated by the photoacid generator. Examples of the sulfonium salt include triphenylsulfonium, diphenyl-4-thiophenoxysulfonium, and the like. Examples of the iodonium salt include diphenyliodonium, bis (dodecylphenyl) iodonium, 4-isopropyl-4'-methyldiphenyliodonium, and the like. As the photoacid generator, known and commonly used photoacid generators can be used alone or in combination of two or more. Examples of counter anions of the above aromatic onium salts include tetrafluoroborate, hexafluorophosphate, hexafluoroantimonate, hexafluoroarsenate, hexachloroantimonate, tetrakis (pentafluorophenyl) borate, perchlorate ion, Examples thereof include trifluoromethanesulfonate ion, bis (trifluoromethanesulfonyl) imidate ion, and bis (pentafluoroethylsulfonyl) imidate ion. Furthermore, a sensitizer can also be added. Usable sensitizers include, for example, anthracene, 1,9-dibutoxyanthracene, 1,9-dipropoxyanthracene, carbazole, phenothiazine, perylene, xanthone, thioxanthone, benzophenone thioxanthone, 2-4-diethyl-9H-thio Xanthen-1-one can be mentioned, and known and commonly used sensitizers can be used alone or in combination of two or more.

なお、第1のマスク層103は、多層構造であっても、多相構造であってもよい。これらの層数や相数は、微細マスクパタン202aや微細パタン220の観点から、適宜設計できる事項である。   Note that the first mask layer 103 may have a multilayer structure or a multiphase structure. The number of layers and the number of phases are matters that can be appropriately designed from the viewpoint of the fine mask pattern 202a and the fine pattern 220.

安定化後の第1のマスク層103のTg(ガラス転位温度)は、30℃〜250℃であることが好ましく、60℃〜200℃であるとより好ましい。なお、安定化とは、第1のマスク層103に硬化性物質が含まれる場合、該硬化性物質を硬化した状態である。   The Tg (glass transition temperature) of the first mask layer 103 after stabilization is preferably 30 ° C. to 250 ° C., and more preferably 60 ° C. to 200 ° C. Note that stabilization refers to a state where the curable substance is cured when the first mask layer 103 includes a curable substance.

特に、第2の積層体2に対して高圧水銀灯光源を使用し積算光量が1500mJ/cmになるまで、N雰囲気下にて光を照射し、第1のマスク層103を硬化させ、硬化した第1のマスク層103に対して以下に説明する押し込み試験を行い求められる軟化点が、45℃以上であることが好ましい。この場合、第1のマスク層103を加工マスクとして被処理体200をドライエッチング加工する際の、第1のマスク層103の物理的安定性が向上することから、被処理体200の加工精度を向上できる。同様の観点から、該軟化点は60℃以上であることが好ましく、95℃以上であることがより好ましく、105℃以上であると更に好ましい。また、該軟化点が110℃以上である場合、被処理体200の加工速度を向上させた場合であっても、第1のマスク層103の物理的安定性を向上できる。即ち、加工速度高く、且つ、加工精度高く、被処理体200をドライエッチング加工できる。同様の観点から、該軟化点は135℃以上であることが好ましく、185℃以上であることが最も好ましい。 In particular, a high pressure mercury lamp light source is used for the second laminated body 2 and light is irradiated in an N 2 atmosphere until the integrated light quantity reaches 1500 mJ / cm 2 to cure the first mask layer 103 and cure. The softening point obtained by performing an indentation test described below on the first mask layer 103 is preferably 45 ° C. or higher. In this case, since the physical stability of the first mask layer 103 is improved when the object 200 is dry-etched using the first mask layer 103 as a processing mask, the processing accuracy of the object 200 is improved. Can be improved. From the same viewpoint, the softening point is preferably 60 ° C. or higher, more preferably 95 ° C. or higher, and further preferably 105 ° C. or higher. In addition, when the softening point is 110 ° C. or higher, the physical stability of the first mask layer 103 can be improved even when the processing speed of the object to be processed 200 is improved. That is, the workpiece 200 can be dry-etched with high processing speed and high processing accuracy. From the same viewpoint, the softening point is preferably 135 ° C. or higher, and most preferably 185 ° C. or higher.

なお、上限値は特に限定されないが、第2の積層体2を被処理体200に貼合する際の密着性の観点から、300℃以下であることが好ましい。また、ここでの軟化点は、走査型プローブ顕微鏡(SPM)を用い測定される軟化点のことを指す。プローブを押し付ける押圧力を一定に制御し、プローブの温度を変化させることで測定することができる。特に、株式会社日立ハイテクサイエンス社製のナノサーマル顕微鏡(nano−TA)を使用できる。   In addition, although an upper limit is not specifically limited, From an adhesive viewpoint at the time of bonding the 2nd laminated body 2 to the to-be-processed object 200, it is preferable that it is 300 degrees C or less. The softening point here refers to a softening point measured using a scanning probe microscope (SPM). Measurement can be performed by controlling the pressing force for pressing the probe constant and changing the temperature of the probe. In particular, a nano thermal microscope (nano-TA) manufactured by Hitachi High-Tech Science Co., Ltd. can be used.

また、マスク層の内部に残存する溶剤の濃度は、以下の基準に従い測定した際に、2100(g/ml)/m以下であると好ましい。この範囲を満たすことにより、第2の積層体2の被処理体200に対する貼合精度、第2のマスク層102による第1のマスク層103の加工精度、第1のマスク層103による被処理体200の加工精度を向上できる。前記効果をより発揮する観点から、1200(g/ml)/m以下であることが好ましく、600(g/ml)/m以下であることがより好ましく、250(g/ml)/m以下であることが最も好ましい。更に、中間体201を得る際の転写精度をよりいっそう向上させる観点から、170(g/ml)/m以下であることが好ましく、150(g/ml)/m以下であることがより好ましく、130(g/ml)/m以下であることが最も好ましい。
1.第2の積層体2を20mm×20mmにカットし、10mLのアセトンにてメスアップし、溶液を採取する。
2.採取した溶液を、GC/MS装置を使用しSIM法により分析を行い、溶剤量を「g/ml」のディメンジョンにて求める。
3.第2の積層体2の第1のマスク層103及び第2のマスク層102の平均総厚みhave[m]を求める。
4.2.の結果を、第1のマスク層103及び第2のマスク層102の体積(0.02m×0.02m×have)にて除した値。
The concentration of the solvent remaining inside the mask layer is preferably 2100 (g / ml) / m 3 or less when measured according to the following criteria. By satisfying this range, the bonding accuracy of the second laminate 2 to the object 200, the processing accuracy of the first mask layer 103 by the second mask layer 102, and the object to be processed by the first mask layer 103 200 machining accuracy can be improved. From the viewpoint of more exerting the effect, it is preferably 1200 (g / ml) / m 3 or less, more preferably 600 (g / ml) / m 3 or less, 250 (g / ml) / m. Most preferably, it is 3 or less. Furthermore, from the viewpoint of further improving the transfer accuracy in obtaining the intermediate 201, it is preferably 170 (g / ml) / m 3 or less, more preferably 150 (g / ml) / m 3 or less. Preferably, it is most preferably 130 (g / ml) / m 3 or less.
1. The 2nd laminated body 2 is cut into 20 mm x 20 mm, measured up with 10 mL acetone, and a solution is extract | collected.
2. The collected solution is analyzed by the SIM method using a GC / MS apparatus, and the amount of the solvent is obtained with a dimension of “g / ml”.
3. The average total thickness have [m] of the first mask layer 103 and the second mask layer 102 of the second stacked body 2 is obtained.
4.2. The value obtained by dividing the result by the volume of the first mask layer 103 and the second mask layer 102 (0.02 m × 0.02 m × have).

[選択比]
微細マスクパタン202aを被処理体200上に形成する観点から、第2のマスク層102をマスクとして用いた第1のマスク層103の加工は、ドライエッチングであることが好ましい。このドライエッチングによる第2のマスク層102のエッチングレート(Rm2)と、第1のマスク層103のエッチングレート(Rm1)と、の比率である選択比(Rm1/Rm2)は、微細マスクパタン202aの精度に影響を与える。選択比(Rm1/Rm2)>1は、第2のマスク層102が第1のマスク層103よりもエッチングされにくいことを意味するため、大きいほど好ましい。また、凹部内マスク層102aの配置性の観点から、選択比(Rm1/Rm2)は1000以下であることが好ましく、150以下がより好ましく、100以下が最も好ましい。
[Selection ratio]
From the viewpoint of forming the fine mask pattern 202a on the workpiece 200, the processing of the first mask layer 103 using the second mask layer 102 as a mask is preferably dry etching. The selection ratio (Rm1 / Rm2), which is the ratio between the etching rate (Rm2) of the second mask layer 102 by this dry etching and the etching rate (Rm1) of the first mask layer 103, is the fine mask pattern 202a. Affects accuracy. Since the selection ratio (Rm1 / Rm2)> 1 means that the second mask layer 102 is less likely to be etched than the first mask layer 103, it is preferably as large as possible. Further, from the viewpoint of the disposition property of the in-recess mask layer 102a, the selection ratio (Rm1 / Rm2) is preferably 1000 or less, more preferably 150 or less, and most preferably 100 or less.

以上のような観点から、選択比(Rm1/Rm2)が、下記式(i)を満たすが好ましい。これにより、第2のマスク層102の耐エッチング性が向上し、第2のマスク層102のエッチング量が低減されるので、微細パタン構造体202の微細マスクパタン202aの精度が向上する。
式(i)
3≦Rm1/Rm2
From the above viewpoint, it is preferable that the selection ratio (Rm1 / Rm2) satisfies the following formula (i). Thereby, the etching resistance of the second mask layer 102 is improved and the etching amount of the second mask layer 102 is reduced, so that the accuracy of the fine mask pattern 202a of the fine pattern structure 202 is improved.
Formula (i)
3 ≦ Rm1 / Rm2

また、選択比(Rm1/Rm2)は、10以上であることがより好ましく、15以上であることが更に好ましい。選択比(Rm1/Rm2)が上記範囲を満たすことにより、厚みのある第1のマスク層103を用いた場合であっても、微細マスクパタン202aを、被処理体200上に形成することができる。このような、微細マスクパタン202aを用いることで、被処理体200を容易にドライエッチング加工することや、被処理体200上に超撥水性や超親水性、粘着性、センサといった機能を付与することができる。例えば、センサの場合、第2のマスク層102に金や銀に代表される金属を選定することでセンサを作製できる。このような金属表面に微量物質(所定の病気の進行度又は感染度等をはかる指標となる分子等)が付着した場合、金属表面の表面プラズモン(表面プラズモンポラリトン)を利用し、測定困難なppmやppbといった微量濃度であっても、光学系により感度を倍増させ検知することが可能となる。   The selection ratio (Rm1 / Rm2) is more preferably 10 or more, and further preferably 15 or more. When the selection ratio (Rm1 / Rm2) satisfies the above range, the fine mask pattern 202a can be formed on the target object 200 even when the first mask layer 103 having a thickness is used. . By using such a fine mask pattern 202a, the object to be processed 200 can be easily dry-etched, and functions such as super water repellency, super hydrophilicity, adhesiveness, and sensor can be provided on the object 200. be able to. For example, in the case of a sensor, the sensor can be manufactured by selecting a metal typified by gold or silver for the second mask layer 102. When trace substances (molecules that measure the degree of progression or infection of a given disease) adhere to such metal surfaces, it is difficult to measure using surface plasmons (surface plasmon polaritons) on metal surfaces. Even a trace concentration such as ppb or ppb can be detected by doubling the sensitivity by the optical system.

一方、微細マスクパタン202aを得る際の第1のマスク層103のエッチング時の横方向のエッチングレート(Vo//)と、縦方向のエッチングレート(Vo)、との比率である異方性(Vo/Vo//)は、1超であることが好ましく、より大きいほど好ましい。なお、縦方向とは、第1のマスク層103の膜厚方向を意味し、横方向とは、第1のマスク層103の面内方向を意味する。また異方性(Vo/Vo//)は、微細マスクパタン202aの高さを高くする観点から、2以上であることが好ましく、3.5以上であることがより好ましく、10以上であることが更に好ましい。得られる微細マスクパタン202aを用い、被処理体200を加工する場合は、ピッチがサブミクロン以下の領域においては、シャドー効果やローディング効果の影響が大きくなることから、微細マスクパタン202aの高さを高く且つ幹の太さを太くする必要がある。上記範囲を満たすことで、微細マスクパタン202aの幹の太さをを大きく保つことができるため、好ましい。 On the other hand, anisotropy is a ratio between the etching rate (Vo // ) in the horizontal direction and the etching rate ( Vo⊥ ) in the vertical direction when the first mask layer 103 is etched when obtaining the fine mask pattern 202a. ( Vo⊥ / Vo // ) is preferably more than 1, more preferably as it is larger. Note that the vertical direction means the film thickness direction of the first mask layer 103, and the horizontal direction means the in-plane direction of the first mask layer 103. The anisotropy (Vo / Vo //), from the viewpoint of increasing the height of the fine mask pattern 202a, is preferably 2 or more, more preferably 3.5 or more, is 10 or more More preferably. When the workpiece 200 is processed using the fine mask pattern 202a obtained, the height of the fine mask pattern 202a is increased because the influence of the shadow effect and the loading effect becomes large in the region where the pitch is submicron or less. It is necessary to increase the trunk thickness. Satisfying the above range is preferable because the trunk thickness of the fine mask pattern 202a can be kept large.

また、被処理体200のエッチングレート(Ri2)と第1のマスク層103のエッチングレート(Ri1)との比率である選択比(Ri1/Ri2)は、小さいほど好ましい。選択比(Ri1/Ri2)が1未満であれば、第1のマスク層103のエッチングレートの方が、被処理体200のエッチングレートよりも小さいため、被処理体200を容易に加工することができる。   Further, the selection ratio (Ri1 / Ri2), which is the ratio between the etching rate (Ri2) of the workpiece 200 and the etching rate (Ri1) of the first mask layer 103, is preferably as small as possible. If the selection ratio (Ri1 / Ri2) is less than 1, the etching rate of the first mask layer 103 is smaller than the etching rate of the workpiece 200, so that the workpiece 200 can be easily processed. it can.

選択比(Ri1/Ri2)が、下記式(ii)を満たすことが好ましい。これにより、エッチング精度が向上するので、微細パタン220を形成することが可能となる。また、選択比が2.5以下であることがより好ましく、第1のマスク層103を薄くできる観点から、2以下であることが更好ましく、1以下を満たすことが最も好ましい。選択比(Ri1/Ri2)が1以下を満たすことで、微細パタン構造体202の微細マスクパタン202aを加工マスクとして、被処理体200を加工する際の、加工精度がいっそう向上する。更に微細パタン220の形状制御性が向上する。更に、選択比(Ri1/Ri2)が0.8以下を満たすことで、被処理体200に設けられる微細パタン220の高さを高くできるため好ましい。なお、下限値は0.05以上であると好ましい。この範囲を満たすことにより、微細マスクパタン202aの側面が荒れた場合であっても、被処理体200に設けられる微細パタン220表面の平滑性を向上できる。同様の観点から、選択比は0.1以上を満たすことが好ましく、0.2以上を満たすことがより好ましく、0.4以上を満たすことが最も好ましい。
式(ii)
Ri1/Ri2≦3
It is preferable that the selection ratio (Ri1 / Ri2) satisfies the following formula (ii). Thereby, since the etching accuracy is improved, the fine pattern 220 can be formed. Further, the selection ratio is more preferably 2.5 or less, more preferably 2 or less, and most preferably 1 or less, from the viewpoint that the first mask layer 103 can be thinned. When the selection ratio (Ri1 / Ri2) satisfies 1 or less, the processing accuracy when processing the object 200 is further improved using the fine mask pattern 202a of the fine pattern structure 202 as a processing mask. Furthermore, the shape controllability of the fine pattern 220 is improved. Furthermore, it is preferable that the selection ratio (Ri1 / Ri2) satisfy 0.8 or less because the height of the fine pattern 220 provided on the workpiece 200 can be increased. The lower limit is preferably 0.05 or more. By satisfying this range, even if the side surface of the fine mask pattern 202a is rough, the smoothness of the surface of the fine pattern 220 provided on the workpiece 200 can be improved. From the same viewpoint, the selection ratio preferably satisfies 0.1 or more, more preferably satisfies 0.2 or more, and most preferably satisfies 0.4 or more.
Formula (ii)
Ri1 / Ri2 ≦ 3

なお、ドライエッチングレートは、各種材料のフラット膜(ベタ膜)に対し測定される値である。   The dry etching rate is a value measured for flat films (solid films) of various materials.

例えば、選択比(Rx/Ry)を求める場合、フラットな表面を有す物質Xに対してエッチングを行い、エッチングレートRxを求める。次に、エッチングレートRxと同じ条件のエッチング条件を適用して、フラットな表面を有す物質Yに対するエッチングレートRyを求め、選択比(Rx/Ry)を算出する。   For example, when obtaining the selectivity (Rx / Ry), etching is performed on the substance X having a flat surface, and the etching rate Rx is obtained. Next, the same etching conditions as the etching rate Rx are applied to obtain the etching rate Ry for the substance Y having a flat surface, and the selectivity (Rx / Ry) is calculated.

(被処理体)
図17A及び図17Bに示すように、第1のマスク層103の凹凸構造101aとは反対側の面側に被処理体200を予め設けてもよい。この場合には、図17Aに示すように、第1のマスク層103の表面上に被処理体200を設けるか、又は、図17Bに示すように、被処理体200の一主面上にハードマスク層109を設け、このハードマスク層109上に第1のマスク層103の表面を設ける構成となる。
(Processed object)
As illustrated in FIGS. 17A and 17B, the object to be processed 200 may be provided in advance on the surface of the first mask layer 103 opposite to the uneven structure 101a. In this case, as shown in FIG. 17A, the target object 200 is provided on the surface of the first mask layer 103, or as shown in FIG. A mask layer 109 is provided, and the surface of the first mask layer 103 is provided on the hard mask layer 109.

図17A及び図17Bに示すように、被処理体200を予め設けた積層体300を第1のラインにて製造し、積層体300を第2のラインへと搬送することができる。この場合、被処理体200と第1のマスク層103との界面に異物が侵入することを抑制できる。また、第1のラインにて、積層体300のモールド101の精度、第2のマスク層102の膜厚精度、第1のマスク層103の配置精度、そして第1のマスク層103と被処理体200の貼合精度を担保することが可能となる。このため、図18Dに示すように、微細マスクパタン202aを得るのに最適な施設にて、積層体300を使用できる。このため、図18Fに示すように被処理体200を加工し微細パタン220を設ける際の、加工精度も向上できるため、微細パタン220を具備した被処理体200を使用し製造されるデバイス(特にLEDチップ)の製造安定性や歩留りを向上できる。   As shown in FIGS. 17A and 17B, a stacked body 300 in which the object to be processed 200 is provided in advance can be manufactured in the first line, and the stacked body 300 can be transported to the second line. In this case, foreign matter can be prevented from entering the interface between the object to be processed 200 and the first mask layer 103. Further, in the first line, the accuracy of the mold 101 of the stacked body 300, the thickness accuracy of the second mask layer 102, the placement accuracy of the first mask layer 103, and the first mask layer 103 and the object to be processed It becomes possible to ensure 200 pasting accuracy. For this reason, as shown to FIG. 18D, the laminated body 300 can be used in the optimal facility for obtaining the fine mask pattern 202a. For this reason, as shown in FIG. 18F, since the processing accuracy can be improved when the target object 200 is processed and the fine pattern 220 is provided, a device manufactured using the target object 200 including the fine pattern 220 (particularly, The manufacturing stability and yield of the LED chip) can be improved.

図17Bに示すように、被処理体200上に予めハードマスク層109を設けることにより、第2の積層体2を使用し、該ハードマスク層109を容易に精度高く加工し、ハードマスクパタンを得ることができる。被処理体200上に形成されたハードマスクパタンを加工マスクとすることで、被処理体200をエッチング加工することができる。特に、ハードマスク層109を適用することで、被処理体200を加工する際の、ウェットエッチングに対する適用性が大きく向上する。なお、ウェットエッチングを使用することで、微細パタン220の凸部の側面の結晶方位面を制御することができる。   As shown in FIG. 17B, by providing the hard mask layer 109 in advance on the object 200, the second laminate 2 is used, the hard mask layer 109 is easily processed with high accuracy, and the hard mask pattern is changed. Can be obtained. By using the hard mask pattern formed on the target object 200 as a processing mask, the target object 200 can be etched. In particular, application of the hard mask layer 109 greatly improves applicability to wet etching when the object 200 is processed. In addition, the crystal orientation plane of the side surface of the convex part of the fine pattern 220 can be controlled by using wet etching.

ハードマスク層109は、被処理体200との選択比により決定されれば、その材質は特に限定されない。当該選択比は、加工性の観点から1以上であることが好ましく、3以上であることがより好ましい。加工される被処理体200のアスペクト比を高くする観点からは、選択比は5以上であることが好ましく、10以上であるとより好ましい。ハードマスク層109を薄くできるため、当該選択比は15以上であるとなお好ましい。ハードマスク層109の材質は被処理体200に適したものを、選択でき、例えば、金属や金属酸化物を使用できる。なお、選択比とは、被処理体200のエッチングレート(Rt)をハードマスク層109のエッチングレート(Rh)にて除した値(Rt/Rh)である。選択比は、被処理体200とハードマスク層109に対して同条件のエッチングを行い、算出する。   The material of the hard mask layer 109 is not particularly limited as long as it is determined by the selection ratio with the object to be processed 200. The selection ratio is preferably 1 or more from the viewpoint of workability, and more preferably 3 or more. From the viewpoint of increasing the aspect ratio of the workpiece 200 to be processed, the selection ratio is preferably 5 or more, and more preferably 10 or more. Since the hard mask layer 109 can be thinned, the selection ratio is more preferably 15 or more. As the material of the hard mask layer 109, a material suitable for the object to be processed 200 can be selected. For example, a metal or a metal oxide can be used. The selection ratio is a value (Rt / Rh) obtained by dividing the etching rate (Rt) of the workpiece 200 by the etching rate (Rh) of the hard mask layer 109. The selection ratio is calculated by performing etching under the same conditions on the workpiece 200 and the hard mask layer 109.

なお、ハードマスク層109は多層構造であってもよい。   Note that the hard mask layer 109 may have a multilayer structure.

被処理体200の形状は特に限定されず、平板状、円盤状、凸レンズ状、凹レンズ状、フィルム状、円柱状、球形状、又は、角錐状の被処理体200を使用できる。特に、第2の積層体2を使用することで、3インチφ以上の大型のウェハに対しても、容易に微細パタン220を設けることができる。特に、4インチφ以上であるウェハ(被処理体200)であることで、第2の積層体2を使用する際の簡便性がより向上するため、被処理体200に設けられる微細パタン220の精度が面内に渡り向上するため好ましい。被処理体200の材質は、用途により適宜選択すればよく特に限定されず、無機物も有機物も使用できる。例えば、高効率なLEDを製造するために被処理体200を使用する場合、サファイアウェハ、スピネルウェハ、シリコンウェハ、シリコンカーバイドウェハ、LED用エピタキシャルウェハ及び、窒化ガリウム系ウェハ等を挙げることができる。その他にも、金属アルミニウム、アモルファス酸化アルミニウム、多結晶酸化アルミニウム、GaAsP、GaP、AlGaAs、InGaN、GaN、AlGaN、ZnSe、AlHaInP、ZnO、ITO等から構成される基材を使用することもできる。また、例えば、反射防止ガラスを作製する目的であれば、ガラス板やガラスフィルム等を選択できる。太陽電池用途等で、光の吸収効率や変換効率等を向上させるために、Si基板を採用することもできる。また、超撥水性のフィルム、超親水性のフィルムを作製する場合は、フィルム基材を使用することができる。また、完全黒体を目的とすれば、カーボンブラックが練りこまれた、又は表面に塗布された基材等を採用することができる。また、第2のマスク層102に金属を採用した場合、被処理体表面に転写形成されたマスク層自体が機能を発現し、センサ(光学式センサ)として応用できる。この場合、基材はセンサの使用環境の観点で適宜選択すればよい。特に、第2の積層体2がフィルム状(リール状)の場合、裁断やパンチング加工により第2の積層体2の形状を容易に変えることができる。これにより、所定形状の微細マスクパタン202aを被処理体200の表面に任意に形成することができる。このため、例えば、被処理体200が円筒状やレンズ状である場合であっても、被処理体の表面の全て、又は部分的に微細マスクパタン202aを形成することが可能となる。   The shape of the target object 200 is not particularly limited, and a target object 200 having a flat plate shape, a disc shape, a convex lens shape, a concave lens shape, a film shape, a cylindrical shape, a spherical shape, or a pyramid shape can be used. In particular, by using the second laminated body 2, the fine pattern 220 can be easily provided even for a large wafer of 3 inches φ or more. In particular, since the wafer having a diameter of 4 inches or more (the object to be processed 200) is further improved in convenience when the second stacked body 2 is used, the fine pattern 220 provided on the object to be processed 200 is improved. This is preferable because accuracy is improved over the entire surface. The material of the to-be-processed object 200 should just be selected suitably by a use, and is not specifically limited, An inorganic substance and an organic substance can be used. For example, when using the to-be-processed object 200 in order to manufacture highly efficient LED, a sapphire wafer, a spinel wafer, a silicon wafer, a silicon carbide wafer, the epitaxial wafer for LED, a gallium nitride series wafer etc. can be mentioned. In addition, a base material made of metal aluminum, amorphous aluminum oxide, polycrystalline aluminum oxide, GaAsP, GaP, AlGaAs, InGaN, GaN, AlGaN, ZnSe, AlHaInP, ZnO, ITO, or the like can also be used. For example, a glass plate or a glass film can be selected for the purpose of producing antireflection glass. In order to improve light absorption efficiency, conversion efficiency, etc. for solar cell applications, a Si substrate can also be employed. Moreover, when producing a super water-repellent film and a super hydrophilic film, a film base material can be used. For the purpose of a complete black body, a substrate or the like in which carbon black is kneaded or coated on the surface can be employed. When a metal is employed for the second mask layer 102, the mask layer itself transferred and formed on the surface of the object to be processed exhibits a function and can be applied as a sensor (optical sensor). In this case, the base material may be appropriately selected from the viewpoint of the usage environment of the sensor. In particular, when the second laminate 2 is a film (reel), the shape of the second laminate 2 can be easily changed by cutting or punching. Thereby, the fine mask pattern 202a of a predetermined shape can be arbitrarily formed on the surface of the object 200. For this reason, for example, even when the object to be processed 200 has a cylindrical shape or a lens shape, the fine mask pattern 202a can be formed entirely or partially on the surface of the object to be processed.

中でも、被処理体200の表面に対する水の接触角が110度以下の被処理体200を使用することで、第1のマスク層103の、第1のマスク層103と被処理体200との界面における流動性が向上するため好ましい。同様の効果から、90度以下であることが好ましく、60度以下であることがより好ましく、45度以下であることが最も好ましい。また、被処理体200の表面の表面粗さRatは、既に説明した範囲を満たすことで、第2の積層体2の使用性が向上するため好ましい。   Especially, the interface of the 1st mask layer 103 and the to-be-processed object 200 of the 1st mask layer 103 is obtained by using the to-be-processed object 200 whose water contact angle with respect to the surface of the to-be-processed object 200 is 110 degrees or less. This is preferable because the fluidity in the case is improved. From the same effect, the angle is preferably 90 degrees or less, more preferably 60 degrees or less, and most preferably 45 degrees or less. In addition, the surface roughness Rat of the surface of the object to be processed 200 is preferably satisfied by satisfying the range already described, because the usability of the second stacked body 2 is improved.

次に、第2の積層体2を用いた微細パタン形成方法の概略について簡単に説明する。図18Aに示すように、第2の積層体2の第1のマスク層103と被処理体200とを当接する。ここでは、特に、熱ラミネーションにより当接すると、第1のマスク層103の表層の流動性を向上させることができ、上記説明した表面粗さRaの効果が発現されるため好ましい。第2の積層体2を被処理体200に貼り合わせる際に、下記条件を満たすことで、第1のマスク層103と被処理体200と、の間に混入する外気や、第2の積層体2のスリップ、第2の積層体2の破損等を抑制できるため好ましい。まず、貼合時の、被処理体200の表面の温度は、40℃以上250℃以下であると、第2の積層体2の破損を抑制出来、且つ、第1のマスク層103と被処理体200と、の密着性が向上する。同様の観点から、60℃以上200℃以下であることがより好ましく、80℃以上150℃以下であることが最も好ましい。次に、貼り合わせる際の加温は、被処理体200自体と、ラミネートロールの双方であることが好ましい。即ち、加温されたラミネートロールを使用して、加温された被処理体200に、第2の積層体2を貼り合わせることが望ましい。この場合、ラミネートロールの表面の温度は、35℃以上150℃以下であることが好ましく、60℃以上140℃以下であることがより好ましい。第2の積層体2を被処理体200に貼り合わせる際の圧力は、線圧として1kN/m以上100kN/m以下であることが好ましい。これにより、第1のマスク層103と被処理体200と、の間のマクロバブルの密度が大きく減少すると共に、第2の積層体2の破損を抑制できる。この観点から、3kN/m以上50kN/m以下であることがより好ましく、5kN/m以上15kN/m以下であることが最も好ましい。なお、線圧は、貼り合わせられる第2の積層体2と被処理体200の、ラミネートロールの長軸方向における最大接触長さの位置における圧力である。また、貼り合わせる際の速度は、1mm/秒以上500mm/秒以下であることが好ましい。これにより、生産性と上記マイクロバブルを改善できる。同様の観点から、10mm/秒以上250mm/秒以下であることがより好ましく、10mm/秒以上100mm/秒以下であることが最も好ましい。更に、ラミネートロールの表面はタイプAのデュロメータにて測定した際のゴム硬度が、10以上150以下であることが好ましい。これにより、上記説明した温度、圧力及び時間に関わる効果を、効果的に発現可能となる。この観点から、15以上55以下であることがより好ましく、20以上35以下であることが最も好ましい。   Next, an outline of a fine pattern forming method using the second laminate 2 will be briefly described. As shown in FIG. 18A, the first mask layer 103 of the second stacked body 2 and the target object 200 are brought into contact with each other. Here, in particular, the contact by thermal lamination is preferable because the fluidity of the surface layer of the first mask layer 103 can be improved and the effect of the surface roughness Ra described above is exhibited. When the second stacked body 2 is bonded to the object to be processed 200, the following conditions are satisfied so that the outside air mixed between the first mask layer 103 and the object to be processed 200 or the second stacked body This is preferable because it is possible to suppress the slip of 2 and the breakage of the second laminate 2. First, when the temperature of the surface of the to-be-processed object 200 at the time of bonding is 40 degreeC or more and 250 degrees C or less, it can suppress the failure | damage of the 2nd laminated body 2, and it is the 1st mask layer 103 and to-be-processed. Adhesion with the body 200 is improved. From the same viewpoint, it is more preferably 60 ° C. or higher and 200 ° C. or lower, and most preferably 80 ° C. or higher and 150 ° C. or lower. Next, it is preferable that the heating at the time of bonding is both the object 200 itself and the laminate roll. That is, it is desirable that the second laminate 2 be bonded to the heated object 200 using a heated laminate roll. In this case, the temperature of the surface of the laminate roll is preferably 35 ° C. or higher and 150 ° C. or lower, and more preferably 60 ° C. or higher and 140 ° C. or lower. The pressure when the second laminate 2 is bonded to the workpiece 200 is preferably 1 kN / m or more and 100 kN / m or less as a linear pressure. Thereby, the density of the macro bubble between the 1st mask layer 103 and the to-be-processed object 200 reduces significantly, and the failure | damage of the 2nd laminated body 2 can be suppressed. From this viewpoint, it is more preferably 3 kN / m or more and 50 kN / m or less, and most preferably 5 kN / m or more and 15 kN / m or less. The linear pressure is the pressure at the position of the maximum contact length in the major axis direction of the laminate roll between the second laminate 2 and the object 200 to be bonded. Moreover, it is preferable that the speed | rate at the time of bonding is 1 mm / second or more and 500 mm / second or less. Thereby, productivity and the said microbubble can be improved. From the same viewpoint, it is more preferably 10 mm / second or more and 250 mm / second or less, and most preferably 10 mm / second or more and 100 mm / second or less. Further, the surface of the laminate roll preferably has a rubber hardness of 10 or more and 150 or less when measured with a type A durometer. Thereby, the effect regarding the temperature, pressure, and time demonstrated above can be expressed effectively. From this viewpoint, it is more preferably 15 or more and 55 or less, and most preferably 20 or more and 35 or less.

次に、図18Cに示すように、マスク層からモールド101を除去することで、第2のマスク層102/第1のマスク層103/被処理体200から構成される中間体201を得ることができる。なお、モールド101の除去は、モールド101を物理的に剥がす剥離手法の他、モールド101の膨潤溶解や化学的溶解を採用することもできる。ここで、マスク層に硬化性物質が含有される場合、第2の積層体2と被処理体200とを貼合した状態及び/又は中間体201の状態にて硬化を促進させることが好ましい。硬化が光硬化の場合は、少なくともエネルギ線を照射すると好ましい。光硬化性物質が含有される場合は、特に、図18Bに示すように、第2の積層体2と被処理体200とを貼合した後に、モールド側或いは被処理体側の少なくとも一方からエネルギ線を照射すると好ましく、エネルギ線を照射した後に、モールド101を除去する前段階の状態において加熱処理を行うことがより好ましい。一方、硬化が熱硬化の場合は、少なくとも加熱を行うと好ましい。このように第2の積層体2に硬化性物質が含まれる場合、微細マスクパタン202aを加工マスクとして被処理体200を加工する前に、硬化を促進させると、被処理体200の加工精度が向上し、精度の高い微細パタン220を得ることができる。特に、第1のマスク層103に含まれる樹脂にガラス転移温度が存在する場合、第1のマスク層103の硬化を促進することで、該ガラス転移温度が高くなるため、被処理体200の加工精度を向上できる。   Next, as shown in FIG. 18C, by removing the mold 101 from the mask layer, an intermediate body 201 composed of the second mask layer 102 / first mask layer 103 / object 200 can be obtained. it can. In addition, the removal of the mold 101 can employ not only a peeling method for physically peeling the mold 101 but also swelling dissolution or chemical dissolution of the mold 101. Here, when a curable substance is contained in the mask layer, it is preferable to promote curing in a state where the second laminate 2 and the target object 200 are bonded and / or in the state of the intermediate body 201. When the curing is photocuring, it is preferable to irradiate at least energy rays. In the case where a photocurable material is contained, in particular, as shown in FIG. 18B, after the second laminate 2 and the object to be processed 200 are bonded, energy rays are applied from at least one of the mold side or the object to be processed side. Is preferable, and it is more preferable to perform the heat treatment in the state before the mold 101 is removed after the irradiation with the energy rays. On the other hand, when the curing is thermosetting, it is preferable to perform at least heating. As described above, when the second laminate 2 includes a curable substance, if the curing is promoted before the workpiece 200 is processed using the fine mask pattern 202a as a processing mask, the processing accuracy of the workpiece 200 is improved. Thus, a fine pattern 220 with high accuracy can be obtained. In particular, when a glass transition temperature exists in the resin contained in the first mask layer 103, the glass transition temperature is increased by promoting the curing of the first mask layer 103. Accuracy can be improved.

次に、図18Dに示すように、中間体201の第2のマスク層102を加工マスクとして第1のマスク層103をエッチングすることにより、被処理体200上に微細マスクパタン202aが設けられた微細パタン構造体202が得られる。この微細マスクパタン202aを加工マスクとして、被処理体200をエッチングすることで、図18Eに示すように、被処理体200が難加工基材である場合であっても、容易に加工することが可能となる。最後に、図18Fに示すように、被処理体200上の残渣を除去することにより、微細パタン220が形成された被処理体200を得ることができる。なお、該残渣の除去は、図18Eに示すエッチングを過剰に行うことで、省くこともできる。   Next, as illustrated in FIG. 18D, the first mask layer 103 is etched using the second mask layer 102 of the intermediate body 201 as a processing mask, so that the fine mask pattern 202 a is provided on the target object 200. A fine pattern structure 202 is obtained. By etching the object 200 using the fine mask pattern 202a as a processing mask, as shown in FIG. 18E, the object 200 can be easily processed even when it is a difficult-to-process substrate. It becomes possible. Finally, as shown in FIG. 18F, the object 200 on which the fine pattern 220 is formed can be obtained by removing the residue on the object 200. Note that the removal of the residue can be omitted by performing the etching shown in FIG. 18E excessively.

このように、所定の表面粗さRaを有する第1のマスク層103を具備する第2の積層体2を、直接、被処理体200上に貼合することで、中間体201を転写形成できる。これにより、ナノインプリントにおける第1のマスク層103の充填や膜厚の均等化といったノウハウを排除でき、且つ、一般的な方法であるラミネートを用いて転写を行うことができるので、より簡便に中間体201を得ることが可能となる。更に、第2の積層体2を使用することで、第1のマスク層103の膜厚分布を、第1のマスク層103の凹凸構造101aに対する塗工精度にて担保できる。即ち、ナノインプリント法と比較すると、中間体201の第1のマスク層103の膜厚分布が面内に渡りより小さくなる。よって、微細マスクパタン202aの幹の太さの分布精度が向上する。このため、第2の積層体2を使用することで、微細マスクパタン202aを加工マスクとして作成される微細パタン220は、被処理体面内において高い精度を有することとなる。   As described above, the intermediate body 201 can be transferred and formed by directly bonding the second laminated body 2 including the first mask layer 103 having the predetermined surface roughness Ra onto the target object 200. . As a result, know-how such as filling of the first mask layer 103 and equalization of the film thickness in nanoimprinting can be eliminated, and transfer can be performed using a laminate that is a general method. 201 can be obtained. Furthermore, by using the second stacked body 2, the film thickness distribution of the first mask layer 103 can be secured with the coating accuracy with respect to the concavo-convex structure 101 a of the first mask layer 103. That is, as compared with the nanoimprint method, the film thickness distribution of the first mask layer 103 of the intermediate 201 becomes smaller in the plane. Therefore, the distribution accuracy of the trunk thickness of the fine mask pattern 202a is improved. For this reason, by using the second stacked body 2, the fine pattern 220 created using the fine mask pattern 202 a as a processing mask has high accuracy in the surface of the object to be processed.

次に、微細パタン構造体202の微細マスクパタン202aの好適な形状について説明する。微細マスクパタン202aを加工マスクにすることで、被処理体200を容易に加工し、微細パタン220を製造出来る。ここで、被処理体200を加工する際に、微細マスクパタン202aが倒れ、隣接するピラー同士が互いに支え合うような構造をとる場合、微細パタン220の形状は大きく歪む。即ち、物理的に安定な微細マスクパタン202aを把握する必要がある。微細マスクパタン202aの倒壊は、外力と引力により生じる。外力としては、例えば、微細パタン構造体202を搬送する際に加わる力である。引力は、微細マスクパタン202aのピラー間に生成した水による引力であり、ラプラス圧により計算できる。ここで、非常に強い圧力が加わるのは後者である。微細マスクパタン202aを構成する物質の弾性率、微細マスクパタン202aを構成するピラー間の最短距離、そして、微細マスクパタン202aを構成するピラーのアスペクト比をパラメーターにし、計算と実証を行った結果を以下に記載する。   Next, a preferable shape of the fine mask pattern 202a of the fine pattern structure 202 will be described. By using the fine mask pattern 202a as a processing mask, the workpiece 200 can be easily processed and the fine pattern 220 can be manufactured. Here, when the workpiece 200 is processed, when the fine mask pattern 202a falls down and adjacent pillars support each other, the shape of the fine pattern 220 is greatly distorted. That is, it is necessary to grasp the physically stable fine mask pattern 202a. The collapse of the fine mask pattern 202a is caused by external force and attractive force. The external force is, for example, a force applied when the fine pattern structure 202 is conveyed. The attractive force is an attractive force caused by water generated between the pillars of the fine mask pattern 202a, and can be calculated by a Laplace pressure. Here, it is the latter that a very strong pressure is applied. Using the elastic modulus of the material constituting the fine mask pattern 202a, the shortest distance between the pillars constituting the fine mask pattern 202a, and the aspect ratio of the pillar constituting the fine mask pattern 202a as parameters, the results of calculation and verification are shown. Described below.

まず、微細マスクパタン202aを構成する物質の弾性率は、中間体201の第2のマスク層102の凸部の頂部に対して、走査型プローブ顕微鏡(SPM)のプローブを押し込むことで模擬的に測定した。ここで、SPMとしては、Bruker AXS製のDimension Iconを使用し、プローブとしてRTESPAを使用した。そして、押し込み時のForce Curveを、Hertzian(球状圧子モデル)で解析することで、弾性率を算出した。   First, the elastic modulus of the substance constituting the fine mask pattern 202a is simulated by pushing a probe of a scanning probe microscope (SPM) into the top of the convex portion of the second mask layer 102 of the intermediate 201. It was measured. Here, as SPM, Dimension Icon made by Bruker AXS was used, and RTSPA was used as a probe. Then, the elastic modulus was calculated by analyzing the force curve at the time of pushing in with Hertzian (spherical indenter model).

ピラー間の最短距離は、微細マスクパタン構造体202の微細マスクパタン202aを走査型電子顕微鏡により、表面から観察した像より算出した。観察像内に観察される複数のピラーから、任意にあるピラーAを選択した。次に、ピラーAに最近隣するピラーBを選択した。ピラーAの輪郭とピラーBの輪郭と、の最短距離が、ピラー間の最短距離である。なお、この値は20点の相加平均値とした。   The shortest distance between the pillars was calculated from an image obtained by observing the fine mask pattern 202a of the fine mask pattern structure 202 from the surface with a scanning electron microscope. An arbitrary pillar A was selected from a plurality of pillars observed in the observation image. Next, the pillar B nearest to the pillar A was selected. The shortest distance between the contour of the pillar A and the contour of the pillar B is the shortest distance between the pillars. This value was an arithmetic average value of 20 points.

微細マスクパタン202aを構成するピラーのアスペクト比は、微細マスクパタン構造体202の微細マスクパタン202aを走査型電子顕微鏡により、断面から観察した像より算出した高さを使用し計算した。高さは、被処理体と第1のマスク層103と、の界面から、微細マスクパタン202aの凸部頂点までの最短距離である。なお、高さは10点の相加平均値とした。次に、微細マスクパタン202aの径を求めた。径は、ピラー間の最短距離を求める際の観察像から同時に求めた。表面観察像内に観察されるピラーの輪郭に対する外接円の径を、模擬的に、微細マスクパタン202aの径とした。なお、この値は20点の相加平均値とした。そして、高さを微細マスクパタン202aの径にて割ることで、アスペクト比を求めた。   The aspect ratio of the pillar constituting the fine mask pattern 202a was calculated by using the height calculated from an image obtained by observing the fine mask pattern 202a of the fine mask pattern structure 202 from a cross section with a scanning electron microscope. The height is the shortest distance from the interface between the object to be processed and the first mask layer 103 to the vertex of the convex portion of the fine mask pattern 202a. The height was an arithmetic average of 10 points. Next, the diameter of the fine mask pattern 202a was obtained. The diameter was determined simultaneously from the observed image when determining the shortest distance between the pillars. The diameter of the circumscribed circle with respect to the outline of the pillar observed in the surface observation image is simulated to be the diameter of the fine mask pattern 202a. This value was an arithmetic average value of 20 points. Then, the aspect ratio was obtained by dividing the height by the diameter of the fine mask pattern 202a.

計算は、微細マスクパタン202aのピラー間に形成された水による引力と、ピラーの湾曲度合を数値化することから始め、隣接するピラーが湾曲して接触した場合を倒壊として判定した。この結果、ピラー間の最短距離が狭い程、そして、アスペクト比が高い程、倒壊しやすいことが分かった。即ち、好適な微細マスクパタン202aを設計できることがわかった。計算結果から、アスペクト比が1.8以上の場合に関し、X軸にアスペクト比を、Y軸にピラー間の最短距離をとった場合に、Y=18.2X−32.7よりも上の領域に位置するXおよびYの関係を満たす微細マスクパタン202であることで、倒壊を効果的に抑制できることがわかった。特に、弾性率の小さな第1のマスク層103の場合であっても、倒壊を抑制する観点から、アスペクト比が1.8以上の場合に関しては、Y=36.4X−65.5よりも大きな領域に位置するX及びYの関係を満たす微細マスクパタン202aであることがより好ましく、Y=90.9X−163.6よりも大きな領域に位置するX及びYの関係を満たす微細マスクパタン202aであることが最も好ましいことがわかった。   The calculation was started by quantifying the attractive force due to water formed between the pillars of the fine mask pattern 202a and the degree of curvature of the pillars, and the case where adjacent pillars curved and contacted was determined as collapse. As a result, it was found that the shorter the shortest distance between pillars and the higher the aspect ratio, the easier it is to collapse. That is, it was found that a suitable fine mask pattern 202a can be designed. From the calculation results, when the aspect ratio is 1.8 or more, the area above Y = 18.2X-32.7 when the aspect ratio is taken on the X axis and the shortest distance between the pillars is taken on the Y axis. It was found that the collapse can be effectively suppressed by the fine mask pattern 202 satisfying the relationship between X and Y located in the position. In particular, even in the case of the first mask layer 103 having a small elastic modulus, from the viewpoint of suppressing collapse, when the aspect ratio is 1.8 or more, it is larger than Y = 36.4X-65.5. It is more preferable that the fine mask pattern 202a satisfying the relationship between X and Y located in the region, and the fine mask pattern 202a satisfying the relationship between X and Y located in a region larger than Y = 90.9X-163.6. It turned out to be most preferable.

次に、上記計算結果と実験との対応をとるために、第1のマスク層103の弾性率をパラメーターにとった。ここで、弾性率としては、0.3GPa、0.5GPa、1.6GPa、3.1GPa、4.4GPa、そして8.7GPaまで変化させた。この弾性率の変化と、上記計算結果から推測される倒壊と、の相関をとったところR2=0.912の相関性がとれた。以上から、上記考察した計算結果による好ましい範囲は妥当な範囲であると考えられる。更に、弾性率が0.3GPaの場合、倒壊を抑制可能な、且つアスペクト比が1.8以上の範囲は狭くなり、これに伴い微細パタン220の選択マージンが狭まることがわかった。なお、微細パタン220の選択マージンとは、微細パタン220の径、高さ、断面形状、及び間隔を設計する際の設計自由度を意味する。以上から、弾性率としては0.5GPa以上が好ましい。また、微細パタン220の選択マージンを十分に大きくする点から、1.6GPa以上がより好ましく、3.1GPa以上が最も好ましい。   Next, the elastic modulus of the first mask layer 103 was taken as a parameter in order to take a correspondence between the calculation result and the experiment. Here, the elastic modulus was changed to 0.3 GPa, 0.5 GPa, 1.6 GPa, 3.1 GPa, 4.4 GPa, and 8.7 GPa. Correlation between the change in elastic modulus and the collapse estimated from the calculation result showed a correlation of R2 = 0.912. From the above, it is considered that the preferable range based on the calculation result considered above is a reasonable range. Furthermore, it was found that when the elastic modulus is 0.3 GPa, the range in which collapse can be suppressed and the aspect ratio is 1.8 or more is narrowed, and accordingly the selection margin of the fine pattern 220 is narrowed. Note that the selection margin of the fine pattern 220 means a degree of freedom in designing the diameter, height, cross-sectional shape, and interval of the fine pattern 220. From the above, the elastic modulus is preferably 0.5 GPa or more. Moreover, 1.6 GPa or more is more preferable, and 3.1 GPa or more is most preferable from the viewpoint of sufficiently increasing the selection margin of the fine pattern 220.

次に、第2の積層体2の製造方法について詳細に説明する。
[製造方法]
第2の積層体2は、モールド101を製造し、モールド101に対してマスク層を配置することで製造される。
Next, the manufacturing method of the 2nd laminated body 2 is demonstrated in detail.
[Production method]
The second laminate 2 is manufactured by manufacturing the mold 101 and disposing a mask layer on the mold 101.

(モールド101の製造)
モールド101は、支持基材100の表面、或いは、支持基材100に成膜し設けられた被ナノ加工層の表面を、転写法や、フォトリソグラフィ法、熱リソグラフィ法、電子線描画法、干渉露光法、ナノ粒子をマスクとしたリソグラフィ法や、自己組織化構造をマスクとしたリソグラフィ法等によりナノ加工することで製造されてもよい。また、支持基材100の表面に、マクロ相分離、ミクロ相分離、交互積層法、ナノ粒子の自己配列法、ナノ粒子を有機バインダにより配列させる方法等により凹凸構造101aを設け製造されてもよい。中でも凹凸構造101aの精度及び製造速度の観点から、転写法を採用すると好ましい。転写法とは、一般的にナノインプリント法と称される方法であり、光ナノインプリント法、熱硬化性樹脂を使用した熱ナノインプリント法、熱可塑性樹脂を使用した熱ナノインプリント法、又は、室温ナノインプリント法等があり、いずれも採用できるが、特に、凹凸構造101aの精度及び製造速度の観点から、光ナノインプリント法を採用することが好ましい。この場合、凹凸構造101aの鋳型となるマスターは円筒状マスターであることが、最も好ましい。
(Manufacture of mold 101)
The mold 101 applies a transfer method, a photolithography method, a thermal lithography method, an electron beam drawing method, an interference to the surface of the supporting substrate 100 or the surface of the nano-processed layer provided on the supporting substrate 100. It may be manufactured by performing nano processing by an exposure method, a lithography method using nanoparticles as a mask, a lithography method using a self-organized structure as a mask, or the like. Further, the surface of the support substrate 100 may be manufactured by providing the concavo-convex structure 101a by a macrophase separation, a microphase separation, an alternating lamination method, a nanoparticle self-arrangement method, a method of arranging nanoparticles with an organic binder, or the like. . Among these, it is preferable to employ a transfer method from the viewpoint of the accuracy and manufacturing speed of the concavo-convex structure 101a. The transfer method is a method generally called a nanoimprint method, and includes a photo nanoimprint method, a thermal nanoimprint method using a thermosetting resin, a thermal nanoimprint method using a thermoplastic resin, or a room temperature nanoimprint method. Yes, both can be adopted, but in particular, from the viewpoint of accuracy and manufacturing speed of the concavo-convex structure 101a, it is preferable to adopt the optical nanoimprint method. In this case, it is most preferable that the master serving as the mold of the concavo-convex structure 101a is a cylindrical master.

(マスク層の配置)
マスク層は少なくとも2回成膜される。即ち、第2のマスク層102を成膜し、第1の積層体1を製造し、該第1の積層体1に対して第2の積層体2を成膜することで、第2の積層体2を製造できる。
(Mask layer placement)
The mask layer is formed at least twice. That is, the second mask layer 102 is formed, the first stacked body 1 is manufactured, and the second stacked body 2 is formed on the first stacked body 1, whereby the second stacked layer 1 is formed. The body 2 can be manufactured.

マスク層のモールド101の凹凸構造101aに対する配置方法は、ドライプロセス及びウェットプロセスに分類できる。なお、例えば、第2のマスク層102をドライプロセスにより成膜し、第1のマスク層103をウェットプロセスにより成膜することもできる。   The arrangement method of the mask layer with respect to the concavo-convex structure 101a of the mold 101 can be classified into a dry process and a wet process. Note that, for example, the second mask layer 102 can be formed by a dry process, and the first mask layer 103 can be formed by a wet process.

ドライプロセスとしては、例えば蒸着法やスパッタ法を採用できる。この時、蒸着やスパッタの凹凸構造101aに対する角度を変えることで、マスク層の配置箇所を制御することもできる。ウェットプロセスとしては、例えば、マスク層の原料を溶剤にて希釈した塗工液を凹凸構造101aに対して塗工し、その後、溶剤を除去する方法を採用できる。その他にも、マスク層の原料を希釈せずに直接塗工する方法や、塗工液或いはマスク層の原料を塗工した後に、余剰な塗工液を、気流や物理的切片により除去する方法を、採用することもできる。特に、第1のマスク層103も第2のマスク層102も、共に、溶剤にて希釈した塗工液を使用して成膜されることが、マスク層の配置精度及び膜厚精度の観点から好ましい。   As the dry process, for example, vapor deposition or sputtering can be employed. At this time, the arrangement position of the mask layer can be controlled by changing the angle with respect to the uneven structure 101a of vapor deposition or sputtering. As the wet process, for example, a method of applying a coating solution obtained by diluting the raw material of the mask layer with a solvent to the concavo-convex structure 101a and then removing the solvent can be employed. In addition, a method of directly coating the raw material of the mask layer without diluting, or a method of removing the surplus coating liquid by airflow or physical section after coating the coating liquid or the raw material of the mask layer Can also be adopted. In particular, both the first mask layer 103 and the second mask layer 102 are formed using a coating solution diluted with a solvent from the viewpoint of mask layer placement accuracy and film thickness accuracy. preferable.

塗工方法は特に限定されないが、ダイコート法、ディップコート法、ドクターブレード法、マイクログラビア法、バーコート法、ローラーコート法、噴霧コート法、エアーナイフコート法、グラビアコート法、フローコート法、カーテンコート法、インクジェット法等が挙げられる。   Coating method is not particularly limited, but die coating method, dip coating method, doctor blade method, micro gravure method, bar coating method, roller coating method, spray coating method, air knife coating method, gravure coating method, flow coating method, curtain Examples thereof include a coating method and an ink jet method.

また、マスク層の成膜に際しては、凹凸構造101aに対して、非接触式の方法を採用することが好ましい。これは、凹凸構造101aに対する傷を抑制し、マスク層の精度をより高めるためである。   In forming the mask layer, it is preferable to employ a non-contact method for the concavo-convex structure 101a. This is for suppressing damage to the concavo-convex structure 101a and further improving the accuracy of the mask layer.

次に、モールド101の製造方法についてより詳細に説明する。モールド101は、工業性と凹凸構造101aの精度の観点から、円筒の表面に微細パタンが具備されたロールを、円筒状マスターモールド(鋳型)とした転写法により製造されることが好ましい。ここで、転写法は光ナノインプリント法であることが好ましい。これにより、連続的に、生産性高く、且つ精度の高い凹凸構造101aを具備したモールド101を得ることができる。   Next, the manufacturing method of the mold 101 will be described in more detail. From the viewpoint of industrial properties and the accuracy of the concavo-convex structure 101a, the mold 101 is preferably manufactured by a transfer method using a roll having a fine pattern on a cylindrical surface as a cylindrical master mold (mold). Here, the transfer method is preferably an optical nanoimprint method. Thereby, it is possible to obtain the mold 101 having the concavo-convex structure 101a continuously and with high productivity and high accuracy.

なお、円筒状マスターモールドからモールドAを製造し、モールドAを鋳型として、樹脂モールドBを製造し、モールドBを使用して第2の積層体2を製造することができる。この場合、円筒状マスターモールドの嵩張るコストを大きく吸収できると共に、円筒状マスターモールドの微細パタンの選択肢が大きくなるため、好ましい。この場合、モールドA及びモールドBは、上述した比率(Es/Eb)を満たすモールドであることが最も好ましい。なお、比率(Es/Eb)を満たすモールドを使用することで、1つの円筒状マスターモールドから、N枚のモールドAを製造し、1枚のモールドAから、M枚のモールドBを製造することができる。即ち、1つの円筒状マスターモールドから、N×M枚のモールドBを製造できる。   In addition, the mold A can be manufactured from the cylindrical master mold, the resin mold B can be manufactured using the mold A as a mold, and the second laminate 2 can be manufactured using the mold B. In this case, the bulky cost of the cylindrical master mold can be greatly absorbed, and the choice of the fine pattern of the cylindrical master mold is increased, which is preferable. In this case, the mold A and the mold B are most preferably molds that satisfy the above-described ratio (Es / Eb). By using a mold that satisfies the ratio (Es / Eb), N molds A are manufactured from one cylindrical master mold, and M molds B are manufactured from one mold A. Can do. That is, N × M molds B can be manufactured from one cylindrical master mold.

次に、第2のマスク層102の成膜方法について、より詳細に説明する。第2のマスク層材料の希釈溶液(以下、第2の塗工液、と呼ぶ)を、モールド101の凹凸構造101a上に成膜し、その後、余剰な溶剤を除去することで、第2のマスク層102を配置することができる。この時、第2の塗工液の濃度は、単位体積当たりの第2のマスク層材料の固形分量が、単位面積下に存在する凹凸構造101aの体積より小さくなる。即ち、モールド101の一主面に平行な面内における単位面積をS2とし、第2の塗工液の塗工膜厚(ウェット膜厚)をh2とし、第2の塗工液の体積濃度をC2とし、且つ、単位面積S2の領域下に存在する凹凸構造の101a凹部体積をV2としたときに、下記式(13)を満たすように凹凸構造101a上に第2の塗工液を塗工する。下記式(13)を満たすことにより、凹部内マスク層102aの充填配置することができる。
式(13)
S2・h2・C2<V2
Next, a method for forming the second mask layer 102 will be described in more detail. A second mask layer material diluted solution (hereinafter referred to as a second coating solution) is formed on the concavo-convex structure 101 a of the mold 101, and then the excess solvent is removed to remove the second solvent. A mask layer 102 can be disposed. At this time, the concentration of the second coating liquid is such that the solid content of the second mask layer material per unit volume is smaller than the volume of the concavo-convex structure 101a existing under the unit area. That is, the unit area in a plane parallel to one main surface of the mold 101 is S2, the coating film thickness (wet film thickness) of the second coating liquid is h2, and the volume concentration of the second coating liquid is The second coating liquid is applied onto the concavo-convex structure 101a so as to satisfy the following formula (13) when C2 and the concavo-convex structure 101a concave volume existing under the unit area S2 is V2. To do. By satisfying the following formula (13), the in-recess mask layer 102a can be filled and arranged.
Formula (13)
S2 / h2 / C2 <V2

なお、単位面積(S2)とは、モールド101の凹凸構造101aの上部に配置され、モールド101(支持基材100)の一主面と平行な面の面積である。   The unit area (S2) is an area of a surface that is disposed on the top of the concavo-convex structure 101a of the mold 101 and is parallel to one main surface of the mold 101 (supporting substrate 100).

また、凹部体積(V2)とは、単位面積(S2)の領域下に存在する凹凸構造101aの凹部の体積の合計値である。即ち、単位面積(S2)をモールド101(支持基材(100))の主面方向に垂直に降下させたときに、単位面積(S2)が、凹凸構造101aの頂部と交わってから底部と交わり終えるまでに通過した、凹凸構造101aの空隙部(凹部101c)体積が凹部体積(V2)である。   The recess volume (V2) is the total value of the volumes of the recesses of the concavo-convex structure 101a existing under the unit area (S2). That is, when the unit area (S2) is lowered perpendicularly to the main surface direction of the mold 101 (support base material (100)), the unit area (S2) intersects with the top of the concavo-convex structure 101a and then intersects with the bottom. The void volume (concave portion 101c) volume of the concavo-convex structure 101a that has been passed to the end is the concave portion volume (V2).

塗工膜厚(h2)は、第2の塗工液の塗工膜厚(ウェット膜厚)として定義されるが、凹凸構造101aに塗工した状態での塗工膜厚の測定は困難であるため、凹凸構造101aと概ね同等の材質で作製したフラット膜面上での膜厚を塗工膜厚(h2)として定義する。即ち、凹凸構造101aを構成する材質と略同等又は同等の材質によるフラット膜に対し、凹凸構造101a上の成膜条件と同様の条件にて塗工した膜の膜厚を、塗工膜厚(h2)として採用する。   The coating film thickness (h2) is defined as the coating film thickness (wet film thickness) of the second coating solution, but it is difficult to measure the coating film thickness in a state where the coating film is applied to the uneven structure 101a. Therefore, the film thickness on the flat film surface made of a material substantially the same as that of the concavo-convex structure 101a is defined as the coating film thickness (h2). That is, the film thickness of a film applied on a flat film made of a material substantially the same as or equivalent to the material constituting the concavo-convex structure 101a under the same conditions as the film formation conditions on the concavo-convex structure 101a is defined as the coating film thickness ( Adopted as h2).

体積濃度(C2)は、第2の塗工液の体積濃度として定義される。   The volume concentration (C2) is defined as the volume concentration of the second coating liquid.

上記式(13)を満たすことにより、凹凸構造101aの凹部101c内部に凹部内マスク層102aを配置可能となる。凹部内マスク層102aを、凹凸構造101aの凹部101c内部に配置する配置精度の観点から、S2・h2・C2≦0.9V2がより好ましく、S2・h2・C2≦0.8V2を満たすとなお好ましい。   By satisfy | filling said Formula (13), it becomes possible to arrange | position the mask layer 102a in a recessed part inside the recessed part 101c of the uneven structure 101a. S2 · h2 · C2 ≦ 0.9V2 is more preferable, and S2 · h2 · C2 ≦ 0.8V2 is more preferable from the viewpoint of arrangement accuracy in which the in-recess mask layer 102a is disposed inside the recess 101c of the uneven structure 101a. .

第2の塗工液を塗工する際の、圧力は、1kPa以上であると、塗工性が向上するため好ましい。特に、凹部内マスク層102aの充填配置精度を向上させる観点から、塗工に係る圧力は10kPa以上であることが好ましく、20kPa以上であることが好ましく、100kPa以上であることが最も好ましい。   When the second coating liquid is applied, the pressure is preferably 1 kPa or more because the coating property is improved. In particular, from the viewpoint of improving the filling arrangement accuracy of the in-recess mask layer 102a, the pressure for coating is preferably 10 kPa or more, more preferably 20 kPa or more, and most preferably 100 kPa or more.

第2の塗工液に使用する溶剤は、特に限定されず、親水性溶剤も疎水性溶剤も、モールド101の凹凸構造101aを破損しない範囲内において、使用できる。特に、極性溶剤であることで、第2のマスク層102の充填配置性が向上するため好ましい。極性溶剤としては、例えば、アルコール、エーテル、エステル及びケトンが挙げられる。   The solvent used for the second coating liquid is not particularly limited, and both a hydrophilic solvent and a hydrophobic solvent can be used as long as the concavo-convex structure 101a of the mold 101 is not damaged. In particular, a polar solvent is preferable because the filling arrangement of the second mask layer 102 is improved. Examples of the polar solvent include alcohol, ether, ester, and ketone.

第2の塗工液に使用する溶剤は、少なくとも2種類以上含まれることが好ましい。特に、モールド101の凹凸構造101aに対する接触角が90度以上の溶剤Aと、該接触角が90度未満の溶剤Bを混合することで、凹部内マスク層102aの配置精度が向上すると共に、凸部上マスク層102bを小さくすることができる。更に、該溶剤Aの蒸気圧を、該溶剤Bの蒸気圧に比べて小さくすることで、前記効果が最大限に発現されるため好ましい。   It is preferable that at least two or more kinds of solvents used in the second coating liquid are included. In particular, by mixing the solvent A having a contact angle of 90 degrees or more with the concavo-convex structure 101a of the mold 101 and the solvent B having a contact angle of less than 90 degrees, the placement accuracy of the in-recess mask layer 102a is improved and the convexity is increased. The top mask layer 102b can be made small. Furthermore, it is preferable that the vapor pressure of the solvent A is made smaller than the vapor pressure of the solvent B, since the above-mentioned effect is maximized.

第2の塗工液を塗工した後に、溶剤の除去は、室温乾燥も含めた公知一般の乾燥方法を採用できる。特に、上記説明した残存溶剤量を満たすことが好ましい。   After applying the second coating solution, the solvent can be removed by a known general drying method including room temperature drying. In particular, it is preferable to satisfy the residual solvent amount described above.

また、第2の塗工液を塗工する前のモールド101の前処理は、除電処理やクリーニング、親水化処理、疎水化処理等の公知一般の手法を適宜導入できる。   In addition, as the pretreatment of the mold 101 before the application of the second coating liquid, known general techniques such as static elimination treatment, cleaning, hydrophilization treatment, and hydrophobic treatment can be appropriately introduced.

第1の塗工液の濃度は、単位体積当たりの第1のマスク層材料の固形分量が、単位面積下に存在する凹凸構造101aの体積より大きくなるように設定する。また、ここでの単位面積下に存在する凹凸構造101aの体積は、第1の積層体1に対するそれであるため、モールド101に対する凹部体積Vcより小さくなっている。即ち、第1の塗工液の塗工膜厚(ウェット膜厚)をh1、第1の塗工液の体積濃度をC1とした場合に、下記式(14)を満たしていれば、特に限定されない。
式(14)
S1・h1・C1≧V1
The concentration of the first coating liquid is set so that the solid content of the first mask layer material per unit volume is larger than the volume of the concavo-convex structure 101a existing under the unit area. Further, since the volume of the concavo-convex structure 101 a existing under the unit area here is that of the first laminate 1, it is smaller than the concave volume Vc of the mold 101. That is, if the coating film thickness (wet film thickness) of the first coating liquid is h1 and the volume concentration of the first coating liquid is C1, the following formula (14) is satisfied. Not.
Formula (14)
S1 ・ h1 ・ C1 ≧ V1

なお、単位面積(S1)とは、第1の積層体1の凹凸構造101aの上部に配置され、モールド101(支持基材100)の一主面と平行な面の面積である。   The unit area (S1) is an area of a surface that is arranged on the top of the concavo-convex structure 101a of the first laminate 1 and is parallel to one main surface of the mold 101 (supporting substrate 100).

また、凹部体積(V1)とは、単位面積(S1)の領域下に存在する凹凸構造101aの凹部の体積の合計値である。即ち、単位面積(S1)をモールド101(支持基材(100))の主面方向に垂直に降下させたときに、単位面積(S1)が、凸部上マスク層102bの頂部と交わってから凹部内マスク層102aの表面と交わり終えるまでに通過した、凹凸構造101aの空隙部(凹部101c)体積が凹部体積(V1)である。なお、凸部上マスク層102bのない場合、即ち距離(lcv)が0の場合、凹凸構造101aの凸部頂部と交わってから凹部内マスク層102aの表面と混じり終えるまでに通過した、凹凸構造101aの空隙部の体積が凹部体積(V1)である。   The recess volume (V1) is the total value of the volumes of the recesses of the concavo-convex structure 101a existing under the unit area (S1). That is, when the unit area (S1) is lowered perpendicularly to the main surface direction of the mold 101 (support base material (100)), the unit area (S1) intersects with the top of the convex upper mask layer 102b. The volume of the void portion (recess portion 101c) of the concavo-convex structure 101a that has passed through the surface of the in-recess mask layer 102a is the recess volume (V1). In the case where there is no convex upper mask layer 102b, that is, when the distance (lcv) is 0, the concave / convex structure that has passed through from the intersection of the convex top of the concave / convex structure 101a to the end of mixing with the surface of the in-recess mask layer 102a. The volume of the void portion 101a is the recess volume (V1).

塗工膜厚(h1)は、第1の塗工液の塗工膜厚(ウェット膜厚)として定義されるが、第1の積層体1に塗工した状態での塗工膜厚の測定は困難であるため、凹凸構造101aと概ね同等の材質で作製したフラット膜面上での膜厚を塗工膜厚(h1)として定義する。即ち、凹凸構造101aを構成する材質と略同等又は同等の材質によるフラット膜に対し、凹凸構造101a上の成膜条件と同様の条件にて塗工した膜の膜厚を、塗工膜厚(h1)として採用する。   The coating film thickness (h1) is defined as the coating film thickness (wet film thickness) of the first coating liquid, but the measurement of the coating film thickness in a state where it is applied to the first laminate 1 Therefore, the film thickness on the flat film surface made of a material substantially equivalent to that of the concavo-convex structure 101a is defined as the coating film thickness (h1). That is, the film thickness of a film applied on a flat film made of a material substantially the same as or equivalent to the material constituting the concavo-convex structure 101a under the same conditions as the film formation conditions on the concavo-convex structure 101a is defined as the coating film thickness ( Adopted as h1).

体積濃度(C1)は、第1の塗工液の体積濃度として定義される。   The volume concentration (C1) is defined as the volume concentration of the first coating liquid.

塗工膜厚(h1)の範囲は、S1・h1・C1≧V1を満たすように適宜設定できるため、特に限定されないが、第1のマスク層103の成膜性と、使用時の貼合性の観点から、S1・h1・C1≧1.5V1であることが好ましく、S1・h1・C1≧2V1であるとより好ましい。   The range of the coating film thickness (h1) can be appropriately set so as to satisfy S1 · h1 · C1 ≧ V1, and is not particularly limited. However, the film formability of the first mask layer 103 and the bonding property during use are not limited. In view of the above, S1 · h1 · C1 ≧ 1.5V1 is preferable, and S1 · h1 · C1 ≧ 2V1 is more preferable.

第1の塗工液を塗工する際の、圧力は、1kPa以上であると、塗工性が向上するため好ましい。特に、第1のマスク層103の膜厚精度を向上させる観点から、塗工に係る圧力は10kPa以上であることが好ましく、20kPa以上であることが好ましく、100kPa以上であることが最も好ましい。   When the first coating liquid is applied, the pressure is preferably 1 kPa or more because the coating property is improved. In particular, from the viewpoint of improving the film thickness accuracy of the first mask layer 103, the pressure for coating is preferably 10 kPa or more, more preferably 20 kPa or more, and most preferably 100 kPa or more.

第1の塗工液に使用する溶剤は、特に限定されず、親水性溶剤も疎水性溶剤も、モールド101の凹凸構造101aを破損しない範囲内において、使用できる。特に、極性溶剤であることで、第1のマスク層103の膜厚精度が高くなるため好ましい。極性溶剤としては、例えば、アルコール、エーテル、エステル及びケトンが挙げられる。   The solvent used for the first coating liquid is not particularly limited, and both a hydrophilic solvent and a hydrophobic solvent can be used as long as the concavo-convex structure 101a of the mold 101 is not damaged. In particular, a polar solvent is preferable because the film thickness accuracy of the first mask layer 103 is increased. Examples of the polar solvent include alcohol, ether, ester, and ketone.

第1の塗工液に使用する溶剤は、少なくとも2種類以上含まれることが好ましい。特に、モールド101の凹凸構造101aに対する接触角が90度以上の溶剤Aと、該接触角が90度未満の溶剤Bを混合することで、第1のマスク層103の膜厚の分布を小さくできる。更に、該溶剤Aの蒸気圧を、該溶剤Bの蒸気圧に比べて小さくすることで、前記効果が最大限に発現されるため好ましい。   It is preferable that at least two or more kinds of solvents used in the first coating liquid are included. In particular, the distribution of the film thickness of the first mask layer 103 can be reduced by mixing the solvent A having a contact angle of 90 degrees or more with the concavo-convex structure 101a of the mold 101 and the solvent B having a contact angle of less than 90 degrees. . Furthermore, it is preferable that the vapor pressure of the solvent A is made smaller than the vapor pressure of the solvent B, since the above-mentioned effect is maximized.

第1の塗工液を塗工した後に、溶剤の除去は、室温乾燥も含めた公知一般の乾燥方法を採用できる。特に、上記説明した残存溶剤量を満たすことが好ましい。   After the first coating liquid is applied, the solvent can be removed by a known general drying method including room temperature drying. In particular, it is preferable to satisfy the residual solvent amount described above.

また、第1の塗工液を塗工する前の第1の積層体1の前処理は、除電処理やクリーニング、親水化処理、疎水化処理等の公知一般の手法を適宜導入できる。   In addition, as the pretreatment of the first laminate 1 before applying the first coating liquid, known general techniques such as static elimination treatment, cleaning, hydrophilization treatment, and hydrophobization treatment can be appropriately introduced.

以下、本発明の効果を確認するために行った実施例について説明する。   Examples performed to confirm the effects of the present invention will be described below.

(実施例1)
実施例1においては、第2の積層体2の第1のマスク層の表面粗さRaの転写性への影響を簡便に調査した。第2の積層体2を次のように作製した。まず(1)円筒状マスターモールドを作製し、(2)円筒状マスターモールドに対して光転写法を適用して、モールドを作製した。(3)その後、モールドに対し第2のマスク層及び第1のマスク層をそれぞれ成膜し、第2の積層体を作製した。続いて、(4)第2の積層体を使用し、中間体を作製した。その後、(5)微細パタン構造体を経由して、被処理体に微細パタンを作製した。その後、(6)半導体発光素子を作製した。
Example 1
In Example 1, the influence of the surface roughness Ra of the first mask layer of the second laminate 2 on the transferability was simply investigated. The 2nd laminated body 2 was produced as follows. First, (1) a cylindrical master mold was produced, and (2) a light transfer method was applied to the cylindrical master mold to produce a mold. (3) Then, the 2nd mask layer and the 1st mask layer were each formed into a film with respect to a mold, and the 2nd layered product was produced. Subsequently, (4) the second laminate was used to produce an intermediate. Thereafter, (5) a fine pattern was formed on the object to be processed via the fine pattern structure. Thereafter, (6) a semiconductor light emitting device was produced.

(1)円筒状マスターモールドの作製
半導体レーザを用いた直接描画リソグラフィ法により円筒状石英ガラスの表面に、凹凸構造を形成した。まず石英ガラス表面を十二分に洗浄し、パーティクルを除去した。続いて、円筒状石英ガラス表面上に、スパッタリング法によりレジスト層を成膜した。スパッタリング法は、ターゲット(レジスト層)として、φ3インチのCuO(8atm%Si含有)を用いて、RF100Wの電力で実施し、20nmのレジスト層を成膜した。続いて、円筒状石英ガラスを回転させながら、波長405nmn半導体レーザを用い、レジスト層表面を一度露光した。続いて、一度露光されたレジスト層に対し、波長405nmのレーザ光を照射した。この時、露光パタンにより、ナノ構造の配列を制御した。次に、露光後のレジスト層を現像した。レジスト層の現像は、0.03wt%のグリシン水溶液を用いて、240秒間処理とした。次に、現像したレジスト層をマスクとし、ドライエッチングによるエッチング層(石英ガラス)のエッチングを行った。ドライエッチングは、エッチングガスとしてSFを用い、処理ガス圧1Pa及び処理電力300Wの条件で実施した。処理時間を変化させることで凹凸構造の開口部の大きさ及び凹凸構造の深さを調整した。最後に、表面に凹凸構造が付与された円筒状石英ガラスから、レジスト層残渣のみを、pH1の塩酸を用い剥離した。剥離時間は6分間とした。
(1) Production of cylindrical master mold An uneven structure was formed on the surface of cylindrical quartz glass by a direct drawing lithography method using a semiconductor laser. First, the quartz glass surface was thoroughly cleaned to remove particles. Subsequently, a resist layer was formed on the surface of the cylindrical quartz glass by a sputtering method. The sputtering method was carried out using φ3 inch CuO (containing 8 atm% Si) as a target (resist layer) with a power of RF 100 W to form a 20 nm resist layer. Subsequently, the surface of the resist layer was exposed once using a semiconductor laser having a wavelength of 405 nm while rotating the cylindrical quartz glass. Subsequently, the resist layer once exposed was irradiated with laser light having a wavelength of 405 nm. At this time, the arrangement of nanostructures was controlled by the exposure pattern. Next, the resist layer after exposure was developed. The development of the resist layer was performed for 240 seconds using a 0.03 wt% glycine aqueous solution. Next, using the developed resist layer as a mask, the etching layer (quartz glass) was etched by dry etching. Dry etching was performed using SF 6 as an etching gas under the conditions of a processing gas pressure of 1 Pa and a processing power of 300 W. The size of the opening of the concavo-convex structure and the depth of the concavo-convex structure were adjusted by changing the treatment time. Finally, only the resist layer residue was peeled off from the cylindrical quartz glass having a concavo-convex structure on its surface using hydrochloric acid having a pH of 1. The peeling time was 6 minutes.

得られた円筒状石英ガラスの凹凸構造に対し、フッ素系表面処理剤(デュラサーフHD−1101Z、ダイキン化学工業社製)を塗布し、60℃で1時間加熱後、室温で24時間静置し固定化した。その後、洗浄剤(デュラサーフHD−ZV、ダイキン化学工業社製)で3回洗浄し、円筒状マスターモールドを得た。   Fluorine-based surface treatment agent (Durasurf HD-1101Z, manufactured by Daikin Chemical Industries, Ltd.) is applied to the concavo-convex structure of the obtained cylindrical quartz glass, heated at 60 ° C. for 1 hour, and then allowed to stand at room temperature for 24 hours. Immobilized. Then, it wash | cleaned 3 times with the washing | cleaning agent (Durasurf HD-ZV, Daikin Chemical Industries make), and the cylindrical master mold was obtained.

(2)モールドの作製
作製した円筒状マスターモールドを鋳型とし、光ナノインプリント法を適用し、連続的にモールドG1を作製した。続いて、モールドG1をテンプレートとして、光ナノインプリント法により、連続的にモールドG2を得た。
(2) Production of mold Using the produced cylindrical master mold as a mold, a photo nanoimprint method was applied to continuously produce a mold G1. Subsequently, a mold G2 was continuously obtained by an optical nanoimprint method using the mold G1 as a template.

PETフィルムA−4100(東洋紡社製:幅300mm、厚さ100μm)の易接着面にマイクログラビアコーティング(廉井精機社製)により、塗布膜厚2μmになるように以下に示す材料1を塗布した。次いで、円筒状マスターモールドに対し、材料1が塗布されたPETフィルムをニップロールで押し付け、大気下、温度25℃、湿度60%で、ランプ中心下での積算露光量が1500mJ/cmとなるように、フュージョンUVシステムズ・ジャパン株式会社製UV露光装置(Hバルブ)を用いて紫外線を照射し、連続的に光硬化を実施し、表面に凹凸構造が転写されたリール状樹脂モールドG1(長さ200m、幅300mm)を得た。 The material 1 shown below was applied to the easy-adhesion surface of PET film A-4100 (manufactured by Toyobo Co., Ltd .: width 300 mm, thickness 100 μm) by microgravure coating (manufactured by Yurai Seiki Co., Ltd.) to a coating film thickness of 2 μm. . Next, the PET film coated with the material 1 is pressed against the cylindrical master mold with a nip roll so that the integrated exposure amount under the center of the lamp is 1500 mJ / cm 2 at 25 ° C. and 60% humidity in the air. In addition, a UV-irradiated UV exposure apparatus (H bulb) manufactured by Fusion UV Systems Japan Co., Ltd. is used to irradiate ultraviolet rays to carry out photocuring continuously. 200 m, width 300 mm).

次に、モールドG1をテンプレートとして見立て、光ナノインプリント法を適用し連続的に、モールドG2を作製した。   Next, the mold G1 was regarded as a template, and the optical nanoimprint method was applied to continuously produce the mold G2.

PETフィルムA−4100(東洋紡社製:幅300mm、厚さ100μm)の易接着面にマイクログラビアコーティング(廉井精機社製)により、材料1を塗布膜厚2μmになるように塗布した。次いで、モールドG1の凹凸構造面に対し、材料1が塗布されたPETフィルムをニップロール(0.1MPa)で押し付け、大気下、温度25℃、湿度60%で、ランプ中心下での積算露光量が1200mJ/cmとなるように、フュージョンUVシステムズ・ジャパン株式会社製UV露光装置(Hバルブ)を用いて紫外線を照射し、連続的に光硬化を実施し、表面に凹凸構造が転写されたリール状樹脂モールドG2(長さ200m、幅300mm)を複数得た。
材料1…フッ素含有ウレタン(メタ)アクリレート(OPTOOL DAC HP(ダイキン工業社製)):トリメチロールプロパン(EO変性)トリアクリレート(M350(東亞合成社製)):1−ヒドロキシシクロヘキシルフェニルケトン(Irgacure(登録商標)184(BASF社製 )):2−ベンジル−2−ジメチルアミノ−1−(4−モルフォリノフェニル)−ブタノン−1(Irgacure(登録商標)369(BASF社製))=17.5g:100g:5.5g:2.0gにて混合した材料
Material 1 was applied to an easy-adhesion surface of PET film A-4100 (manufactured by Toyobo Co., Ltd .: width 300 mm, thickness 100 μm) by microgravure coating (manufactured by Yurai Seiki Co., Ltd.) so as to have a coating film thickness of 2 μm. Next, the PET film coated with the material 1 is pressed against the concavo-convex structure surface of the mold G1 with a nip roll (0.1 MPa), and the integrated exposure amount under the center of the lamp is 25 ° C. and 60% humidity in the atmosphere. Reel with a concavo-convex structure transferred to the surface by irradiating with UV light using a UV exposure device (H bulb) manufactured by Fusion UV Systems Japan Co., Ltd. so that it becomes 1200 mJ / cm 2. A plurality of resin molds G2 (length 200 m, width 300 mm) were obtained.
Material 1 ... Fluorine-containing urethane (meth) acrylate (OPTOOL DAC HP (manufactured by Daikin Industries)): Trimethylolpropane (EO-modified) triacrylate (M350 (manufactured by Toagosei Co., Ltd.)): 1-hydroxycyclohexyl phenyl ketone (Irgacure ( (Registered trademark) 184 (manufactured by BASF)): 2-benzyl-2-dimethylamino-1- (4-morpholinophenyl) -butanone-1 (Irgacure (registered trademark) 369 (manufactured by BASF)) = 17.5 g : 100 g: 5.5 g: material mixed at 2.0 g

モールドG2を切り出し、走査型電子顕微鏡により観察を行った。モールドG2の凹凸構造は、三角格子の交点位置に複数の凹部設けられた、ホール状構造であった。また、平均ピッチ(Pav)は300nmであり、平均開口径は280nm、平均開口率は79%、平均凹部深さhは300nmであった。また、凹部の開口径は、凹部底部の径よりも大きく、凹部側面は傾斜を有していた。更に、凸部頂部と凹部側面部とは連続的に滑らかにつながった構造であった。走査型電子顕微鏡観察は、日立超高分解能電界放出形走査電子顕微鏡SU8010(株式会社日立ハイテクノロジーズ社製)を使用し、1.0kVの加速電圧にて行った。なお、以下の実施例にて表記する走査型電子顕微鏡は全て、本走査型電子顕微鏡である。   The mold G2 was cut out and observed with a scanning electron microscope. The concavo-convex structure of the mold G2 was a hole-like structure in which a plurality of concave portions were provided at the intersections of the triangular lattice. The average pitch (Pav) was 300 nm, the average opening diameter was 280 nm, the average opening ratio was 79%, and the average recess depth h was 300 nm. Moreover, the opening diameter of the recessed part was larger than the diameter of the recessed part bottom part, and the recessed part side surface had the inclination. Furthermore, the convex part top part and the recessed part side part were the structures connected continuously smoothly. Scanning electron microscope observation was performed using a Hitachi ultra-high resolution field emission scanning electron microscope SU8010 (manufactured by Hitachi High-Technologies Corporation) at an acceleration voltage of 1.0 kV. In addition, all the scanning electron microscopes described in the following examples are this scanning electron microscope.

(3)微細パタン形成用積層体(第2の積層体)の作製
モールドG2の凹凸構造面に対して、下記第2の塗工液1を塗工し、第1の積層体を作製した。続いて、第1の積層体の凹凸構造面上に、第1の塗工液1を塗工し、第2の積層体を得た。
第2の塗工液1…チタニウムテトラブトキシド,モノマ(和光純薬工業社製):3アクリロキシプロピルトリメトキシシラン(信越シリコーン社製):フェニル変性シリコーン(東レ・ダウコーニング社製):1−ヒドロキシ−シクロヘキシル−フェニル−ケトン(Irgacure184、BASF社製):2−ベンジル−2−ジメチルアミノ−1−(4−モルフォリノフェニル)−ブタノン−1(Irgacure369、BASF社製)=65.2g:34.8g:5.0g:1.9g:0.7gにて調合し、プロピレングリコールモノメチルエーテルにて希釈した塗工液
第1の塗工液1…材料(3)…バインダ樹脂:トリシクロデカンジメタノールジアクリレート(SR833、SARTOMER社製):トリス(2−ヒドロキシエチル)イソシアヌレートトリアクリレート(SR368、SARTOMER社製):1−ヒドロキシシクロヘキシルフェニルケトン(Irgacure(登録商標)184(BASF社製)):2−ベンジル−2−ジメチルアミノ−1−(4−モルフォリノフェニル)−ブタノン−1(Irgacure(登録商標)369(BASF社製))=77.1g:11.5g:11.5g:1.47g:0.53gにて混合した組成物をプロピレングリコール及びメチルエチルケトンの混合溶剤にて希釈した材料。なお、バインダ樹脂は、ベンジルメタクリレート80質量%、メタクリル酸20質量%の2元共重合体のメチルエチルケトン溶液(固形分50%、重量平均分子量56000、酸当量430、分散度2.7)を使用した。
(3) Production of Laminate for Forming Fine Pattern (Second Laminate) The following second coating liquid 1 was applied to the concavo-convex structure surface of the mold G2 to produce a first laminate. Then, the 1st coating liquid 1 was applied on the uneven structure surface of the 1st laminated body, and the 2nd laminated body was obtained.
Second coating solution 1 ... titanium tetrabutoxide, monomer (manufactured by Wako Pure Chemical Industries, Ltd.): 3 acryloxypropyltrimethoxysilane (manufactured by Shin-Etsu Silicone): phenyl-modified silicone (manufactured by Dow Corning Toray): 1- Hydroxy-cyclohexyl-phenyl-ketone (Irgacure 184, manufactured by BASF): 2-benzyl-2-dimethylamino-1- (4-morpholinophenyl) -butanone-1 (Irgacure 369, manufactured by BASF) = 65.2 g: 34 ... 8 g: 5.0 g: 1.9 g: 0.7 g Preparation liquid diluted with propylene glycol monomethyl ether First coating liquid 1... Material (3)... Binder resin: Tricyclodecandi Methanol diacrylate (SR833, manufactured by SARTOMER): Tris (2-hydroxy ester) L) Isocyanurate triacrylate (SR368, manufactured by SARTOMER): 1-hydroxycyclohexyl phenyl ketone (Irgacure (registered trademark) 184 (manufactured by BASF)): 2-benzyl-2-dimethylamino-1- (4-morpholino) Phenyl) -butanone-1 (Irgacure (registered trademark) 369 (manufactured by BASF)) = 77.1 g: 11.5 g: 11.5 g: 1.47 g: 0.53 g A material diluted with a mixed solvent of The binder resin used was a methyl ethyl ketone solution (solid content 50%, weight average molecular weight 56000, acid equivalent 430, dispersity 2.7) of a binary copolymer of 80% by mass of benzyl methacrylate and 20% by mass of methacrylic acid. .

第2の塗工液1を、モールドの製造に使用した装置と同様の装置を使用し、モールドG2の凹凸構造面上に直接塗工した。ここで、希釈濃度は、単位面積当たりの固形分量が、単位面積当たりの凹凸構造の体積よりも20%以上小さくなるように設定した。塗工後、85℃の送風乾燥炉内を5分間かけて通過させ、第2のマスク層を凹凸構造内部に内包する第1の積層体を巻き取り回収した。   The second coating liquid 1 was directly applied onto the concavo-convex structure surface of the mold G2 using the same apparatus as that used for the mold production. Here, the dilution concentration was set so that the solid content per unit area was 20% or more smaller than the volume of the concavo-convex structure per unit area. After coating, the film was passed through an air-drying oven at 85 ° C. for 5 minutes, and the first laminated body including the second mask layer inside the concavo-convex structure was wound and collected.

第1の積層体を切り出し、走査型電子顕微鏡を用い断面観察を行ったところ、モールドG2の凹部内部に凹部内マスク層が充填されていることが観察された。また、透過型電子顕微鏡とエネルギ分散型X線分光法を併用することで、モールドG2の凸部頂上に、凸部上マスク層が配置されていないことが確認された。ここで、透過型電子顕微鏡とエネルギ分散型X線分光法の分解能は数nm以下であることから、距離(lcv)は数nm以下となる。また、凹部内マスク層の平均充填量は、深さ換算にて80nmであったことから、距離(lcc)は220nm(=0.73h)であることが確認された。   When the 1st laminated body was cut out and cross-sectional observation was performed using the scanning electron microscope, it was observed that the recessed part mask layer was filled inside the recessed part of mold G2. Moreover, it was confirmed by using a transmission electron microscope and energy dispersive X-ray spectroscopy together that the convex part upper mask layer is not arranged on the convex part top of the mold G2. Here, since the resolution of the transmission electron microscope and the energy dispersive X-ray spectroscopy is several nanometers or less, the distance (lcv) is several nanometers or less. Moreover, since the average filling amount of the mask layer in the recess was 80 nm in terms of depth, it was confirmed that the distance (lcc) was 220 nm (= 0.73 h).

続いて、第1の積層体を巻き出すと共に、ダイコータを使用し、第1の塗工液1を、凹凸構造面上に直接塗工した。塗工後、95℃の送風乾燥炉内を5分間かけて通過させ、第1のマスク層の表面にカバーフィルムを合わせ、巻き取り回収した。ここで、カバーフィルムの種類及びカバーフィルムを貼合する際の圧力及び温度を制御することで、第2の積層体の第1のマスク層表面の表面粗さを制御した。   Then, while unwinding the 1st laminated body, the 1st coating liquid 1 was directly coated on the uneven structure surface using the die-coater. After coating, the film was passed through a 95 ° C. blast drying oven for 5 minutes, the cover film was aligned with the surface of the first mask layer, and the material was wound and collected. Here, the surface roughness of the 1st mask layer surface of a 2nd laminated body was controlled by controlling the pressure and temperature at the time of bonding the kind of cover film, and a cover film.

第2の積層体を切断し、第1のマスク層の表面の表面粗さRaを求めた。表面粗さRaは、原子間力顕微鏡(株式会社キーエンス社製のNanoscale Hybrid Microscope VN−8000)を使用し、測定範囲を200μm(比率1:1)に設定し、サンプリング周波数0.51Hzにて操作し測定した。原子間力顕微鏡の観察は、湿度が40%〜50%のクラス1000のクリーンルームで行い、上記装置VN−8000に付帯される光学顕微鏡により異物の観察された箇所を避けて行った。また、サンプル測定前に、サンプルをイオナイザにより除電し、更にエアブローにて洗浄した。   The 2nd laminated body was cut | disconnected and surface roughness Ra of the surface of a 1st mask layer was calculated | required. For the surface roughness Ra, an atomic force microscope (Nanoscale Hybrid Microscope VN-8000 manufactured by Keyence Corporation) was used, the measurement range was set to 200 μm (ratio 1: 1), and the operation was performed at a sampling frequency of 0.51 Hz. And measured. The atomic force microscope was observed in a class 1000 clean room with a humidity of 40% to 50%, avoiding locations where foreign matter was observed with an optical microscope attached to the device VN-8000. Further, before the sample measurement, the sample was neutralized with an ionizer and further washed with an air blow.

また、走査型電子顕微鏡及び透過型電子顕微鏡を用い断面観察を行い、距離(lor)を求めたところ、150nmであった。距離(lor)は、原子間力顕微鏡にて使用したサンプルと略同じ位置の断面を、上記走査型電子顕微鏡を使用し、加速電圧1.0kVにて解析し測定した。距離(lor)を求めるに当たり、20μm間隔毎に撮像を行い、5つの観察像を得た。各観察像から、距離(lor)を任意に5つ測定し、計25点の距離(lor)の相加平均値を距離(lor)とした。また、観察倍率は、鮮明に観察されるモールドG2の凹凸構造の複数の凹部が10〜20個、観察像内に収まる倍率とした。   Further, cross-sectional observation was performed using a scanning electron microscope and a transmission electron microscope, and the distance (lor) was determined to be 150 nm. The distance (lor) was measured by analyzing a cross section at substantially the same position as the sample used in the atomic force microscope at the acceleration voltage of 1.0 kV using the scanning electron microscope. In obtaining the distance (lor), images were taken at intervals of 20 μm to obtain five observation images. From each observation image, five arbitrary distances (lor) were measured, and the arithmetic average value of the total distance (lor) of 25 points was defined as the distance (lor). Moreover, the observation magnification was set to a magnification at which 10 to 20 concave portions of the concave-convex structure of the mold G2 that can be clearly observed fit within the observation image.

なお、以下の実施例において評価した第2の積層体の第1のマスク層の表面粗さRa及び距離(lor)は、上記装置と条件により測定した値である。   Note that the surface roughness Ra and the distance (lor) of the first mask layer of the second laminate evaluated in the following examples are values measured by the above apparatus and conditions.

解析結果を表1にまとめた。表1に記載のNo.1のサンプルは、第2の積層体2を製造する際に、カバーフィルムを使用せずに作製した場合である。即ち、支持基材であるPETフィルムの凹凸構造とは反対側の面が、第1のマスク層の保護層として機能している場合である。   The analysis results are summarized in Table 1. No. described in Table 1 Sample 1 is a case where the second laminate 2 was produced without using a cover film. That is, this is a case where the surface opposite to the concave-convex structure of the PET film, which is the support substrate, functions as a protective layer for the first mask layer.

No.2のサンプルは、COPフィルムをカバーフィルムとして使用した場合である。   No. The sample of 2 is a case where a COP film is used as a cover film.

No.3のサンプルは、LDPE(低密度ポリエチレン)フィルムをカバーフィルムとして選択した場合である。   No. Sample 3 is the case where an LDPE (low density polyethylene) film is selected as the cover film.

No.4のサンプルは、平均ピッチが300nmの凹凸構造を具備するカバーフィルムを作製し、当該カバーフィルムを使用した場合である。   No. Sample 4 is a case where a cover film having a concavo-convex structure with an average pitch of 300 nm is prepared and the cover film is used.

(4)中間体の作製
作製した第2の積層体を使用し中間体を作製した。この時の貼合及び転写性を確認した。
(4) Production of Intermediate An intermediate was produced using the produced second laminate. The pasting and transferability at this time were confirmed.

被処理体として4インチφのサファイア基材(c面、オフ角0.2度)を選択した。サファイア基材に対してUV−O処理を5分間行い、表面のパーティクルを除去すると共に、親水化した。続いて、第2の積層体を、サファイア基材に対して貼合した。この時、サファイア基材を105℃に加温した状態で貼合した。続いて、サファイア基材を23℃まで自然冷却した。 A 4-inch φ sapphire substrate (c-plane, off angle 0.2 °) was selected as the object to be processed. The sapphire substrate was subjected to UV-O 3 treatment for 5 minutes to remove surface particles and to make it hydrophilic. Then, the 2nd laminated body was bonded with respect to the sapphire base material. At this time, the sapphire substrate was bonded in a state heated to 105 ° C. Subsequently, the sapphire substrate was naturally cooled to 23 ° C.

続いて、積算光量が1200mJ/cmになるように高圧水銀灯を使用しUV光を照射し、モールドG2を剥離した。剥離後に、サファイア基材上に転写された第2のマスク層及び第1のマスク層に対し、原子間力顕微鏡に付帯される光学顕微鏡を使用し、転写性を判断した。結果を表2にまとめた。なお、本試験は表2中の「転写」に記載した。 Subsequently, the mold G2 was peeled off by irradiating with UV light using a high-pressure mercury lamp so that the integrated light amount was 1200 mJ / cm 2 . After peeling, the transferability of the second mask layer and the first mask layer transferred onto the sapphire substrate was judged using an optical microscope attached to an atomic force microscope. The results are summarized in Table 2. This test was described in “Transfer” in Table 2.

表2に記載した評価は以下の指針に従った。なお、下記評価指針は、貼合雰囲気中の異物により生成するエアボイドも含んでいる。
◎+… 直径が10μm以上100μm以下のエアボイドの数が5個以下であった場合。
◎… 該エアボイドの数が6個以上12個以下であった場合。
〇… 該エアボイドの数が13個以上25個以下であった場合。
△… 該エアボイドの数が26個以上50個以下であった場合。
×… 該エアボイドの数が51個以上であった場合。
The evaluation described in Table 2 followed the following guidelines. In addition, the following evaluation guideline also contains the air void produced | generated by the foreign material in bonding atmosphere.
◎ + ... When the number of air voids having a diameter of 10 μm or more and 100 μm or less is 5 or less.
A: When the number of air voids is 6 or more and 12 or less.
O ... When the number of air voids is 13 or more and 25 or less.
Δ: When the number of the air voids is 26 or more and 50 or less.
X: When the number of the air voids is 51 or more.

Figure 0006307258
Figure 0006307258

Figure 0006307258
Figure 0006307258

表1及び表2より、第1のマスク層の表面のRaが小さい程、転写性が良好になることがわかる。これは、第1のマスク層の表層の流動性により、第1のマスク層とサファイア基材との界面のエアボイドに代表される界面不陸を良好に吸収できたためである。   From Tables 1 and 2, it can be seen that the smaller the Ra on the surface of the first mask layer, the better the transferability. This is because the unevenness of the interface represented by air voids at the interface between the first mask layer and the sapphire substrate can be satisfactorily absorbed by the fluidity of the surface layer of the first mask layer.

(5)微細パタンの作製
最後に、中間体から出発して、微細パタン構造体を経由して、被処理体を加工し、微細パタンを得た。
(5) Production of fine pattern Finally, starting from the intermediate, the object to be processed was processed through the fine pattern structure to obtain a fine pattern.

中間体の第2のマスク層側から、酸素ガスを使用したエッチングを行い、第1のマスク層を加工して、微細マスクパタンを得た。酸素エッチンングとしては、圧力1Pa、電力300Wの条件にて行った。続いて、BClガスを使用した反応性イオンエッチングを行い、サファイア基材を加工した。反応性イオンエッチングは、ICP:150W、BIAS:50W、圧力0.2Paにて実施し、反応性イオンエッチング装置(RIE−101iPH、サムコ株式会社製)を使用した。 Etching using oxygen gas was performed from the second mask layer side of the intermediate, and the first mask layer was processed to obtain a fine mask pattern. Oxygen etching was performed under conditions of a pressure of 1 Pa and a power of 300 W. Subsequently, reactive ion etching using BCl 3 gas was performed to process the sapphire substrate. Reactive ion etching was performed at ICP: 150 W, BIAS: 50 W, and pressure 0.2 Pa, and a reactive ion etching apparatus (RIE-101iPH, manufactured by Samco Corporation) was used.

最後に、硫酸及び過酸化水素水を2:1の重量比にて混合した溶液にて洗浄し、微細パタンを表面に具備するサファイア基材を得た。   Finally, it was washed with a solution in which sulfuric acid and hydrogen peroxide water were mixed at a weight ratio of 2: 1 to obtain a sapphire substrate having a fine pattern on the surface.

サファイアの表面に作製された微細パタンの形状は、円筒状マスターモールドに作製した凹凸構造の形状、モールドを製造する際のニップ圧条件、ドライエッチングの処理条件により適宜制御できた。   The shape of the fine pattern produced on the surface of sapphire could be appropriately controlled by the shape of the concavo-convex structure produced in the cylindrical master mold, the nip pressure condition when producing the mold, and the dry etching process conditions.

走査型電子顕微鏡観察より、微細パタンは、複数のほぼ円錐状凸部が互いに離間し配列していることが観察された。また、凹部底部には平坦面が設けられていた。また、凸部の底部の輪郭形状は真円ではなくわずかに歪んでいることが確認された。更に、ほぼ円錐状凸部の凸部側面の傾斜角度は二段階に変化していた。   From the observation with a scanning electron microscope, it was observed that the fine pattern had a plurality of substantially conical convex portions spaced apart from each other. Moreover, the flat surface was provided in the recessed part bottom part. Moreover, it was confirmed that the outline shape of the bottom part of the convex part is not a perfect circle but slightly distorted. Furthermore, the inclination angle of the convex side surface of the substantially conical convex portion changed in two stages.

第2の積層体を使用しサファイア基材上に第2のマスク層及び第1のマスク層が転写付与された箇所における、ドライエッチング後のサファイア基材に対する走査型電子顕微鏡観察から、サファイア基材上に、凹凸構造が表1の記載の第2の積層体の種類によらず、形成されていることが確認された。結果を表2に記載した。なお、表2中、「加工」が、本観察結果に相当する。   From observation with a scanning electron microscope on the sapphire substrate after dry etching at the location where the second mask layer and the first mask layer were transferred onto the sapphire substrate using the second laminate, Above, it was confirmed that the concavo-convex structure was formed regardless of the type of the second laminate described in Table 1. The results are shown in Table 2. In Table 2, “processing” corresponds to this observation result.

以上から、第2の積層体を使用することで、第2のマスク層及び第1のマスク層を転写付与できた箇所においては、サファイアを良好に加工することが可能であることがわかる。   From the above, it can be seen that sapphire can be satisfactorily processed at the location where the second mask layer and the first mask layer can be transferred by using the second laminate.

(6)半導体発光素子の作製
得られたサファイア基材上に、MOCVDにより、(1)AlGaN低温バッファ層、(2)n型GaN層、(3)n型AlGaNクラッド層、(4)InGaN発光層(MQW)、(5)p型AlGaNクラッド層、(6)p型GaN層、(7)ITO層を連続的に積層した。サファイア基材上の凹凸は、(2)n型GaN層の積層時に埋められて、平坦化する製膜条件とした。最後に、チップ化し、チップに対する出力性能を評価した。
(6) Fabrication of semiconductor light-emitting device On the obtained sapphire substrate, MOCVD was used to (1) AlGaN low-temperature buffer layer, (2) n-type GaN layer, (3) n-type AlGaN cladding layer, (4) InGaN light emission Layer (MQW), (5) p-type AlGaN cladding layer, (6) p-type GaN layer, and (7) ITO layer were laminated successively. Irregularities on the sapphire substrate were (2) film-forming conditions for filling and planarizing when the n-type GaN layer was laminated. Finally, the chip was made and the output performance for the chip was evaluated.

評価は、横軸にLEDチップの性能、縦軸にLEDチップの個数を記録した図より行った。結果を表2に記載した。なお、本試験結果は表2中の「分布」に記載した。   The evaluation was performed from the figure in which the horizontal axis indicates the performance of the LED chip and the vertical axis indicates the number of LED chips. The results are shown in Table 2. The test results are listed in “Distribution” in Table 2.

構造を有さない、即ち平坦なサファイア基板を使用した場合のLEDチップ分布を基準として、該基準分布よりも分布が2%〜4%右にシフトした場合を△、5%〜7%右にシフトした場合を〇、8%〜9%右にシフトした場合を◎、10%以上右にシフトした場合を◎+とした。また、×は分布のシフトが2%未満であった場合である。   When the distribution is shifted to the right by 2% to 4% from the reference distribution on the basis of the LED chip distribution when a flat sapphire substrate having no structure is used, that is, Δ is 5% to 7% to the right A case where the shift is performed is ◯, a case where the shift is performed 8% to 9% to the right, and a case where the shift is performed 10% or more to the right are determined as ◎ +. Moreover, x is a case where the shift of distribution is less than 2%.

以上から、第2の積層体を使用することで、LEDの性能分布を改善できることがわかる。この理由は以下のように考えることができる。   From the above, it can be seen that the performance distribution of the LED can be improved by using the second laminate. The reason can be considered as follows.

第2の積層体を使用することで、サファイア基材の表面の一部に、或いは全面にナノパタンを形成することができる。サファイア基材上にナノパタンが設けられた場合、半導体結晶層の成長に際し、化学蒸着の成長モードを乱すことが可能となる。即ち、LEDの半導体層内に存在する転位を低減できるため、内部量子効率を向上させることが可能となる。このため、高効率なLEDチップの個数が転写精度に伴い増加したと考えられる。   By using the second laminated body, a nano pattern can be formed on a part of the surface of the sapphire substrate or on the entire surface. When the nano pattern is provided on the sapphire substrate, the growth mode of chemical vapor deposition can be disturbed when the semiconductor crystal layer is grown. That is, since the dislocations existing in the semiconductor layer of the LED can be reduced, the internal quantum efficiency can be improved. For this reason, it is considered that the number of highly efficient LED chips increased with the transfer accuracy.

(実施例2)
実施例1より、第1のマスク層の表面の粗さRaにより、転写性が大きく左右されることが確認された。実施例2においては、第1のマスク層の表面粗さRaの影響をより詳細に調査した。
(Example 2)
From Example 1, it was confirmed that the transferability greatly depends on the surface roughness Ra of the first mask layer. In Example 2, the influence of the surface roughness Ra of the first mask layer was investigated in more detail.

実施例1と同様に、第1の積層体を製造した。続いて、第1の積層体を裁断し、凹凸構造上に第1の塗工液1を、バーコータ法により塗工した。塗工線速は25mm/sec.とした。その後、95℃の乾燥炉内に10分間静置させ、第2の積層体を得た。第2の積層体に対して走査型電子顕微鏡観察を行い、距離(lor)が800nmであることを確認した。次に、第2の積層体の第1のマスク層に対して、カバーフィルムを、ラミネータにて貼り合わせた。ラミネータの条件は、温度を60℃にし、圧力を0.01MPa、0.05MPa及び0.1MPaとした。カバーフィルムとしては、モールドG1を使用した。カバーフィルムとして使用したモールドG1の平均ピッチ(Pav)は、100nm、300nm、500nm、700nm、900nm又は1200nmのいずれかである。即ち、カバーフィルムとして6種類、カバーフィルムの貼り合わせ条件として3条件の合計18条件の検討を行った。ここでは、カバーフィルム表面の物理的性状を、第1のマスク層に転写することで、表面粗さRaを制御していることになる。   A first laminate was manufactured in the same manner as in Example 1. Subsequently, the first laminate was cut, and the first coating liquid 1 was applied on the concavo-convex structure by a bar coater method. The coating line speed is 25 mm / sec. It was. Then, it was left still for 10 minutes in a 95 degreeC drying furnace, and the 2nd laminated body was obtained. The second laminate was observed with a scanning electron microscope, and it was confirmed that the distance (lor) was 800 nm. Next, a cover film was bonded to the first mask layer of the second laminate with a laminator. The laminator conditions were a temperature of 60 ° C. and pressures of 0.01 MPa, 0.05 MPa, and 0.1 MPa. As the cover film, mold G1 was used. The average pitch (Pav) of the mold G1 used as the cover film is any of 100 nm, 300 nm, 500 nm, 700 nm, 900 nm, or 1200 nm. That is, a total of 18 conditions were examined including 6 types as the cover film and 3 conditions as the bonding condition of the cover film. Here, the surface roughness Ra is controlled by transferring the physical properties of the cover film surface to the first mask layer.

実施例1と同様に中間体を製造し、転写性及び減少率を評価した。転写性の評価指標は実施例1と同様である。結果を表3及び表4に記載した。   Intermediates were produced in the same manner as in Example 1, and the transferability and reduction rate were evaluated. The transferability evaluation index is the same as in Example 1. The results are shown in Tables 3 and 4.

Figure 0006307258
Figure 0006307258

Figure 0006307258
Figure 0006307258

表3より、第1のマスク層の表面の表面粗さRaが470nm以下になることで、転写性が大きく向上していることがわかる。これは、第1のマスク層の表層の流動性が向上したためと考えられる。   From Table 3, it can be seen that the transferability is greatly improved when the surface roughness Ra of the surface of the first mask layer is 470 nm or less. This is considered because the fluidity of the surface layer of the first mask layer was improved.

表4より、第2の積層体をサファイア基材に貼り合わせる際の速度を、10mm/sec.〜100mm/sec.の範囲にて、10mm/sec.毎に変化させたところ、速度が速くなる程、表面粗さRaが580nm以上の領域においては、エアボイドの数が増加するだけでなく、第1のマスク層とサファイア基材とが密着しない部分の割合が特に増加することが確認された。より具体的には、表面粗さRaが580nm以上の領域においては、第2の積層体をサファイア基材に貼り合わせる際の速度が10mm/sec.の場合を基準として、50mm/sec.に増加させた時の、第1のマスク層のサファイア基材に対して転写された割合の減少率が50%を超えていることが確認された。一方で、表面粗さRaが470nm以下の領域においては、該減少率は20%未満であった。   From Table 4, the speed at the time of bonding a 2nd laminated body to a sapphire base material is 10 mm / sec. ~ 100 mm / sec. In the range of 10 mm / sec. As the speed increases, not only the number of air voids increases but also the portion where the first mask layer and the sapphire substrate do not adhere to each other in the region where the surface roughness Ra is 580 nm or more. It was confirmed that the ratio increased particularly. More specifically, in the region where the surface roughness Ra is 580 nm or more, the speed when the second laminate is bonded to the sapphire substrate is 10 mm / sec. Of 50 mm / sec. It was confirmed that the reduction rate of the ratio transferred to the sapphire substrate of the first mask layer exceeded 50%. On the other hand, in the region where the surface roughness Ra is 470 nm or less, the reduction rate was less than 20%.

減少率の評価指標は以下の通りである。
◎+…第2の積層体をサファイア基材に貼りあわせる際の速度が10mm/sec.の場合を基準として、50mm/secに増加させた時に、第1のマスク層のサファイア基材に対して転写された割合の減少率が5%未満の場合。
◎… 該減少率が、6%超10%未満の場合。
〇… 該減少率が、11%超15%未満の場合。
△… 該減少率が、16%超20%未満の場合。
×… 該減少率が、20%超の場合。
The evaluation index of the decrease rate is as follows.
◎ + ... The speed when the second laminate is bonded to the sapphire substrate is 10 mm / sec. In the case where the rate of reduction of the ratio of the first mask layer transferred to the sapphire substrate is less than 5% when increased to 50 mm / sec on the basis of the above case.
A: When the reduction rate is more than 6% and less than 10%.
O ... When the reduction rate is more than 11% and less than 15%.
Δ: When the reduction rate is more than 16% and less than 20%.
X: When the reduction rate exceeds 20%.

以上から、表面粗さRaが小さい程、第1のマスク層の表層の流動性が良好となり、第1のマスク層とサファイア基材との界面不陸を吸収する効果が大きくなるため、転写性が良好に保たれると考えることができる。特に、表面粗さRaが500nm以下であることが好ましいことが分かった。更に、表面粗さRaが290nm以下の範囲の場合、エアボイドの発生率がより低減することが確認された。特にこの場合、別の検討から、第2の積層体を被処理体に貼り合わせる際の圧力を低く保つことができることがわかった。この観点から300nm以下がより好ましいと考えられる。更に、表面粗さRaが150nm以下であれば、転写性がより良好になることがわかった。また、エアボイドの大きさが小さくなることが確認された。この場合、別の検討から、第2の積層体をサファイア基材に貼りあわせる際の、ラミネート圧力の分布(斑)に対する許容度が大きいこともわかった。この観点から、150nm以下であることがより好ましい。更に、50nm以下であれば、4インチのサファイア基板上のエアボイドの数が減少すると共に、その大きさが非常に小さくなることが確認された。即ち、第1のマスク層のサファイア基材に対する転写率を考えた場合、非常に大きくなることがわかった。   From the above, the smaller the surface roughness Ra, the better the fluidity of the surface layer of the first mask layer and the greater the effect of absorbing the unevenness of the interface between the first mask layer and the sapphire substrate. Can be considered to be well maintained. In particular, it was found that the surface roughness Ra is preferably 500 nm or less. Furthermore, it was confirmed that when the surface roughness Ra is in the range of 290 nm or less, the generation rate of air voids is further reduced. In particular, in this case, it was found from another study that the pressure when the second laminate is bonded to the object to be processed can be kept low. From this viewpoint, it is considered that 300 nm or less is more preferable. Furthermore, it was found that when the surface roughness Ra is 150 nm or less, the transferability becomes better. Further, it was confirmed that the size of the air void was reduced. In this case, it was also found from another study that the tolerance for the distribution (spots) of the lamination pressure when the second laminate was bonded to the sapphire substrate was large. From this viewpoint, the thickness is more preferably 150 nm or less. Furthermore, if it was 50 nm or less, it was confirmed that the number of air voids on the 4-inch sapphire substrate decreased and the size thereof became very small. That is, it was found that when the transfer rate of the first mask layer to the sapphire substrate is considered, it becomes very large.

一方で、別途第1のマスク層の表面粗さRaの最低値を調査した。表面粗さRaを限りなく小さくするために、カバーフィルムの代わりにフッ素系シランカップリング材にて単層表面処理をしたシリコンウェハを使用し、真空下にて第1のマスク層に貼り合わせた。この時、40℃に加温した状態にて貼り合わせを行った。また、24℃まで冷却し除去した。このようにして、表面粗さRaを非常に小さくしたサンプルを作製した。ここで、表面粗さRaは、1nm程度まで減少させることができた。このような表面粗さRaが非常に小さな場合であっても、上記説明した結果に特異的な変化は見られなかった。しかしながら、第2の積層体の量産性及び制御性は劣ることがわかる。よって、第1のマスク層の表面の粗さRaは、1nm以上であることが好ましい。特に、2nm以上であれば、第2の積層体を量産する際の、第1のマスク層の破損を抑制しやすいことがわかった。   On the other hand, the minimum value of the surface roughness Ra of the first mask layer was separately investigated. In order to reduce the surface roughness Ra as much as possible, a silicon wafer having a single layer surface treatment with a fluorine-based silane coupling material was used instead of the cover film, and the silicon wafer was bonded to the first mask layer under vacuum. . At this time, it bonded together in the state heated at 40 degreeC. Moreover, it cooled to 24 degreeC and removed. In this way, a sample having a very small surface roughness Ra was produced. Here, the surface roughness Ra could be reduced to about 1 nm. Even when the surface roughness Ra was very small, no specific change was observed in the results described above. However, it turns out that the mass productivity and controllability of the second laminate are inferior. Therefore, the surface roughness Ra of the first mask layer is preferably 1 nm or more. In particular, it has been found that if the thickness is 2 nm or more, it is easy to suppress damage to the first mask layer when the second laminate is mass-produced.

更に、上記検討において、モールドG2の表面自由エネルギを変化させた。表面自由エネルギは、モールドを製造する際に使用した材料1のフッ素含有ウレタン(メタ)アクリレート(OPTOOL DAC HP(ダイキン工業社製))の添加量を変化させることで行った。具体的には、材料1のトリメチロールプロパン(EO変性)トリアクリレート(M350(東亞合成社製))に対する添加量を1重量%〜30重量%の間にて変化させた。作製したモールドG2に対して、水滴の接触角を測定した。モールドG2としては、接触角表記にて、91度、101度、114度、125度、139度及び148度の6種類を作製した。この6種類のモールドG2を使用した場合であっても、上記説明した表面粗さRaの傾向は同様に観察された。即ち、モールドの表面自由エネルギの影響によらず、転写性を良好に保つことができることがわかった。   Furthermore, in the above examination, the surface free energy of the mold G2 was changed. The surface free energy was changed by changing the amount of fluorine-containing urethane (meth) acrylate (OPTOOL DAC HP (manufactured by Daikin Industries)) of the material 1 used in manufacturing the mold. Specifically, the amount of material 1 added to trimethylolpropane (EO-modified) triacrylate (M350 (manufactured by Toagosei Co., Ltd.)) was varied between 1 wt% and 30 wt%. The contact angle of water droplets was measured on the produced mold G2. As the mold G2, six types of 91 degrees, 101 degrees, 114 degrees, 125 degrees, 139 degrees, and 148 degrees were produced by the contact angle notation. Even when these six types of molds G2 were used, the above-described tendency of the surface roughness Ra was similarly observed. That is, it was found that the transferability can be kept good regardless of the influence of the surface free energy of the mold.

また、上記検討において、被処理体の表面自由エネルギを変化させた。表面自由エネルギは、サファイア基材に対する表面処理を行い変化させた。より具体的には、無水トルエン溶剤の中にサファイア基材を浸漬し、105〜110℃の温度にて30分間加温した。次に、無水トルエンにメチルトリメトキシシラン及びテトラエトキシシランの混合物を10重量%の濃度にて溶解させた。得られた溶液の中に、浸漬加温処理を施したサファイア基材を浸漬した。この時、24℃にて8時間保持した。その後、サファイア基材を取り出し、無水トルエンにて十分に洗浄した後に、アセトンにて洗浄し、最後にエタノールにて洗浄した。洗浄後、サファイア基板を120℃にて15分間乾燥させ、処理を完了した。ここで、メチルトリメトキシシラン及びテトラエトキシシランを、モル比を1:99〜92:8の間にて変化させた。得られたサファイア基材に対して水滴の接触角を測定した。サファイア基材としては、接触角表記にて、25度、61度、69度、81度、91度、109度及び117度の7種類を作製した。この7種類のサファイア基材を使用した場合であっても、上記説明した表面粗さRaの傾向は同様に観察された。即ち、サファイア基材の表面自由エネルギの影響によらず、転写性を良好に保つことができることがわかった。   Moreover, in the said examination, the surface free energy of the to-be-processed object was changed. The surface free energy was changed by performing a surface treatment on the sapphire substrate. More specifically, the sapphire substrate was immersed in an anhydrous toluene solvent and heated at a temperature of 105 to 110 ° C. for 30 minutes. Next, a mixture of methyltrimethoxysilane and tetraethoxysilane was dissolved in anhydrous toluene at a concentration of 10% by weight. The sapphire base material which performed the immersion heating process was immersed in the obtained solution. At this time, it was kept at 24 ° C. for 8 hours. Thereafter, the sapphire substrate was taken out, washed thoroughly with anhydrous toluene, then washed with acetone, and finally washed with ethanol. After washing, the sapphire substrate was dried at 120 ° C. for 15 minutes to complete the treatment. Here, the molar ratio of methyltrimethoxysilane and tetraethoxysilane was changed between 1:99 and 92: 8. The contact angle of the water droplet was measured with respect to the obtained sapphire substrate. As the sapphire substrate, seven types of 25 degrees, 61 degrees, 69 degrees, 81 degrees, 91 degrees, 109 degrees, and 117 degrees were prepared according to the contact angle notation. Even when these seven types of sapphire substrates were used, the above-described tendency of the surface roughness Ra was observed in the same manner. That is, it was found that the transferability can be kept good regardless of the influence of the surface free energy of the sapphire substrate.

(実施例3)
実施例1及び実施例2より、第2の積層体の第1のマスク層の表面粗さRaが所定の範囲であれば、モールドの表面自由エネルギ及び被処理体の表面自由エネルギによらず、転写性を良好に保てることがわかった。実施例3においては、被処理体の加工に注目し、第1のマスク層の好ましい物性を調査した。
(Example 3)
From Example 1 and Example 2, if the surface roughness Ra of the first mask layer of the second laminate is within a predetermined range, regardless of the surface free energy of the mold and the surface free energy of the object to be processed, It was found that the transferability can be kept good. In Example 3, paying attention to the processing of the object to be processed, preferred physical properties of the first mask layer were investigated.

実施例1と同様に、モールドG2を作製した。但し、円筒状マスターモールドの凹凸構造の平均ピッチを700nmに変更した。これに伴い、モールドG2の平均ピッチも700nmに変更した。続いて、実施例1と同様に第1の積層体を製造した。   A mold G2 was produced in the same manner as in Example 1. However, the average pitch of the concavo-convex structure of the cylindrical master mold was changed to 700 nm. Along with this, the average pitch of the mold G2 was also changed to 700 nm. Then, the 1st laminated body was manufactured similarly to Example 1. FIG.

続いて、第1の積層体1の凹凸構造面上に、下記組成物3−1〜3−12を塗工した。なお、塗工方法は、実施例2と同様にし、バーコータ法を採用した。また、第1の塗工液に使用した溶剤は、シクロヘキサノン、プロピレングリコールモノメチルエーテル、及びメチルイソブチルケトンの混合溶剤とした。また、乾燥温度は105度とした。また、いずれの組成物を使用した場合も、実施例1の表1のNo.1に記載のサンプルと同様に第2の積層体を回収した。   Subsequently, the following compositions 3-1 to 3-12 were applied on the concavo-convex structure surface of the first laminate 1. The coating method was the same as in Example 2, and the bar coater method was adopted. The solvent used in the first coating solution was a mixed solvent of cyclohexanone, propylene glycol monomethyl ether, and methyl isobutyl ketone. The drying temperature was 105 degrees. In addition, when any composition was used, No. 1 in Table 1 of Example 1 was used. The second laminate was recovered in the same manner as the sample described in 1.

・組成物3−1
下記環状部位(A)を含むバインダ樹脂:下記繰り返し単位(a)と下記繰り返し単位(b)とから構成される共重合ポリマ。分子量は2900。繰り返し単位bの繰り返し数Nbと繰り返し単位aの繰り返し数Naとの比率(Nb/Na)は9。なお、環状部位(A)の構成炭素数は、10であり、環状部(A)には、6員環が含まれる。
-Composition 3-1
Binder resin containing the following cyclic site (A): a copolymer polymer composed of the following repeating unit (a) and the following repeating unit (b). The molecular weight is 2900. The ratio (Nb / Na) of the repeating number Nb of the repeating unit b to the repeating number Na of the repeating unit a is 9. In addition, the carbon number of a cyclic | annular site | part (A) is 10, and a 6-membered ring is contained in a cyclic | annular part (A).

Figure 0006307258
なお、Xは環状部位(A)であり、環状部位(A)の「*」とXとが一致する。
Figure 0006307258
In addition, X is a cyclic | annular site | part (A) and "*" and X of a cyclic | annular site | part (A) correspond.

・組成物3−2
下記環状部位(B)を含むバインダ樹脂:分子量580のポリ(N−ビニルカルバゾール)ポリマ。なお、環状部位(B)の構成炭素数は、12であり、環状部(B)には、6員環が含まれる。なお、光重合開始剤として1−ヒドロキシ−シクロヘキシル−フェニル−ケトン(Irgacure184、BASF社製)をバインダ樹脂に対して3.8重量%添加した。
Composition 3-2
Binder resin containing the following cyclic site (B): Poly (N-vinylcarbazole) polymer having a molecular weight of 580. In addition, the carbon number of a cyclic | annular site | part (B) is 12, and a 6-membered ring is contained in a cyclic | annular part (B). In addition, 3.8 wt% of 1-hydroxy-cyclohexyl-phenyl-ketone (Irgacure 184, manufactured by BASF) was added as a photopolymerization initiator.

Figure 0006307258
Figure 0006307258

・組成物3−3
上記環状部位(B)を含むバインダ樹脂:分子量が25000〜50000のポリ(N−ビニルカルバゾール)。
-Composition 3-3
Binder resin containing the cyclic part (B): Poly (N-vinylcarbazole) having a molecular weight of 25,000 to 50,000.

Figure 0006307258
Figure 0006307258

・組成物3−4
下記環状部位(C)を含むバインダ樹脂:クレゾールノボラック系エポキシアクリレートであり、アクリレート置換率は略100%。下記環状部位(C)を繰り返し単位としており、繰り返し単位数nが0〜6まで含まれるホモオリゴマ。なお、繰り返しは、CH2の炭素元素に結合する「*」及び6員環に結合する「*」にて繰り返される。なお、環状部位(C)の構成炭素数は、14であり、環状部(C)には、6員環が含まれる。また、光重合開始剤としてαアミノアルキルフェノン系の2−(ジメチルアミノ)−2−[(4−メチルフェニル)メチル]−1−[4−(4−モルホリニル)フェニル]−1−ブタノン(Irgacure379EG、BASF社製)を3.17重量%添加した。
Composition 3-4
Binder resin containing the following cyclic site (C): cresol novolac epoxy acrylate, with an acrylate substitution rate of approximately 100%. A homo-oligomer having the following cyclic site (C) as a repeating unit, wherein the repeating unit number n is 0 to 6. The repetition is repeated with “*” bonded to the carbon element of CH 2 and “*” bonded to the 6-membered ring. In addition, the carbon number of the cyclic | annular site | part (C) is 14, and a 6-membered ring is contained in a cyclic | annular part (C). Further, α-aminoalkylphenone-based 2- (dimethylamino) -2-[(4-methylphenyl) methyl] -1- [4- (4-morpholinyl) phenyl] -1-butanone (Irgacure 379EG) is used as a photopolymerization initiator. And 3.17% by weight of BASF).

Figure 0006307258
Figure 0006307258

・組成物3−5
組成物3−1に対して、下記環状部位(D)を有すモノマを添加した。モノマの分子量は546であり、2官能の光重合性モノマである。光重合性基はアクリロイル基である。なお、環状部位(D)の構成炭素数は、25であり、環状部(D)には、6員環及び5員環が含まれる。バインダ樹脂とモノマと、の混合比率は重量部にて3.6:6.4とした。
Composition 3-5
A monomer having the following cyclic site (D) was added to the composition 3-1. The monomer has a molecular weight of 546 and is a bifunctional photopolymerizable monomer. The photopolymerizable group is an acryloyl group. In addition, the carbon number of a cyclic | annular site | part (D) is 25, and a 6-membered ring and a 5-membered ring are contained in a cyclic part (D). The mixing ratio of the binder resin and the monomer was 3.6: 6.4 by weight.

Figure 0006307258
Figure 0006307258

・組成物3−6
組成物3−2に対して、組成物3−5に使用したモノマを添加した。バインダ樹脂とモノマと、の混合比率は重量部にて7.1:2.9とした。なお、光重合開始剤の添加量は、バインダ樹脂とモノマの総量に対して3.48重量%になるように調整した。
Composition 3-6
The monomer used for the composition 3-5 was added to the composition 3-2. The mixing ratio of the binder resin and the monomer was 7.1: 2.9 by weight. The addition amount of the photopolymerization initiator was adjusted to 3.48% by weight with respect to the total amount of the binder resin and the monomer.

・組成物3−7
組成物3−3に対して、組成物3−5に使用したモノマを添加した。バインダ樹脂とモノマと、の混合比率は重量部にて6.2:3.8とした。
Composition 3-7
The monomer used for the composition 3-5 was added to the composition 3-3. The mixing ratio of the binder resin and the monomer was 6.2: 3.8 in parts by weight.

・組成物3−8
組成物3−4に対して、組成物3−5に使用したモノマを添加した。バインダ樹脂とモノマと、の混合比率は重量部にて4.8:5.2とした。なお、光重合開始剤の添加量は、バインダ樹脂とモノマの総量に対して3.49重量%になるように調整した。
Composition 3-8
The monomer used for the composition 3-5 was added to the composition 3-4. The mixing ratio of the binder resin and the monomer was 4.8: 5.2 by weight. The amount of photopolymerization initiator added was adjusted to 3.49% by weight based on the total amount of binder resin and monomer.

・組成物3−9
下記繰り返し単位(c)と繰り返し単位(d)と、から構成される共重合ポリマからなるバインダ樹脂:平均分子量は5500であり、繰り返し単位(c)と繰り返し単位(d)との比率は6:4。なお、バインダ樹脂に対して、4.2重量%のオキシムエステル系のエタノン,1−[9−エチル−6−(2−メチルベンゾイル)−9H−カルバゾール−3−イル]−,1−(0−アセチルオキシム) (IrgacureOXE02、BASF社製)を添加した。
Composition 3-9
Binder resin comprising a copolymerized polymer composed of the following repeating unit (c) and repeating unit (d): the average molecular weight is 5500, and the ratio of repeating unit (c) to repeating unit (d) is 6: 4. Note that 4.2% by weight of oxime ester-based ethanone, 1- [9-ethyl-6- (2-methylbenzoyl) -9H-carbazol-3-yl]-, 1- (0, based on the binder resin. -Acetyloxime) (Irgacure OXE02, manufactured by BASF) was added.

Figure 0006307258
Figure 0006307258

・組成物3−10
上記繰り返し単位(c)と上記繰り返し単位(d)とから構成される共重合ポリマからなるバインダ樹脂:平均分子量は100000であり、繰り返し単位(c)とり返し単位(d)との比率は4:6。なお、バインダ樹脂に対して、3.12重量%のαアミノアルキルフェノン系の2−(ジメチルアミノ)−2−[(4−メチルフェニル)メチル]−1−[4−(4−モルホリニル)フェニル]−1−ブタノン(Irgacure379EG、BASF社製)を添加した。
Composition 3-10
Binder resin comprising a copolymer polymer composed of the above repeating unit (c) and the above repeating unit (d): The average molecular weight is 100,000, and the ratio of the repeating unit (c) to the recurring unit (d) is 4: 6. In addition, 3.12% by weight of α-aminoalkylphenone-based 2- (dimethylamino) -2-[(4-methylphenyl) methyl] -1- [4- (4-morpholinyl) phenyl with respect to the binder resin ] -1-butanone (Irgacure 379EG, manufactured by BASF) was added.

・組成物3−11
組成物3−9に対して、組成物3−5に使用したモノマを添加した。バインダ樹脂とモノマと、の混合比率は重量部にて4.3:5.7とした。なお、光重合開始剤の添加量は、バインダ樹脂とモノマの総量に対して3.48重量%になるように調整した。
Composition 3-11
The monomer used for the composition 3-5 was added to the composition 3-9. The mixing ratio of the binder resin and the monomer was 4.3: 5.7 in parts by weight. The addition amount of the photopolymerization initiator was adjusted to 3.48% by weight with respect to the total amount of the binder resin and the monomer.

・組成物3−12
組成物3−10に対して、組成物3−5に使用したモノマを添加した。バインダ樹脂とモノマと、の混合比率は重量部にて4.8:5.2とした。なお、光重合開始剤の添加量は、バインダ樹脂とモノマの総量に対して3.48重量%になるように調整した。
Composition 3-12
The monomer used for the composition 3-5 was added to the composition 3-10. The mixing ratio of the binder resin and the monomer was 4.8: 5.2 by weight. The addition amount of the photopolymerization initiator was adjusted to 3.48% by weight with respect to the total amount of the binder resin and the monomer.

実施例1と同様に、但し第2の積層体をサファイア基板に貼り合わせる際の温度を85℃〜90℃に変更し、中間体を得た。   As in Example 1, except that the temperature when the second laminate was bonded to the sapphire substrate was changed to 85 ° C. to 90 ° C. to obtain an intermediate.

実施例1と同様に、中間体に対して酸素ガスを使用したエッチングを行い、微細パタン構造体を得た。次に、微細パタン構造体の微細マスクパタンを加工マスクとしてBClガス及びClガスの混合ガスを使用した反応性イオンエッチングを行い、サファイアをナノ加工した。エッチングは、ICP:150W、BIAS:50W、圧力0.2Paにて実施し、反応性イオンエッチング装置(RIE−101iPH、サムコ株式会社製)を使用した。 In the same manner as in Example 1, the intermediate was etched using oxygen gas to obtain a fine pattern structure. Next, reactive ion etching using a mixed gas of BCl 3 gas and Cl 2 gas was performed using the fine mask pattern of the fine pattern structure as a processing mask to nano-process sapphire. Etching was performed at ICP: 150 W, BIAS: 50 W, and pressure 0.2 Pa, and a reactive ion etching apparatus (RIE-101iPH, manufactured by Samco Corporation) was used.

最後に、実施例1と同様に洗浄し、微細パタンを表面に具備するサファイア基材を得た。   Finally, it was washed in the same manner as in Example 1 to obtain a sapphire base material having a fine pattern on the surface.

表面に微細パタンの設けられたサファイアの微細パタンを、走査型電子顕微鏡を用い、観察した。観察された像から、微細パタンは複数の凸部が互いに独立して配置されていた。ここで、凹部底部の平均面に垂直な方向を方向Xとした。凸部の頂点を通り、且つ方向Xに平行な線分を線分Yとした。次に、凸部底部中央部を通り、且つ方向Xに平行な線分をZとした。この時、線分Yと線分Zは平行である。線分Yと線分Zと、の距離を距離YZとした。この距離YZは、凸部頂点のズレ量を表す指標であり、汎用的に平均ピッチ(Pav)と、の比率として(YZ/Pav)と表現できる。この比率(YZ/Pav)が小さい程、即ち0に近づくほど第1のマスク層即ち上記組成物3−1〜組成物3−12の、エッチング加工用マスクとしての性能が高いことを意味する。   A sapphire fine pattern having a fine pattern on its surface was observed using a scanning electron microscope. From the observed image, the fine pattern has a plurality of convex portions arranged independently of each other. Here, a direction perpendicular to the average surface of the bottom of the recess was defined as direction X. A line segment passing through the apex of the convex part and parallel to the direction X was defined as a line segment Y. Next, a line segment passing through the center of the bottom of the convex part and parallel to the direction X was defined as Z. At this time, the line segment Y and the line segment Z are parallel. The distance between the line segment Y and the line segment Z was defined as a distance YZ. This distance YZ is an index representing the amount of deviation of the convex vertex, and can be expressed as (YZ / Pav) as a ratio to the average pitch (Pav) for general purposes. The smaller this ratio (YZ / Pav), that is, the closer to 0, the higher the performance of the first mask layer, that is, the composition 3-1 to the composition 3-12 as a mask for etching processing.

結果を表5に記載した。表5中の記載の意味は以下のとおりである。
・組成物…第1のマスク層の使用した組成物
・YZ/Pav…エッチング加工用マスクとしての性能を示す指標。0.004という値の記載されたものは、距離YZが0或いは略0であることを意味する。走査型電子顕微鏡観察より、正確に0nmを判断することは困難であるため、分解能を超えた段階で、距離YZに略0である3nmの値を代入している。
・環状部位…第1のマスク層に含まれる組成物。実施例3の第1のマスク層は、重合開始剤を除いて、樹脂、モノマ、或いは樹脂とモノマの混合物により構成される。炭素数は、環状部位の構成炭素数を、環は、環状部位に含まれるX員環のXを意味する。なお、環の欄にいて、「α,β」のように、「,」を介し複数の数字が並んでいる場合は、α員環とβ員環が共に含まれることを意味する。即ち、例えば、組成物3−1であれば、樹脂が環状部位を有し、該環状部位が構成炭素数10であり、6員環を有すことを意味する。また、例えば、組成物3−8であれば、樹脂及びモノマが環状部位を有し、樹脂の具備する環状部位が構成炭素数14であり、6員環を有すと共に、モノマの有す環状部位が、構成炭素数25であり、6員環及び5員環を有すことを意味する。
The results are shown in Table 5. The meanings of descriptions in Table 5 are as follows.
Composition: Composition used by the first mask layer YZ / Pav: Index indicating performance as a mask for etching processing. What is described as a value of 0.004 means that the distance YZ is 0 or substantially 0. Since it is difficult to accurately determine 0 nm from observation with a scanning electron microscope, a value of 3 nm, which is substantially 0, is substituted for the distance YZ when the resolution is exceeded.
-Annular region: a composition contained in the first mask layer. The first mask layer of Example 3 is made of a resin, a monomer, or a mixture of a resin and a monomer, excluding the polymerization initiator. The carbon number means the number of carbon atoms constituting the cyclic moiety, and the ring means X of the X member ring contained in the cyclic moiety. In the ring column, when a plurality of numbers are arranged through “,” such as “α, β”, it means that both α-membered ring and β-membered ring are included. That is, for example, in the case of the composition 3-1, it means that the resin has a cyclic part, the cyclic part has 10 carbon atoms, and a 6-membered ring. For example, in the case of the composition 3-8, the resin and the monomer have a cyclic moiety, the cyclic moiety of the resin has 14 carbon atoms, a six-membered ring, and a cyclic monomer This means that the site has 25 carbon atoms and has a 6-membered ring and a 5-membered ring.

Figure 0006307258
Figure 0006307258

表5より、以下のことがわかる。なお、表5において、まず、環状部位を有すバインダ樹脂或いはモノマを使用することで、比率(YZ/Pav)が小さくなる。即ち、ドライエッチングとしてのマスク性能が向上している。これは、まず分子間における環状部位同士のパッキングが生じやすく、第1のマスク層の密度が向上していることと、環状部位に存在するπ電子により、ドライエッチング中の塩素ラジカルをトラップできるためと考えることができる。中でも、バインダ樹脂に環状部位が含まれることで、比率(YZ/Pav)はより小さくなり、微細パタンの精度が向上していた。これは、バインダ樹脂は分子量が大きいことから、運動モビリティが高い。しかしながら、環状部位を含むことで、環状部位の平面性や環状部位同士のパッキング等を利用でき、これにより運動性が低下するためと推定される。更には、バインダ樹脂は主に繰り返し単位を含み、この繰り返し単位ごとに環状部位が配置されることから、第1のマスク層に含まれる環状部位密度が大きくなり、前述したような塩素ラジカルのとラッピング効果が大きくなるためと推定される。中でも、バインダ樹脂及びモノマの双方に環状部位が含まれることで、比率(YZ/Pav)がより低下している。これは既に説明してきた環状部位の効果が大きくなるためと考えられる。   Table 5 shows the following. In Table 5, first, the ratio (YZ / Pav) is reduced by using a binder resin or monomer having an annular portion. That is, the mask performance as dry etching is improved. This is because, firstly, packing between the cyclic sites between molecules is likely to occur, the density of the first mask layer is improved, and chlorine radicals during dry etching can be trapped by π electrons present in the cyclic sites. Can be considered. Especially, the ratio (YZ / Pav) became smaller and the precision of the fine pattern was improved by including an annular part in the binder resin. This is because the binder resin has a high molecular weight and thus has a high mobility. However, it is presumed that by including an annular portion, the planarity of the annular portion, packing between the annular portions, and the like can be used, thereby reducing the mobility. Furthermore, since the binder resin mainly includes repeating units, and the cyclic site is arranged for each repeating unit, the density of the cyclic sites contained in the first mask layer is increased, and the chlorine radicals as described above It is estimated that the wrapping effect is increased. Among them, the ratio (YZ / Pav) is further reduced by including a cyclic portion in both the binder resin and the monomer. This is considered to be because the effect of the circular portion already described is increased.

また、ドライエッチング加工時にステージに熱を加え、過激なドライエッチングを別途行ったところ、少なくとも第1のマスク層を構成するモノマに光反応性部位が含まれる場合、上記YZ/Pavが小さくなることが確認された。これは、光反応(光ラジカル反応)により、バインダ樹脂の運動性が束縛され、熱振動に対する耐性が向上したためと推定される。最も効果の大きかったものは、バインダ樹脂及びモノマの双方に光重合性基が含まれる場合であった。   In addition, when heat is applied to the stage during dry etching and extreme dry etching is performed separately, at least if the monomer constituting the first mask layer includes a photoreactive site, the YZ / Pav is reduced. Was confirmed. This is presumably because the mobility of the binder resin was constrained by the photoreaction (photoradical reaction) and the resistance to thermal vibration was improved. The most effective one was when both the binder resin and the monomer contained a photopolymerizable group.

また、第2の積層体を使用し中間体を製造する際の、モールドG2の剥離強度を別途評価したところ、少なくとも樹脂に環状部位が含まれることで剥離強度が低下することが確認された。これは、樹脂に含まれる環状部位によるパッキングや安定化により、第1のマスク層とモールドG2の凹凸構造と、の密着力が低下したためと考えられる。特に、この傾向は、少なくとも樹脂に環状部位が含まれ、少なくともモノマが重合性モノマである場合に顕著であった。これは、上記効果に加え、モノマの重合による体積収縮が生じ、モールドG2の凹凸構造と第1のマスク層と、の間に分子スケールの隙間が発生したためと考えられる。なお、樹脂に環状部位が含まれ、樹脂及びモノマが重合性の場合がより、樹脂及びモノマが共に環状部位を含み共に重合性の場合が更に上記効果が発現されることが確認された。   Moreover, when the peeling strength of mold G2 at the time of manufacturing an intermediate body using a 2nd laminated body was evaluated separately, it was confirmed that peeling strength falls by including a cyclic site | part at least in resin. This is presumably because the adhesion between the first mask layer and the concavo-convex structure of the mold G2 has decreased due to packing and stabilization by the annular portion contained in the resin. In particular, this tendency is remarkable when at least a cyclic portion is contained in the resin and at least the monomer is a polymerizable monomer. This is presumably because, in addition to the above effect, volume shrinkage occurs due to polymerization of the monomer, and a molecular-scale gap is generated between the concavo-convex structure of the mold G2 and the first mask layer. In addition, it was confirmed that the above-mentioned effect is further exhibited when the resin contains a cyclic moiety and the resin and the monomer are polymerizable, and when the resin and the monomer both contain a cyclic moiety and are both polymerizable.

(実施例4)
実施例1〜実施例3より、第2の積層体は、第1のマスク層の表面粗さが所定の範囲内であり、且つ、第1のマスク層内に環状部位を有す樹脂を含むことで、被処理体への貼合性とモールドの剥離性が良好となることから、中間体の製造精度が向上し、更に、第1のマスク層の被処理体に対する加工マスク性能が向上するため、良好な微細パタンを製造できることがわかった。実施例4においては、好適なモールドの凹凸構造の範囲を、第2の積層体の製造性及び使用性の観点から調査した。パラメーターはモールドの凹凸構造のみである。なお、被処理体として、4インチφのc面サファイアウェハを使用した。
Example 4
From Example 1 to Example 3, the second laminate includes a resin in which the surface roughness of the first mask layer is within a predetermined range, and the first mask layer has an annular portion. By this, since the bonding property to a to-be-processed object and the mold releasability become favorable, the manufacturing precision of an intermediate body improves, and also the processing mask performance with respect to the to-be-processed object of a 1st mask layer improves. Therefore, it turned out that a favorable fine pattern can be manufactured. In Example 4, the range of the uneven structure of a suitable mold was investigated from the viewpoint of the manufacturability and usability of the second laminate. The parameter is only the uneven structure of the mold. A 4-inch φ c-plane sapphire wafer was used as the object to be processed.

実施例1と同様に第2の積層体を製造した。ここで、第1の積層体に対して塗工する第1の塗工液の使用する溶剤を、アセトン、2−プロパノール、プロピレングリコールモノメチルエーテル、及びメチルイソブチルケトンからなる混合溶剤に変更した。   A second laminate was produced in the same manner as in Example 1. Here, the solvent used by the first coating liquid applied to the first laminate was changed to a mixed solvent composed of acetone, 2-propanol, propylene glycol monomethyl ether, and methyl isobutyl ketone.

実施例1と同様に、中間体を得た。得られた中間体を割断し、断面に対してエネルギ分散型X線分光法と走査型電子顕微鏡観察を行った。観察サンプルを5片用意し、各サンプルに対して10点の観察を行った。凸部が破損している割合、凸部頂部の第2のマスク層の厚みの分布、及び第1のマスク層の厚みの分布が0%以上15%以下の場合を良評価、それ以外の場合を悪評価とした。また、離型工程において、第1のマスク層が被処理体より剥離した部分の面積が、被処理体に対して15%超存在した場合も悪評価とした。   In the same manner as in Example 1, an intermediate was obtained. The obtained intermediate was cleaved, and energy dispersive X-ray spectroscopy and observation with a scanning electron microscope were performed on the cross section. Five observation samples were prepared, and 10 points were observed for each sample. Good evaluation when the ratio of the convex part is broken, the distribution of the thickness of the second mask layer at the top of the convex part, and the distribution of the thickness of the first mask layer is 0% or more and 15% or less, otherwise Was regarded as a bad evaluation. Further, in the mold release step, the evaluation was also bad when the area of the portion where the first mask layer was peeled off from the object to be processed was more than 15% of the object to be processed.

結果を図19に記載した。図19は、実施例4の中間体の評価結果を示すグラフである。図19中、横軸がモールドの凹凸構造に対する比率(Sh/Scm)であり、縦軸がモールドの凹凸構造に対する比率(Mcv/Mcc)を示す。図19中の丸印及び三角印は、上記評価結果が良評価の場合であり、三角印よりも丸印が、破線よりも実線が、実線よりも塗りつぶしがより高評価であることを示している。また、図19中、バツ印は上記評価結果が悪評価だった場合を示している。なお、悪評価であっても、凸部が破損している割合、凸部頂部の第2のマスク層の厚みの分布、或いは第1のマスク層の厚みの分布は、18%〜26%の間に収まっていた。   The results are shown in FIG. FIG. 19 is a graph showing the evaluation results of the intermediate of Example 4. In FIG. 19, the horizontal axis represents the ratio (Sh / Scm) of the mold to the concavo-convex structure, and the vertical axis represents the ratio (Mcv / Mcc) of the mold to the concavo-convex structure. The circles and triangles in FIG. 19 indicate that the above evaluation result is a good evaluation, indicating that the circles are higher than the triangles, the solid lines are higher than the broken lines, and the fill is higher than the solid lines. Yes. Further, in FIG. 19, a cross indicates a case where the evaluation result is bad evaluation. Even if it is bad evaluation, the ratio of the damage of the convex part, the distribution of the thickness of the second mask layer at the top of the convex part, or the distribution of the thickness of the first mask layer is 18% to 26%. It was in between.

<三角印>
・破線の三角印
…凸部が破損している割合、凸部頂部の第2のマスク層の厚みの分布、及び第1のマスク層の厚みの分布が10%超15%以下の場合。
・実線の三角印
…該割合及び分布が8%超10%以下の場合。
<Triangle mark>
Broken triangle mark: When the ratio of the broken convex portion, the distribution of the thickness of the second mask layer at the top of the convex portion, and the distribution of the thickness of the first mask layer is more than 10% and 15% or less.
-Solid triangle mark: When the ratio and distribution are more than 8% and 10% or less.

<丸印>
・白抜き破線の丸印
該割合及び分布が5%超8%以下の場合。
・白抜き実線の丸印
該割合及び分布が3%超5%以下の場合。
・斜線を付した丸印
該割合及び分布が0%以上3%以下の場合。
<Circle>
-When the ratio and distribution of the white circles are more than 5% and 8% or less.
-When the percentage and distribution of solid solid circles are more than 3% and 5% or less.
・ When the ratio and distribution are 0% or more and 3% or less.

曲線A1は(Mcv/Mcc)=√(1.1/(Sh/Scm))−1を、曲線A2は(Mcv/Mcc)=√(0.93/(Sh/Scm))−1を、曲線B1は(Mcv/Mcc)=√(0.5/(Sh/Scm))−1を、曲線B2は(Mcv/Mcc)=√(0.76/(Sh/Scm))−1を、直線C1は(Sh/Scm)=0.23を、直線C2は(Sh/Scm)=0.4を、直線C3は(Sh/Scm)=0.6を、直線D1は(Sh/Scm)=0.99を、直線F1はMcv/Mcc=1を、そして直線G1はMcv/Mcc=0.01を示している。   Curve A1 represents (Mcv / Mcc) = √ (1.1 / (Sh / Scm))-1, curve A2 represents (Mcv / Mcc) = √ (0.93 / (Sh / Scm)) − 1, Curve B1 represents (Mcv / Mcc) = √ (0.5 / (Sh / Scm)) − 1, and curve B2 represents (Mcv / Mcc) = √ (0.76 / (Sh / Scm)) − 1. The straight line C1 is (Sh / Scm) = 0.23, the straight line C2 is (Sh / Scm) = 0.4, the straight line C3 is (Sh / Scm) = 0.6, and the straight line D1 is (Sh / Scm). = 0.99, straight line F1 shows Mcv / Mcc = 1, and straight line G1 shows Mcv / Mcc = 0.01.

以上の結果より、√(0.5/(Sh/Scm))−1≦(Mcv/Mcc)≦√(1.1/(Sh/Scm))−1、0.23<(Sh/Scm)≦0.99、且つ0.01≦Mcv/Mcc<1.0を同時に満たすことで、第2のマスク層の厚み精度及び第1のマスク層の厚み精度の高い中間体を精度高く転写形成できていることがわかる。これは、まず、モールドの凹凸構造上に塗工される塗工液のマクロに観た塗工性とミクロに観た塗工性が同時に向上したためと考えられる。より具体的には、上記範囲を満たすことで、塗工液から見たモールドの凹凸構造のエネルギが均等化するため、マクロな塗工性が向上し、凹凸構造の凸部の頂部外縁部におけるアンカーやピン止めを抑制できることから、ミクロな塗工性が向上したと推定される。続いて、上記範囲を満たす場合、モールドを第2のマスク層及び第1のマスク層より剥離する際の、第1のマスク層の凹凸構造の凸部の底部外縁部に加わる剥離応力を小さくできるため、転写性が向上したためと考えられる。   From the above results, √ (0.5 / (Sh / Scm)) − 1 ≦ (Mcv / Mcc) ≦ √ (1.1 / (Sh / Scm)) − 1, 0.23 <(Sh / Scm) By satisfying ≦ 0.99 and 0.01 ≦ Mcv / Mcc <1.0 at the same time, the intermediate with high thickness accuracy of the second mask layer and high thickness accuracy of the first mask layer can be transferred and formed with high accuracy. You can see that This is thought to be because, first, the coating property seen in the macro and the coating property seen in the micro of the coating liquid coated on the uneven structure of the mold were improved at the same time. More specifically, by satisfying the above range, the energy of the uneven structure of the mold as seen from the coating liquid is equalized, so that the macro coating property is improved, and the top edge of the convex part of the uneven structure is improved. Since anchors and pinning can be suppressed, it is presumed that micro coatability has been improved. Subsequently, when the above range is satisfied, the peeling stress applied to the bottom outer edge portion of the convex portion of the concavo-convex structure of the first mask layer when the mold is peeled from the second mask layer and the first mask layer can be reduced. Therefore, it is considered that the transferability was improved.

更に、√(0.76/(Sh/Scm))−1≦(Mcv/Mcc)≦√(0.93/(Sh/Scm))−1、0.23<(Sh/Scm)≦0.99、且つ0.01≦Mcv/Mcc<1.0を同時に満たすことで、第2のマスク層の厚み精度及び第1のマスク層の厚み精度の高い中間体を精度高く転写形成できていることがわかる。これは、上記範囲を満たす場合、モールドの凹凸構造1つ1つといったスケールで観た場合の第1の塗工液及び第2の塗工液を、モールドの凹凸構造が数千から数万といったマクロなスケールで見て平均化した場合の、該塗工液内のエネルギ勾配を小さくできるためと考えられる。即ち、モールドの凹凸構造上に塗工される第1の塗工液及び第2の塗工液の、モールドの凹凸構造よりも十分に大きなスケールでの均等性が向上し、塗工性が向上したためと考えられる。   Further, √ (0.76 / (Sh / Scm)) − 1 ≦ (Mcv / Mcc) ≦ √ (0.93 / (Sh / Scm)) − 1, 0.23 <(Sh / Scm) ≦ 0. By satisfying 99 and 0.01 ≦ Mcv / Mcc <1.0 at the same time, the intermediate with high thickness accuracy of the second mask layer and high thickness accuracy of the first mask layer can be transferred and formed with high accuracy. I understand. This means that when the above range is satisfied, the first coating liquid and the second coating liquid when viewed on a scale such as each of the mold concave-convex structure have a mold concave-convex structure of several thousand to several tens of thousands. This is considered to be because the energy gradient in the coating liquid can be reduced when averaged by looking at a macro scale. That is, the uniformity of the first coating liquid and the second coating liquid applied on the uneven structure of the mold on a scale sufficiently larger than the uneven structure of the mold is improved, and the coatability is improved. It is thought that it was because.

更に、√(0.76/(Sh/Scm))−1≦(Mcv/Mcc)≦√(0.93/(Sh/Scm))−1、0.4≦(Sh/Scm)≦0.99、且つ0.01≦Mcv/Mcc<1.0を同時に満たすことで、第2のマスク層の厚み精度及び第1のマスク層の厚み精度のより高い中間体を精度高く転写形成できていることがわかる。これは、上記範囲を満たす場合、モールドの凹凸構造に塗工される塗工液において、凹凸構造の凹部上に位置する該塗工液のエネルギが不安定化し、このエネルギの不安定性を解消するために、モールドの凹凸構造の凹部内部へと該塗工液が流入しやすいためと考えられる。更に、モールドを剥離する際のモールドの凹凸構造の凸部の底部外縁部に加わる剥離応力が、モーメントエネルギが小さくなることから、抑制される。これにより転写精度が向上したためと推定される。更に、これらの効果は、√(0.76/(Sh/Scm))−1≦(Mcv/Mcc)≦√(0.93/(Sh/Scm))−1、0.6≦(Sh/Scm)≦0.99、且つ0.01≦Mcv/Mcc<1.0を同時に満たすことで、より顕著になることがわかる。   Further, √ (0.76 / (Sh / Scm)) − 1 ≦ (Mcv / Mcc) ≦ √ (0.93 / (Sh / Scm)) − 1, 0.4 ≦ (Sh / Scm) ≦ 0. By satisfying 99 and 0.01 ≦ Mcv / Mcc <1.0 at the same time, an intermediate having higher thickness accuracy of the second mask layer and higher thickness accuracy of the first mask layer can be transferred and formed with high accuracy. I understand that. This is because when the above range is satisfied, in the coating liquid applied to the concave-convex structure of the mold, the energy of the coating liquid located on the concave portion of the concave-convex structure becomes unstable, and this energy instability is eliminated. Therefore, it is considered that the coating liquid easily flows into the concave portion of the concave and convex structure of the mold. Furthermore, the peeling stress applied to the bottom outer edge of the convex portion of the concavo-convex structure of the mold when peeling the mold is suppressed because the moment energy is reduced. This is presumably because the transfer accuracy was improved. Furthermore, these effects are as follows: √ (0.76 / (Sh / Scm)) − 1 ≦ (Mcv / Mcc) ≦ √ (0.93 / (Sh / Scm)) − 1, 0.6 ≦ (Sh / Scm) ≦ 0.99 and 0.01 ≦ Mcv / Mcc <1.0 are satisfied at the same time.

なお、上記使用したモールドのモールドの凹凸構造は、凹部が連続した凸部により隔てられたホール構造であり、ホール開口部の面積がホール底部の面積に比べ大きいことが観察されている。   In addition, it has been observed that the uneven structure of the mold used above is a hole structure in which the concave portions are separated by continuous convex portions, and the area of the hole opening is larger than the area of the bottom of the hole.

なお、モールドの再利用性を確認したところ、Sh/Scm≦0.99以下の領域において、Sh/Scmが0.95、0.93、0.91と減少するにつれ、再利用性がより良好になることを確認した。ここでの再利用性とは、第2の積層体を製造し使用済みとなった第2の積層体を、溶剤にて洗浄し、使用済みモールドを得、該使用済みのモールドを使用して再び第2の積層体を製造し、再度使用する、といった行為を繰り返すことを意味する。より詳細には、Sh/Scm=0.99の場合、再利用回数は3回であったが、Sh/Scmが0.95、0,93、0.91と減少するにつれ、再利用回数が5回、10回、20回と増加した。これは、モールドの凹凸構造の凹部を囲む凸部の物理強度が増加したためと推定される。以上から、Sh/Scmが0.95以下であることで、1つのモールドで何度も第2の積層体を製造できることがわかる。特に、Sh/Scmが0.93、更にはSh/Scmが0.91になることで、前記効果がより顕著になる。   In addition, when the reusability of the mold was confirmed, in a region where Sh / Scm ≦ 0.99, the reusability was better as Sh / Scm decreased to 0.95, 0.93, and 0.91. Confirmed to be. Here, the reusability means that the second laminate that has been used after producing the second laminate is washed with a solvent to obtain a used mold, and the used mold is used. It means repeating the act of manufacturing the second laminate again and using it again. More specifically, when Sh / Scm = 0.99, the number of reuses was 3, but as Sh / Scm decreased to 0.95, 0, 93, 0.91, the number of reuses decreased. It increased to 5, 10 and 20 times. This is presumably because the physical strength of the convex portion surrounding the concave portion of the concave-convex structure of the mold increased. From the above, it can be seen that when the Sh / Scm is 0.95 or less, the second laminate can be manufactured many times with one mold. In particular, when the Sh / Scm is 0.93 and further the Sh / Scm is 0.91, the above effect becomes more remarkable.

上記結果の一部を表6に記載した。表6においては、モールド構成要件の欄にモールドの凹凸構造の素性を記載した。αmin./αmaxは、(Mcv/Mcc)=√(α/(Sh/Scm))−1と記載した場合のαの範囲(下限上限値)を意味し、√(αmin./(Sh/Scm))−1≦(Mcv/Mcc)≦√(αmax/(Sh/Scm))−1の範囲に凹凸構造が含まれることを意味する。また、αmax>数値は、(Mcv/Mcc)≧√(αmax/(Sh/Scm))−1を意味し、αmin<数値は、(Mcv/Mcc)≦√(αmin/(Sh/Scm))−1を意味する。モールド構成要件中の「h」は、モールドの深さを意味する。ディメンジョンは「nm」である。また、総合欄の記号は、上記評価結果と同様である。   Some of the results are listed in Table 6. In Table 6, the features of the concavo-convex structure of the mold are described in the column of the mold constituent requirements. αmin. / Αmax means the range of α (lower limit value) when (Mcv / Mcc) = √ (α / (Sh / Scm)) − 1, and √ (αmin ./ (Sh / Scm)) It means that the concavo-convex structure is included in the range of −1 ≦ (Mcv / Mcc) ≦ √ (αmax / (Sh / Scm)) − 1. Further, αmax> numerical value means (Mcv / Mcc) ≧ √ (αmax / (Sh / Scm)) − 1, and αmin <numerical value is (Mcv / Mcc) ≦ √ (αmin / (Sh / Scm)). Means -1. “H” in the mold constituent requirement means the depth of the mold. The dimension is “nm”. The symbols in the general column are the same as the evaluation results.

Figure 0006307258
Figure 0006307258

次に、上記実施例4の図19の結果を得た中間体を用いて、実施例1と同様に酸素を用いたエッチングを行い、微細パタン構造体を作製し、続いて実施例1と同様に塩素ガスを使用したエッチングを行い、微細パタンを作製した。   Next, using the intermediate obtained in FIG. 19 of Example 4 above, etching using oxygen was performed in the same manner as in Example 1 to produce a fine pattern structure, and subsequently the same as in Example 1. Etching using chlorine gas was performed to produce a fine pattern.

以上、得られた微細パタン構造体、該微細パタン構造体を得る前身である微細マスクパタンについて評価した。評価指標は以下の通りである。   As described above, the fine pattern structure thus obtained and the fine mask pattern which is a predecessor for obtaining the fine pattern structure were evaluated. The evaluation index is as follows.

微細マスクパタンの幹の太さに対する分布を、走査型電子顕微鏡観察より算出した。幹の太さに対する分布が、10%超の場合を悪評価とし、10%以下の場合を良評価とした。   The distribution of the fine mask pattern with respect to the trunk thickness was calculated by observation with a scanning electron microscope. A case where the distribution with respect to the thickness of the trunk was more than 10% was evaluated as bad, and a case where the distribution was 10% or less was evaluated as good.

被処理体の微細パタンの凸部の高さ及び凸部底部径の分布が、10%超の場合を悪評価とし、10%以下の場合を良評価とした。   The case where the distribution of the height of the convex part of the fine pattern and the convex part bottom diameter of the object to be processed was more than 10% was evaluated as bad, and the case where it was 10% or less was evaluated as good.

結果を図20に記載した。図20は、実施例4の微細パタン構造体の評価結果を示すグラフである。図20においては、図19に対して、上述のように評価したサンプルを矢印にて指示している。   The results are shown in FIG. FIG. 20 is a graph showing the evaluation results of the fine pattern structure of Example 4. In FIG. 20, the sample evaluated as described above with respect to FIG. 19 is indicated by an arrow.

図20中、矢印にて指示されていない記号は、図19のそれらと同様であり、矢印にて指示された記号は以下の評価結果を意味する。矢印にて指示された丸印及び三角印は、上記評価結果が全て良評価の場合であり、三角印よりも丸印が、破線よりも実線が、実線よりも塗りつぶしがより高評価であることを示している。また、矢印にて指示されたバツ印は上記評価において、一つでも悪評価のあった場合である。   In FIG. 20, symbols not designated by arrows are the same as those in FIG. 19, and symbols designated by arrows mean the following evaluation results. The circles and triangles indicated by the arrows indicate that the above evaluation results are all good, and the round marks are higher than the triangle marks, the solid lines are higher than the dashed lines, and the fill is higher than the solid lines. Is shown. Moreover, the cross mark indicated by the arrow is a case where even one evaluation is bad in the above evaluation.

<三角印>
・破線の三角印
…微細マスクパタンの幹の太さに対する分布及び、微細パタンの凸部の高さと凸部底部径の分布が、共に10%以下9%以上の場合。
・実線の三角印
……該分布が、共に9%未満8%以上の場合。
<Triangle mark>
Broken triangle mark: When the distribution of the fine mask pattern with respect to the thickness of the trunk and the distribution of the height and the bottom diameter of the convex portion of the fine pattern are both 10% or less and 9% or more.
-Solid triangles: When both distributions are less than 9% and 8% or more.

<丸印>
・白抜き破線の丸印
…該分布が、共に8%未満6%以上の場合。
・白抜き実線の丸印
…該分布が、共に6%未満4%以上の場合。
・斜線を付した丸印
…該分布が、共に4%未満の場合。
<Circle>
-Open dashed circles: When both distributions are less than 8% and 6% or more.
-Open solid circle: When both of these distributions are less than 6% and 4% or more.
-Circles with diagonal lines: both distributions are less than 4%.

曲線A1、曲線B1、曲線B2、直線C1、直線C2、直線C3、直線D1、直線F1、そして直線G1は図19のそれと同じである。   Curve A1, curve B1, curve B2, straight line C1, straight line C2, straight line C3, straight line D1, straight line F1, and straight line G1 are the same as those in FIG.

以上の結果より、√(0.5/(Sh/Scm))−1≦(Mcv/Mcc)≦√(1.1/(Sh/Scm))−1、0.23<(Sh/Scm)≦0.99、且つ0.01≦Mcv/Mcc<1.0を同時に満たすことで、第2のマスク層をマスクとして第1のマスク層をドライエッチング加工し得られる微細マスクパタンの精度及び、微細マスクパタンをマスクとしてエッチング加工し得られる微細パタンの精度が向上していることがわかる。これは、既に説明した原理から、モールドの凹凸構造が所定の範囲を満たすことで、第2のマスク層のモールドの凹凸構造の凹部への充填配置精度及び第1のマスク層の膜厚均等性が向上することから、中間体における第2のマスク層の分布及び第1のマスク層の膜厚分布を小さくたもつことができ、この精度の高い中間体の精度を反映させて、微細マスクパタン及び微細パタンを加工できたためである。即ち、第2のマスク層の凹凸構造の凹部内部への充填配置精度そして第1のマスク層の膜厚均等性が向上する程、微細パタン構造体の精度が向上する。よって、図19にて考察したように、以下の範囲を満たすことで微細パタン構造体の精度はより向上すると考えられ、実際に検討により確認された。   From the above results, √ (0.5 / (Sh / Scm)) − 1 ≦ (Mcv / Mcc) ≦ √ (1.1 / (Sh / Scm)) − 1, 0.23 <(Sh / Scm) By satisfying ≦ 0.99 and 0.01 ≦ Mcv / Mcc <1.0 at the same time, the precision of the fine mask pattern obtained by dry etching the first mask layer using the second mask layer as a mask, and It can be seen that the precision of the fine pattern obtained by etching using the fine mask pattern as a mask is improved. This is because, based on the principle already described, the filling unevenness of the second mask layer in the concave portion of the concave and convex structure of the mold and the film thickness uniformity of the first mask layer when the concave and convex structure of the mold satisfies a predetermined range. Therefore, the distribution of the second mask layer and the thickness distribution of the first mask layer in the intermediate can be reduced, and the fine mask pattern is reflected by reflecting the accuracy of the high-precision intermediate. This is because the fine pattern could be processed. That is, the accuracy of the fine pattern structure improves as the filling arrangement accuracy in the concave portion of the concave-convex structure of the second mask layer and the film thickness uniformity of the first mask layer improve. Therefore, as considered in FIG. 19, it is considered that the accuracy of the fine pattern structure is further improved by satisfying the following range, which was confirmed by actual examination.

√(0.76/(Sh/Scm))−1≦(Mcv/Mcc)≦√(0.93/(Sh/Scm))−1、0.23<(Sh/Scm)≦0.99、且つ0.01≦Mcv/Mcc<1.0を同時に満たすことで、微細パタン構造体の精度がより向上した。更に、√(0.76/(Sh/Scm))−1≦(Mcv/Mcc)≦√(0.93/(Sh/Scm))−1、0.4≦(Sh/Scm)≦0.99、且つ0.01≦Mcv/Mcc<1.0を同時に満たすことで、微細パタン構造体の精度がいっそう向上した。更に、これらの効果は、√(0.76/(Sh/Scm))−1≦(Mcv/Mcc)≦√(0.93/(Sh/Scm))−1、0.6≦(Sh/Scm)≦0.99、且つ0.01≦Mcv/Mcc<1.0を同時に満たすことで、より顕著になることが確認された。   √ (0.76 / (Sh / Scm)) − 1 ≦ (Mcv / Mcc) ≦ √ (0.93 / (Sh / Scm)) − 1, 0.23 <(Sh / Scm) ≦ 0.99, Moreover, by satisfying 0.01 ≦ Mcv / Mcc <1.0 at the same time, the precision of the fine pattern structure was further improved. Further, √ (0.76 / (Sh / Scm)) − 1 ≦ (Mcv / Mcc) ≦ √ (0.93 / (Sh / Scm)) − 1, 0.4 ≦ (Sh / Scm) ≦ 0. By simultaneously satisfying 99 and 0.01 ≦ Mcv / Mcc <1.0, the precision of the fine pattern structure was further improved. Furthermore, these effects are as follows: √ (0.76 / (Sh / Scm)) − 1 ≦ (Mcv / Mcc) ≦ √ (0.93 / (Sh / Scm)) − 1, 0.6 ≦ (Sh / Scm) ≦ 0.99 and 0.01 ≦ Mcv / Mcc <1.0 were simultaneously confirmed to be more prominent.

続いて、得られた微細パタン構造体、即ち微細パタンを具備した4インチφのサファイアウェハに対して、実施例1と同様に半導体結晶層を成膜してLED用エピタキシャルウェハを作製した。その後、チップ化を行い、LEDチップを作製し、発光特性を評価した。   Subsequently, a semiconductor crystal layer was formed on the obtained fine pattern structure, that is, a 4 inch φ sapphire wafer provided with the fine pattern in the same manner as in Example 1 to produce an LED epitaxial wafer. Thereafter, chip formation was performed, LED chips were produced, and light emission characteristics were evaluated.

評価は以下の2つを行った。第1に、微細パタンを具備しないサファイアウェハを使用し、上記方法によりLEDチップを作製した。このLEDチップの発光出力を1として、微細パタン構造体を使用し作製したLEDチップの発光出力を評価した。第2に、LEDチップの発光出力の分布を評価した。   The following two evaluations were performed. First, a sapphire wafer having no fine pattern was used, and an LED chip was produced by the above method. With the light emission output of this LED chip as 1, the light emission output of the LED chip produced using the fine pattern structure was evaluated. Second, the distribution of the light emission output of the LED chip was evaluated.

結果を図21に記載した。図21は、実施例4のLEDチップの評価結果を示すグラフである。図21においては、図19に対して、上述のように評価したサンプルを矢印にて指示している。   The results are shown in FIG. FIG. 21 is a graph showing the evaluation results of the LED chip of Example 4. In FIG. 21, with respect to FIG. 19, the sample evaluated as described above is indicated by an arrow.

図21中、矢印にて指示されていない記号は、図19のそれらと同様であり、矢印にて指示された記号は以下の評価結果を意味する。矢印にて指示された丸印及び三角印は、上記半導体発光素子の出力分布の評価結果が良好であった場合であり、三角印よりも丸印が、破線よりも実線が、実線よりも塗りつぶしがより高評価であることを示している。また、矢印にて指示されたバツ印は上記半導体発光素子の出力分布の評価結果が好ましくなかった場合である。また、図21中の数値は、発光出力比を意味する。   In FIG. 21, symbols not indicated by arrows are the same as those in FIG. 19, and symbols indicated by arrows mean the following evaluation results. The circles and triangles indicated by the arrows are when the evaluation result of the output distribution of the semiconductor light emitting device is good, the circles are more solid than the triangles, the solid lines are more solid than the broken lines, and the solid lines are filled more than the solid lines. Indicates a higher rating. Also, the crosses indicated by the arrows are when the evaluation result of the output distribution of the semiconductor light emitting element is not preferable. Moreover, the numerical value in FIG. 21 means the light emission output ratio.

<バツ印>
…発光出力の分布が±15%超であった場合。
<X mark>
... When the distribution of light output is more than ± 15%.

<三角印>
・破線の三角印
…発光出力の分布が±15%以下であった場合。
・実線の三角印
…発光出力の分布が±11%以下であった場合。
<Triangle mark>
-Broken triangle mark: When the distribution of light emission output is ± 15% or less.
-Solid triangle mark: When the light emission output distribution is ± 11% or less.

<丸印>
・白抜き破線の丸印
…発光出力の分布が±8%以下であった場合。
・白抜き実線の丸印
…発光出力の分布が±6%以下であった場合。
・斜線を付した丸印
…発光出力の分布が±4%以下であった場合。
<Circle>
・ Outlined dotted circle: When the distribution of light emission output is ± 8% or less.
-Open solid circle: When the light emission output distribution is ± 6% or less.
• Circles with diagonal lines: When the distribution of light output is ± 4% or less.

曲線A1、曲線B1、曲線B2、直線C1、直線C2、直線C3、直線D1、直線F1、そして直線G1は図19のそれと同じである。   Curve A1, curve B1, curve B2, straight line C1, straight line C2, straight line C3, straight line D1, straight line F1, and straight line G1 are the same as those in FIG.

以上の結果より、√(0.5/(Sh/Scm))−1≦(Mcv/Mcc)≦√(1.1/(Sh/Scm))−1、0.23<(Sh/Scm)≦0.99、且つ0.01≦Mcv/Mcc<1.0を同時に満たすことで、発光強度が高く、且つ発光出力分布の小さい半導体発光素子を製造できることがわかる。これは、上記説明したように、これらの範囲を満たすことで、第2のマスク層の配置精度及び第1のマスク層の膜厚精度の高い第2の積層体を製造できることから、中間体の第2のマスク層の第1のマスク層に対する配置精度及び第1のマスク層の膜厚均等性が向上し、これにより微細マスクパタンの精度が高くなり、最終的に微細パタンの精度が向上したためである。このような微細パタンを具備したサファイア基板を使用することで、まず、面内における半導体結晶層の成長モードを乱す効果の分布が小さくなり、内部量子効率が面内において向上すると推定される。更に、微細パタンによる光回折性の効果により、光取り出し効率が向上する。以上から、半導体発光祖素子の外部量子効率が向上するため、発光出力が大きくなり、更に分布が小さくなったと推定される。   From the above results, √ (0.5 / (Sh / Scm)) − 1 ≦ (Mcv / Mcc) ≦ √ (1.1 / (Sh / Scm)) − 1, 0.23 <(Sh / Scm) It can be seen that by simultaneously satisfying ≦ 0.99 and 0.01 ≦ Mcv / Mcc <1.0, it is possible to manufacture a semiconductor light emitting device having a high light emission intensity and a small light emission output distribution. This is because, as described above, by satisfying these ranges, it is possible to manufacture the second laminated body with high accuracy of arrangement of the second mask layer and film thickness accuracy of the first mask layer. The placement accuracy of the second mask layer with respect to the first mask layer and the film thickness uniformity of the first mask layer are improved, thereby improving the accuracy of the fine mask pattern and finally improving the accuracy of the fine pattern. It is. By using a sapphire substrate having such a fine pattern, first, it is presumed that the distribution of the effect of disturbing the growth mode of the semiconductor crystal layer in the plane is reduced, and the internal quantum efficiency is improved in the plane. Furthermore, the light extraction efficiency is improved by the effect of light diffraction by the fine pattern. From the above, it is presumed that the light output is increased and the distribution is further reduced because the external quantum efficiency of the semiconductor light emitting device is improved.

更に、√(0.76/(Sh/Scm))−1≦(Mcv/Mcc)≦√(0.93/(Sh/Scm))−1、0.23<(Sh/Scm)≦0.99、且つ0.01≦Mcv/Mcc<1.0を同時に満たすことで、発光出力及び発光出力の分布が共に向上することが確認された。これは、既に説明したメカニズムから精度の高い微細パタン構造体を製造できることと、上記範囲を満たすことで、微細パタン構造体の凹部底部の平坦面の精度が向上することから内部量子効率がより向上したためと推定される。   Further, √ (0.76 / (Sh / Scm)) − 1 ≦ (Mcv / Mcc) ≦ √ (0.93 / (Sh / Scm)) − 1, 0.23 <(Sh / Scm) ≦ 0. It was confirmed that both the light emission output and the light emission output distribution were improved by simultaneously satisfying 99 and 0.01 ≦ Mcv / Mcc <1.0. This is because the fine pattern structure with high accuracy can be manufactured from the mechanism already described, and the accuracy of the flat surface at the bottom of the concave portion of the fine pattern structure is improved by satisfying the above range, so that the internal quantum efficiency is further improved. It is estimated that

更に、√(0.76/(Sh/Scm))−1≦(Mcv/Mcc)≦√(0.93/(Sh/Scm))−1、0.4≦(Sh/Scm)≦0.99、且つ0.01≦(Mcv/Mcc)<1.0を同時に満たすことで、発光出力及び発光出力の分布が共により向上することが確認された。これは、既に説明したメカニズムから精度の高い微細パタン構造体202を製造できることと、微細パタン構造体の凸部の体積を大きくできることに起因した光取り出し効率向上の結果と考えられる。更に、これらの効果は、√(0.76/(Sh/Scm))−1≦(Mcv/Mcc)≦√(0.93/(Sh/Scm))−1、0.6≦(Sh/Scm)≦0.99、且つ0.01≦Mcv/Mcc<1.0を同時に満たすことで、より顕著になることが確認された。   Further, √ (0.76 / (Sh / Scm)) − 1 ≦ (Mcv / Mcc) ≦ √ (0.93 / (Sh / Scm)) − 1, 0.4 ≦ (Sh / Scm) ≦ 0. It was confirmed that by simultaneously satisfying 99 and 0.01 ≦ (Mcv / Mcc) <1.0, both the light emission output and the light emission output distribution were improved. This is considered to be a result of improving the light extraction efficiency due to the fact that the fine pattern structure 202 with high accuracy can be manufactured from the mechanism described above and the volume of the convex portion of the fine pattern structure can be increased. Furthermore, these effects are as follows: √ (0.76 / (Sh / Scm)) − 1 ≦ (Mcv / Mcc) ≦ √ (0.93 / (Sh / Scm)) − 1, 0.6 ≦ (Sh / Scm) ≦ 0.99 and 0.01 ≦ Mcv / Mcc <1.0 were simultaneously confirmed to be more prominent.

Sh/Scmが0.85近辺において、わずかに発光出力が低下しているが、これは、微細パタン構造体202の凹部底部の面積が小さくなりすぎたためと考えられる。これについては、微細マスクパタンを形成する際のエッチング及び微細パタンを形成する際のエッチングにおいて、オーバーエッチングを加えることで解決できることを確認した。即ち、エッチングの条件により微細パタンの凸部の底部の径、高さ、テーブルトップの大きさ、そして側面の傾斜角を容易に制御できた。   The light emission output slightly decreases when Sh / Scm is around 0.85. This is considered to be because the area of the bottom of the concave portion of the fine pattern structure 202 is too small. It was confirmed that this can be solved by adding over-etching in the etching for forming the fine mask pattern and the etching for forming the fine pattern. That is, the diameter and height of the bottom of the convex portion of the fine pattern, the size of the table top, and the inclination angle of the side surface can be easily controlled according to the etching conditions.

なお、本発明は上記実施の形態に限定されず、種々変更して実施することが可能である。上記実施の形態において、添付図面に図示されている大きさや形状等については、これに限定されず、本発明の効果を発揮する範囲内で適宜変更することが可能である。   In addition, this invention is not limited to the said embodiment, It can change and implement variously. In the above-described embodiment, the size, shape, and the like illustrated in the accompanying drawings are not limited to this, and can be appropriately changed within a range in which the effects of the present invention are exhibited.

本発明は、高アスペクト比の微細パタンを所望の被処理体に形成するために、残膜の薄い又は残膜の無い微細パタンを容易に形成できる微細パタン形成用積層体を実現できるという効果を有し、特に、半導体発光素子用の光学部材及びその製造方法として好適に適用できる。また、得られた微細パタンは、撥水性フィルム、親水性フィルム、粘着テープとして用いることが可能である。   The present invention has the effect of realizing a laminate for forming a fine pattern that can easily form a fine pattern with a thin residual film or no residual film in order to form a fine pattern with a high aspect ratio on a desired object. In particular, it can be suitably applied as an optical member for a semiconductor light emitting device and a method for producing the same. Moreover, the obtained fine pattern can be used as a water-repellent film, a hydrophilic film, and an adhesive tape.

1 第1の積層体(第1の微細パタン形成用積層体)
2 第2の積層体(第2の微細パタン形成用積層体)
10,101 モールド
11,101a 凹凸構造
12,102 第2のマスク層
13,103 第1のマスク層
20,200 被処理体
1 1st laminated body (1st laminated body for fine pattern formation)
2 2nd laminated body (2nd laminated body for fine pattern formation)
10, 101 Mold 11, 101a Uneven structure 12, 102 Second mask layer 13, 103 First mask layer 20, 200 Object to be processed

Claims (15)

表面に凹凸構造を有するモールドと、第1のマスク層の加工時にマスクとして機能する第2のマスク層と、前記モールドの凹凸構造及び前記第2のマスク層を覆うように設けられた前記第1のマスク層と、を具備し、
前記第2のマスク層は、少なくとも前記凹凸構造の凹部内に設けられると共に、
前記第1のマスク層の表面粗さRaは500nm以下であることを特徴とする微細パタン形成用積層体。
A mold having a concavo-convex structure on the surface, a second mask layer functioning as a mask during processing of the first mask layer , and the first mask provided to cover the concavo-convex structure of the mold and the second mask layer. And a mask layer of
The second mask layer is provided at least in the concave portion of the concavo-convex structure,
The laminate for forming a fine pattern, wherein the surface roughness Ra of the first mask layer is 500 nm or less.
前記凹凸構造の凸部頂部位置(S)と、前記凹凸構造の凹部内部に形成された前記第2のマスク層の前記第1のマスク層との界面位置(Scc)と、の間の距離(lcc)及び前記凹凸構造の深さ(h)が下記式(1)を満たし、
前記凸部頂部位置(S)と凸部上に形成された前記第2のマスク層の頂部位置(Scv)との間の距離(lcv)、前記深さ(h)及び前記距離(lcc)が下記式(2)を満たすことを特徴とする請求項1記載の微細パタン形成用積層体。
式(1)
0<lcc<1.0h
式(2)
0≦lcv≦(h−lcc)/2
The distance (Scc) between the top position (S) of the convex portion of the concavo-convex structure and the interface position (Scc) of the second mask layer formed in the concave portion of the concavo-convex structure with the first mask layer ( lcc) and the depth (h) of the concavo-convex structure satisfy the following formula (1):
The distance (lcv), the depth (h), and the distance (lcc) between the top position (S) of the convex portion and the top position (Scv) of the second mask layer formed on the convex portion are as follows. The laminate for forming a fine pattern according to claim 1, wherein the following formula (2) is satisfied.
Formula (1)
0 <lcc <1.0h
Formula (2)
0 ≦ lcv ≦ (h−lcc) / 2
前記凸部頂部位置(S)と前記第1のマスク層の表面との間の距離(lor)、前記凹凸構造の平均ピッチ(Pav)、及び前記距離(lcv)が、下記式(3)を満たすことを特徴とする請求項2記載の微細パタン形成用積層体。
式(3)
lcv<lor≦10Pav
The distance (lor) between the convex top position (S) and the surface of the first mask layer, the average pitch (Pav) of the concavo-convex structure, and the distance (lcv) are expressed by the following formula (3). The laminate for forming a fine pattern according to claim 2, wherein the laminate is satisfied.
Formula (3)
lcv <lor <10 Pav
前記第1のマスク層を構成する樹脂は、環状部位を有するポリマ又はオリゴマを含むことを特徴とする請求項1から請求項3のいずれかに記載の微細パタン形成用積層体。   The laminate for forming a fine pattern according to any one of claims 1 to 3, wherein the resin constituting the first mask layer includes a polymer or an oligomer having an annular portion. 前記環状部位は、炭素元素数が30以下であることを特徴とする請求項4記載の微細パタン形成用積層体。   The laminate for forming a fine pattern according to claim 4, wherein the annular portion has 30 or less carbon elements. 前記環状部位は、4員環、5員環及び6員環からなる群から選ばれる少なくとも1以上の要素を含み構成されることを特徴とする請求項4又は請求項5記載の微細パタン形成用積層体。   6. The fine pattern forming structure according to claim 4 or 5, wherein the cyclic part includes at least one element selected from the group consisting of a 4-membered ring, a 5-membered ring and a 6-membered ring. Laminated body. 前記第1のマスク層を構成する樹脂は、モノマを更に含むことを特徴とする請求項4から請求項6のいずれかに記載の微細パタン形成用積層体。   The laminate for forming a fine pattern according to any one of claims 4 to 6, wherein the resin constituting the first mask layer further contains a monomer. 前記モノマは、硬化性モノマを含むことを特徴とする請求項7記載の微細パタン形成用積層体。   The laminate for forming a fine pattern according to claim 7, wherein the monomer includes a curable monomer. 前記第1のマスク層を構成する樹脂は、ホモポリマ又はホモオリゴマを含むことを特徴とする請求項4から請求項8のいずれかに記載の微細パタン形成用積層体。   The laminate for forming a fine pattern according to any one of claims 4 to 8, wherein the resin constituting the first mask layer contains a homopolymer or a homooligomer. 前記第1のマスク層を構成する樹脂は、少なくとも1以上の繰り返し単位を有すると共に、前記繰り返し単位は、前記繰り返し単位を構成する全原子数Naと、前記繰り返し単位中の炭素原子数Nc及び前記繰り返し単位中の酸素原子数Noとの差分と、の比率(Na/(Nc−No))が5.5以下の繰り返し単位を含むことを特徴とする請求項4から請求項9のいずれかに記載の微細パタン形成用積層体。   The resin constituting the first mask layer has at least one repeating unit, and the repeating unit includes the total number of atoms Na constituting the repeating unit, the number of carbon atoms Nc in the repeating unit, and the The ratio (Na / (Nc-No)) of the difference with the number of oxygen atoms No in the repeating unit is 5.5 or less, and the repeating unit contains 5.5 or less. A laminate for forming a fine pattern as described. 前記モールドは、表面の一部又は全面に凹凸構造Aを具備し、
前記凹凸構造Aは、凸部頂部幅(Mcv)と凹部開口幅(Mcc)との比率(Mcv/Mcc)と、前記凹凸構造Aの単位面積(Scm)の領域下に存在する開口部面積(Sh)と前記単位面積(Scm)との比率(Sh/Scm)と、が下記式(4)を満たすと共に、
前記比率(Sh/Scm)は下記式(5)を満たし、前記比率(Mcv/Mcc)は下記式(6)を満たし、且つ前記凹凸構造Aの深さ(H)は下記式(7)を満たすことを特徴とする請求項1から請求項3のいずれかに記載の微細パタン形成用積層体。
式(4)
Figure 0006307258
式(5)
0.23<(Sh/Scm)≦0.99
式(6)
0.01≦(Mcv/Mcc)<1.0
式(7)
50nm≦H≦1500nm
The mold includes a concavo-convex structure A on a part or the entire surface thereof,
The concavo-convex structure A has a ratio (Mcv / Mcc) of a convex top width (Mcv) and a concave opening width (Mcc), and an opening area existing under a unit area (Scm) of the concavo-convex structure A ( The ratio (Sh / Scm) between Sh) and the unit area (Scm) satisfies the following formula (4),
The ratio (Sh / Scm) satisfies the following formula (5), the ratio (Mcv / Mcc) satisfies the following formula (6), and the depth (H) of the concavo-convex structure A satisfies the following formula (7). The laminate for forming a fine pattern according to any one of claims 1 to 3, wherein the laminate is satisfied.
Formula (4)
Figure 0006307258
Formula (5)
0.23 <(Sh / Scm) ≦ 0.99
Formula (6)
0.01 ≦ (Mcv / Mcc) <1.0
Formula (7)
50 nm ≦ H ≦ 1500 nm
前記凹凸構造Aを構成する凹部は、底部から開口部へ向かうに従い、径が大きくなることを特徴とする請求項11記載の微細パタン形成用積層体。   12. The laminate for forming a fine pattern according to claim 11, wherein the concave portion constituting the concave-convex structure A has a diameter that increases from the bottom toward the opening. 前記凹凸構造Aは、下記式(8)を満たすことを特徴とする請求項11又は請求項12記載の微細パタン形成用積層体。
式(8)
0.4≦(Sh/Scm)≦0.95
The laminate for forming a fine pattern according to claim 11 or 12, wherein the uneven structure A satisfies the following formula (8).
Formula (8)
0.4 ≦ (Sh / Scm) ≦ 0.95
前記凹凸構造に対する水滴の接触角が、90度以上であることを特徴とする請求項1から請求項13のいずれかに記載の微細パタン形成用積層体。   The laminate for forming a fine pattern according to any one of claims 1 to 13, wherein a contact angle of water droplets with respect to the uneven structure is 90 degrees or more. 4インチφ、6インチφ、若しくは8インチφのサファイアウェハ、シリコンウェハ、LED用エピタキシャルウェハ、シリコンカーバイドウェハ、又は窒化ガリウム系ウェハに微細パタンを形成するために用いられることを特徴とする請求項1から請求項14のいずれかに記載の微細パタン形成用積層体。   5. The method according to claim 1, wherein the fine pattern is formed on a sapphire wafer, silicon wafer, LED epitaxial wafer, silicon carbide wafer, or gallium nitride-based wafer having a diameter of 4 inches, 6 inches, or 8 inches. The laminate for forming a fine pattern according to any one of claims 1 to 14.
JP2013255932A 2012-12-21 2013-12-11 Laminate for fine pattern formation Expired - Fee Related JP6307258B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2013255932A JP6307258B2 (en) 2012-12-21 2013-12-11 Laminate for fine pattern formation

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP2012280223 2012-12-21
JP2012280223 2012-12-21
JP2013088561 2013-04-19
JP2013088561 2013-04-19
JP2013117400 2013-06-03
JP2013117400 2013-06-03
JP2013255932A JP6307258B2 (en) 2012-12-21 2013-12-11 Laminate for fine pattern formation

Publications (2)

Publication Number Publication Date
JP2015015448A JP2015015448A (en) 2015-01-22
JP6307258B2 true JP6307258B2 (en) 2018-04-04

Family

ID=52436953

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013255932A Expired - Fee Related JP6307258B2 (en) 2012-12-21 2013-12-11 Laminate for fine pattern formation

Country Status (1)

Country Link
JP (1) JP6307258B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6492904B2 (en) * 2015-04-08 2019-04-03 ダイキン工業株式会社 Compact
US10892167B2 (en) * 2019-03-05 2021-01-12 Canon Kabushiki Kaisha Gas permeable superstrate and methods of using the same
CN115837763B (en) * 2022-10-18 2023-04-18 晋江铭飞科技有限公司 Production process of tear-resistant PET release film

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5611519B2 (en) * 2008-10-29 2014-10-22 富士フイルム株式会社 Composition for nanoimprint, pattern and method for forming the same
JP5691717B2 (en) * 2010-10-20 2015-04-01 ダイキン工業株式会社 Resin mold material composition for imprint
JP2012107109A (en) * 2010-11-17 2012-06-07 Bridgestone Corp Photocurable transfer sheet

Also Published As

Publication number Publication date
JP2015015448A (en) 2015-01-22

Similar Documents

Publication Publication Date Title
JP6220704B2 (en) Function transfer body, function layer transfer method, package, and function transfer film roll
JP6339727B2 (en) Method for producing resist laminate
JP5243672B1 (en) Fine pattern forming laminate and method for producing fine pattern forming laminate
KR101531143B1 (en) Transfer method and thermal nanoimprint device
JP6171089B2 (en) Function transfer body and function transfer film roll
JP6177168B2 (en) Etching work material and etching method using the same
JP6307258B2 (en) Laminate for fine pattern formation
JP6324049B2 (en) Functional transfer body and functional layer transfer method
JP2015114464A (en) Function transfer body, and method of transferring functional layer
JP6307257B2 (en) Functional transfer body and functional layer transfer method
JP6324050B2 (en) Functional transfer body and functional layer transfer method
JP6324048B2 (en) Functional transfer body, method of transferring functional layer, solar cell and method of manufacturing the same
JP6132545B2 (en) Laminate for fine pattern formation
JP2015005696A (en) Wafer for semiconductor light-emitting device, epitaxial wafer, and semiconductor light-emitting device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20160413

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160516

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20161201

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170829

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171026

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180306

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180312

R150 Certificate of patent or registration of utility model

Ref document number: 6307258

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees