JP6272850B2 - 物理的気相成長法による窒化アルミニウムの緩衝及び活性層 - Google Patents

物理的気相成長法による窒化アルミニウムの緩衝及び活性層 Download PDF

Info

Publication number
JP6272850B2
JP6272850B2 JP2015520613A JP2015520613A JP6272850B2 JP 6272850 B2 JP6272850 B2 JP 6272850B2 JP 2015520613 A JP2015520613 A JP 2015520613A JP 2015520613 A JP2015520613 A JP 2015520613A JP 6272850 B2 JP6272850 B2 JP 6272850B2
Authority
JP
Japan
Prior art keywords
substrates
processing
layer
biasing
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015520613A
Other languages
English (en)
Other versions
JP2015529009A (ja
Inventor
ミンウェイ チュー,
ミンウェイ チュー,
ナグ ビー. パティバンドラ,
ナグ ビー. パティバンドラ,
ロンジュン ワン,
ロンジュン ワン,
ヴィヴェク アグラワル,
ヴィヴェク アグラワル,
アナンタ スブラマニ,
アナンタ スブラマニ,
ダニエル エル. ディール,
ダニエル エル. ディール,
シャンミン タン,
シャンミン タン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015529009A publication Critical patent/JP2015529009A/ja
Application granted granted Critical
Publication of JP6272850B2 publication Critical patent/JP6272850B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0617AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • H01J37/3467Pulsed operation, e.g. HIPIMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • H01L21/3228Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections of AIIIBV compounds, e.g. to make them semi-insulating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明の実施形態は、概して、電源デバイス、発光ダイオード(LEDs)、及び半導体レーザー(LDs)などのIII‐V族を含むデバイスを製造するために使用される方法及びハードウェアに関する。
III‐V族の材料は、高電力、高周波数、高温のトランジスタ及び集積回路、LEDs、又はLDsを含む電源デバイスなどの様々な半導体デバイスの開発及び製造においてより重要になってきている。III‐V族の材料はまた、半導体及びそれに関連する産業においてこれまで以上に増大する役割を担ってきている。しばしば、III‐V族の材料は、結晶の欠陥又はクラックなしに、(ヘテロエピタキシーとして知られる)外来基板上において成長又は堆積することが困難である。基板の表面及びデバイス層の間に配置される、1以上の界面層、又は緩衝層を含むことは、欠陥を低減し及び/又はデバイスの機能を改良することにおいて多くの利点を提供する。しかしながら、III‐V族を含む高い品質の層の形成は、困難であり、かつしばしば、堆積プロセスの処理条件に対して非常に敏感である。しかしながら、敏感なIII‐V族の膜と潜在的な損傷条件との干渉を避けることはまた、多くの用途において簡単ではない。
図1は、基板12を覆って配置されるIII族‐窒化物に基づくヘテロ接合15を含む、従来のパワー半導体デバイス10の例を示している。ヘテロ接合15は、第1のIII族‐窒化物半導体層14、及び第1のIII族‐窒化物半導体層14を覆う第2のIII族‐窒化物半導体層16を含む。第1の電源電極18(すなわち、ソース電極)、及び第2の電源電極20(すなわち、ドレイン電極)は、直接的なオーミック接続又は任意の他の適切な手段を介して、第1及び第2のIII族‐窒化物半導体層に電気的に接続される。ゲート構造22は、第2のIII族‐窒化物半導体本体14を覆って、第1の電源電極18及び第2の電源電極20の間に配置される。ゲート構造22は、第2のIII族‐窒化物半導体層16に接続されるゲート電極23を含む。代替的に、ゲート構造22は、第2のIII族‐窒化物半導体層16に接続されるショットキータイプのゲート電極を含み得る。最も従来型の構成において、第1のIII族‐窒化物半導体層14は、窒化ガリウム(GaN)の層であり得、かつ第2のIII族‐窒化物半導体層16は、窒化アルミニウムガリウム(AlGaN)であり得、それらはサファイア材料から形成される基板12を覆って配置される。いくつかの構成において、絶縁層25は窒化ケイ素(SiN)を含み得、かつ第1の電源電極18、第2の電源電極20、及びゲート電極23は全て、金属を含む層を備える。
電源デバイス、LED、又はLDデバイスの活性層を形成するために、GaNなどのIII族‐窒化物を堆積させるために使用されてきた1つの方法は、有機金属化学気相成長法(MOCVD)又はハイドライド気相エピタキシー(HVPE)である。これらの堆積方法は、概して、III族からの少なくとも1つの要素を含む、前駆体ガスの安定性を確実にする温度が制御された環境を有するリアクタの中において実行される。前駆体ガスは、リアクタの範囲内の処理ゾーンの中に注入され、そこで前駆体ガスは混合しかつ処理ゾーンの中の加熱された基板に向かって移動する。キャリアガスが、基板に向かう前駆体ガスの移送において補助となるように使用され得る。基板の表面上で、GaNなどのIII族‐窒化物の層を形成するために、前駆体ガスは加熱された基板の表面において反応する。膜の品質は、堆積した層及び基板の界面領域において堆積した膜の品質、堆積した膜の特性、基板の表面の清浄度、及びそれから基板が形成される材料のタイプに、部分的に依存する。
電源デバイス、LEDデバイス、又はLDデバイスの部分を形成するためにGaNを使用することの実現可能性は、何十年もの間知られてきているが、それらの実際の製造を妨害する多くの技術的な障壁が存在した。例えば、格子定数、熱膨張係数、基板の表面12Aの上の表面汚染、及び界面エネルギーなどの、サファイア又はシリコンの基板及びIII族‐窒化物の層の間の材料の差異は、形成された構造を通って伝播しかつ形成されたデバイスの性能を貶める可能性がある転位を生成し得る。下地基板の表面エネルギーを修正し、格子整合した窒化物の層の範囲内の固有応力を緩和し、かつ第二層のエピタキシャル成長に対して核形成部位を提供するために、様々なタイプの緩衝層が基板及びIII族‐窒化物の層の間において使用されてきた。しかしながら、従来のIII族‐窒化物の品質は、概して、上手く制御されず、そのことは不満足な膜の特性(例えば、厚さの変動、核密度、核サイズなど)及びデバイスの性能をもたらし得る。核生成の間の成長パラメータにおける任意のわずかな変化は、容易に第二窒化物層の品質に影響する可能性があり、そのことが今度はそれらが癒着する前の核生成アイランド(islands)のねじれ又はミスアラインメント(mis‐alignment)をもたらし、それによって、形成されたデバイスのIII族‐窒化物に基づくヘテロ接合15などの活性部分を形成するために使用される、引き続いて堆積するバルクIII族‐窒化物の成長に逆の影響を与える。
電源デバイスに対する要求として、LEDs、LDs、トランジスタ、及び集積回路は増加し、高品質のIII族‐窒化物の膜を堆積する作業は、より大きな重要性を引き受ける。それ故、基板を覆う低い欠陥密度の高品質のIII族‐窒化物の層の成長を促進する、高品質の緩衝層を形成することができる方法及び装置に対する必要性が存在する。
本明細書の中において説明される発明の実施形態は、概して、電源デバイス、発光ダイオード(LED)、半導体レーザー(LD)、又は他の有用なデバイスなどの有用な半導体デバイスを形成するために使用される、高品質の緩衝層及びIII‐V族の層を形成するための装置及び方法に関する。1つの実施形態において、装置及び方法は、同時に複数の基板の表面上に高い結晶配向を有する高品質のアルミニウム窒化物(AlN)の緩衝層を堆積するように適合される、1以上の物理的気相成長(PVD)チャンバを有する1以上のクラスタツールの使用を含む。1つのそのような実施形態において、1以上のAlNの緩衝層が、近似的に1ナノメートル(nm)(二乗平均根(rms))より小さい粗さを有し、かつ(002)方向における望ましい結晶配向(XRD解析((002)ピークのFWHM<サファイアの基板を使用する200arcsec)によって証明されるように)を有する、原子レベルの滑らかな表面を有するように形成される。別の実施形態において、1以上のAlNの緩衝層が、25nmの厚さの堆積層に対して近似的に2ナノメートル(nm)(rms)より小さい粗さを有し、かつ(002)方向における望ましい結晶配向(XRD解析((002)ピークのFWHM<サファイアの基板を使用する3600arcsec)によって証明されるように)を有する、原子レベルの滑らかな表面を有するように形成される。いくつかの構成において、粗さは、堆積層の厚さの約3パーセントより小さい。1つの実施形態において、堆積したAlNの膜の厚さは、近似的に500ナノメートルより小さい。
1つの実施形態において、デバイスを製造するための方法は、第1の処理チャンバの中の1以上の基板の表面から材料を除去すること、第1の処理チャンバから制御された環境の中の第2の処理チャンバへ1以上の基板を移送すること、処理領域を画定する1以上の壁を有する第2の処理チャンバの中の1以上の基板上にアルミニウム窒化物の層を形成することを含み、ここで、窒化アルミニウムの層を形成することは、処理領域と接触する表面を有するターゲットにバイアスをかけることを含み、ここで、ターゲットはアルミニウムを備え、窒素を含む第1のガスを処理領域の中へ流し込むこと、処理領域の中へ第2のガスを流し込むことを含み、ここで、第2のガスはアルゴン、クリプトン、又はネオンを含み、及び電極にバイアスをかけて基板支持体を覆って配置される1以上の基板上に電位を生成することを含み、ここで、ターゲットにバイアスをかけること及び電極にバイアスをかけることは1以上の基板上の窒化アルミニウムのN‐面の成長を促進するように構成され、及び1以上の基板を第2の処理チャンバから第3の処理チャンバへ移送すること、及び第3の処理チャンバの中で窒化アルミニウムの層の上にIII族‐窒化物を形成することを含み、ここで、III族‐窒化物の層を形成することは金属を含む前駆体及び窒素を含むガスを1以上の基板の各々の表面に送ることを含む。いくつかの場合において、材料を除去することは1以上の基板を脱気すること又は1以上の基板の表面をスパッタエッチングすること含み、ターゲットにバイアスをかけることは約500ワットから約20キロワットの間の電力においてパルス状のDC信号若しくはRF信号を送ることを含み、かつ電極にバイアスをかけることは約−1000ボルトから約+500ボルトの間から変化する1以上の基板上の浮遊電位を生成することを含み、かつ方法はさらに、ターゲットにバイアスをかける前に1以上の基板を摂氏約200度から摂氏約1000度の間の温度に加熱すること、ターゲットにバイアスをかける間に約0.1ミリトールから200ミリトールの間の圧力まで処理を伴って圧力を制御すること、及び約0.2オングストローム/秒から約20オングストローム/秒の間の堆積速度においてAlNの層を堆積することを含む。
1つの実施形態において、デバイスを製造するための方法は、第1の処理チャンバの中の1以上の基板の表面から材料を除去すること、1以上の基板を第1の処理チャンバから制御された環境の中の第2の処理チャンバへ移送すること、処理領域を画定する1以上の壁を有する第2の処理チャンバの中の1以上の基板上に窒化アルミニウムの層を形成することを含み、ここで、窒化アルミニウムの層を形成することは処理領域と接触する表面を有するターゲットにバイアスをかけることを含み、ここで、ターゲットはアルミニウムを含み、窒素を含む第1のガスを処理領域の中へ流し込むこと、及び第2のガスを処理領域の中へ流し込むことを含み、ここで、ターゲットにバイアスをかけることは1以上の基板上の窒化アルミニウムの層のN‐面の成長を促進するように構成される。窒化アルミニウムの層を形成することはさらに、電極にバイアスをかけて基板支持体を覆って配置される1以上の基板の上に電位を生成することを含み、ここで、電極にバイアスをかけることはターゲットにバイアスをかける前及び/又は後に生じる第1の期間の間に電極にバイアスをかけることを含み得る。
1つの実施形態において、デバイスを製造するための方法は、第1の処理チャンバの中の1以上の基板の表面から材料を除去すること、1以上の基板を第1の処理チャンバから制御された環境の中の第2の処理チャンバへ移送すること、処理領域を画定する1以上の壁を有する第2の処理チャンバの中の1以上の基板の上に窒化アルミニウムの層を形成することを含み、ここで、窒化アルミニウムの層を形成することは処理領域と接触する表面を有するターゲットにバイアスをかけることを含み、ここで、ターゲットはアルミニウムを含み、窒素を含む第1のガスを処理領域の中へ流し込むこと、及び第2のガスを処理領域の中へ流し込むことを含み、ここで、ターゲットにバイアスをかけることは1以上の基板上の窒化アルミニウムの層のAl‐面の成長を促進するように構成される。窒化アルミニウムの層を形成することはさらに、電極にバイアスをかけて基板支持体を覆って配置される1以上の基板上に電位を生成することを含み、ここで、電極にバイアスをかけることはターゲットにバイアスをかける前及び/又は後に生じる第1の期間の間に電極にバイアスをかけることを含む。
別の実施形態において、デバイスを製造するための方法は、第1の処理チャンバの中の1以上の基板の表面から材料を除去すること、第1の処理チャンバから制御された環境の中の第2の処理チャンバへ1以上の基板を移送すること、処理領域を画定する1以上の壁を有する第2の処理チャンバの中の1以上の基板上に窒化アルミニウムの層を形成することを含み、ここで、窒化アルミニウムの層を形成することは、処理領域と接触する表面を有するターゲットにバイアスをかけることを含み、ここで、ターゲットはアルミニウムを含み、窒素を含む第1のガスを処理領域の中へ流し込むこと、処理領域の中へ第2のガスを流し込むことを含み、ここで、第2のガスはアルゴン、クリプトン、又はネオンを含み、及び電極にバイアスをかけて基板支持体を覆って配置される1以上の基板上に電位を生成することを含み、ここで、ターゲットにバイアスをかけること及び電極にバイアスをかけることは1以上の基板上の窒化アルミニウムのAl‐面の成長を促進するように構成され、及び1以上の基板を第2の処理チャンバから第3の処理チャンバへ移送すること、及び第3の処理チャンバの中の窒化アルミニウムの層の上にIII族‐窒化物を形成することを含み、ここで、III族‐窒化物の層を形成することは金属を含む前駆体及び窒素を含むガスを各々の1以上の基板の表面に送ることを含む。
さらに別の実施形態において、デバイスを形成するための装置は、アルミニウム、窒素を含むガス源、アルゴン、キプトン、及びネオンから成るグループから選ばれたガスを送るように適合されるプロセスガス源を備えるターゲットを備える第1の処理チャンバを備える第1のクラスタ、ターゲットに対して約500ワットから約20キロワットの間の電力においてパルス状のDC信号又はRF信号を提供するように構成される第1の電源、基板を支持する表面を有する基板支持体に結合される電極、及び基板を支持する表面を覆って配置される1以上の基板上に約−1000ボルトから約+500ボルトの間の浮遊電位を生成するように構成される第2の電源を備える。1つの構成において、処理領域と流体連通するシャワーヘッドを備える第2の処理チャンバを備える第2のクラスタ、シャワーヘッドの中で形成された第1のプレナムに結合されるIII族の金属を含む前駆体ガス源、及びシャワーヘッドの中で形成された第2のプレナムに結合されるアンモニアを含むガス源がまた、使用され得る。
本発明の上述の特徴が詳細に理解され得るやり方において、上で短く要約された本発明のより具体的な説明が、実施形態に言及することによって認識され、それらのうちのいくつかは、添付の図面の中において示される。これらの実施形態のいくつかを、添付の図面に示す。しかし、本発明は他の等しく有効な実施形態も許容しうるため、添付の図面は本発明の典型的な実施形態のみを示しており、したがって本発明の範囲を限定すると見なすべきではないことに留意されたい。
図1は、従来の電源デバイスの構造の概略図である。 図2は、本明細書の中において説明される本発明の実施形態による、電源デバイスの構造の概略図である。 図3は、本発明の一実施形態による、処理シークエンスを図示する。 図4は、本明細書の中において説明される本発明の実施形態による、クラスタツールを図示する。 図5は、本明細書の中において説明される本発明の実施形態による、処理チャンバを図示する。 図6は、本発明の実施形態による、図3の中において図示された処理シークエンスを完了するために使用されることができる処理システムを図示する。 図7は、本発明の実施形態による、図3の中において図示された処理シークエンスを完了するために使用されることができる別の処理システムを図示する。 図8は、図3の中において図示された処理ステップの1以上を実行するように適合される処理チャンバを図示する。 図9Aは、本発明の一実施形態による、基板の表面上に形成された緩衝層の部分の側部断面図である。 図9Bは、本発明の一実施形態による、基板の表面上に形成された緩衝層の部分の側部断面図である。
理解を容易にするため、可能な場合には、上記の図に共通する同一の要素を示すのに同一の参照符号を使用した。一実施形態の要素および特徴は、さらなる記述がなくても、他の実施形態内に有益に組み込むことができることが企図される。
本明細書の中において説明される本発明の実施形態は、概して、電源デバイス、発光ダイオード(LED)、半導体レーザー(LD)、又は他の有用なデバイスなどの有用な半導体デバイスを形成するために使用される、高品質の緩衝層及びIII‐V族の層を形成するための装置及び方法に関する。本発明の実施形態はまた、有用な半導体デバイスを形成するために使用される、高品質の緩衝層、III‐V族の層、及び電極層を形成するための装置及び方法を含み得る。いくつかの実施形態において、装置及び方法は、同時に複数の基板の表面上に高い結晶配向を有する高品質の窒化アルミニウム(AlN)の緩衝層を堆積するように適合される、1以上の物理的気相成長(PVD)チャンバを有する1以上のクラスタツールの使用を含む。一実施形態において、処理条件は、窒化ガリウム(GaN)を含むデバイス製造プロセスの中において使用されるために適切なAlN緩衝層を形成するために提供される。1つのそのような実施形態において、1以上のAlNの緩衝層が、近似的に1ナノメートル(rms)より小さい粗さを有し、かつ(002)方向における望ましい結晶配向を有する、原子レベルの滑らかな表面を有するように形成される。
物理的気相成長(PVD)及び化学的気相成長のプロセスを使用する、窒化アルミニウム、及び窒化ガリウムなどのIII族‐窒化物を含む、電源デバイス、発光ダイオード(LEDs)の製造が、さらに以下に論じられる。以下の説明において、本発明の実施形態の徹底した理解を提供するために、処理チャンバ構成及び材料レジームなどの多くの具体的な詳細が説明される。本発明の実施形態がこれらの具体的な詳細なしに実施され得ることは、当業者にとって自明であろう。他の例において、具体的なデバイス構成などのよく知られた特徴は、本発明の実施形態を不必要にあいまいにすることがないように、詳細には説明されない。さらに、図面の中において示される様々な実施形態が例示的な表現でありかつ必ずしも原寸に比例して描かれていないことは、理解されるべきである。それに加えて、他の配置及び構成は、本明細書の中の実施形態において明らかに開示されないかもしれないが、それでもなお本発明の精神及び領域の範囲内にあると考えられる。
従来の窒化ガリウム材料を含むデバイスの製造方法は、概して、基板と、ドープされていない及び/又はドープされた窒化ガリウムの層を含むデバイス層との間に、窒化ガリウムの緩衝層の形成を含む。本明細書の中において説明される本発明の実施形態において、窒化アルミニウム緩衝層は、そのような従来の窒化ガリウム緩衝層の代わりに使用される。窒化アルミニウム(AlN)層は、概して、PVD又は他のスパッタリング処理を使用して形成される。スパッタリング又はPVDは、有機金属化学気相成長(MOCVD)チャンバ又はハイドライド気相エピタキシー(HVPE)チャンバの中で典型的に実行される、III族‐窒化物の緩衝層の製造とは対照的である。いくつかの場合において、窒化アルミニウムの層は、非反応性又は反応性PDV処理のいずれによっても形成されることができる。一実施例において、PVDチャンバの中に収容される窒化アルミニウムを含むターゲットは、スパッタリングされて1以上の基板の表面上に窒化アルミニウム材料を形成する。代替的に、かつさらに以下に述べられるように、窒化アルミニウムの層は、アルミニウムのターゲット及び窒素を含むプロセスガスを使用して1以上の基板の表面上に窒化アルミニウムの層をスパッタリングかつ受動的に形成する、反応性スパッタリング処理によって形成され得る。
図2は、本発明の実施形態による、基板212を覆って配置されるIII族‐窒化物に基づくヘテロ接合215を含む、パワー半導体デバイス210の実施例を図示している。ヘテロ接合215は、第1のIII族‐窒化物の半導体層214、第2のIII族‐窒化物の半導体層216、並びに第1のIII族‐窒化物の半導体層214及び基板212の間に配置される、PVD堆積した緩衝層213を含む。概して、PVD堆積した緩衝層213の厚さは、約1から約1000ナノメートル(nm)の間に含まれ得る。一実施例において、PVD堆積した緩衝層213は、約500ナノメートルより小さい厚さを有する。第1の電源電極218(すなわち、ソース電極)、及び第2の電源電極220(すなわち、ドレイン電極)は、直接的なオーミック接続又は任意の他の適切な電気接続を介して、第1及び第2のIII族‐窒化物の半導体層に電気的に接続される。一実施例において、第1の電源電極218及び第2の電源電極220は、銀(Ag)、金(Au)、パラジウム(Pd)、アルミニウム(Al)、タングステン(W)、プラチナ(Pt)、インジウム(In)、亜鉛(Zn)、及びチタン(Ti)、それらの組み合わせ、及び他の有用な導電性金属から成るグループから選ばれた金属を備える層を備える。ゲート構造222は、第2のIII族‐窒化物の半導体本体214を覆って、第1の電源電極218及び第2の電源電極220の間に配置される。ゲート構造222は、第2のIII族‐窒化物の半導体層216に接続されるゲート電極223を含み得る。代替的に、ゲート構造222は、第2のIII族‐窒化物の半導体本体216に接続されるショットキータイプのゲート電極を含み得る。少なくとも1つの構成において、第1のIII族‐窒化物の半導体層214は、窒化ガリウム(GaN)を含む層であり、第2のIII族‐窒化物の半導体層216は、窒化アルミニウムガリウム(AlGaN)であり、それらはPVD堆積した緩衝層213及び単結晶シリコンなどの望ましい材料から形成される基板211を覆って配置される。一実施例において、基板212は、<111>指向又は<110>指向の結晶シリコン基板を備える。少なくとも1つの構成において、絶縁層225は窒化ケイ素(SiN)を含み得、かつ第1の電源電極218、第2の電源電極220、及びゲート電極223は全て金属を含む。例示的な基板201は、サファイア、炭化ケイ素(SiC)、シリコン(Si)、ダイヤモンド、アルミン酸リチウム(LiAlO2)、酸化亜鉛(ZnOX)、タングステン(W)、銅(Cu)、窒化ガリウム(GaN)、窒化アルミニウムガリウム(AlGaN)、窒化アルミニウム(AlN)、ソーダ石灰ガラス、及び/又は高石英ガラスを含む。概して、基板は、一貫した格子定数及び熱膨張係数を有する材料、その上で成長したIII‐V族の材料と合致する基板、又はIII‐V族が成長する温度において熱的かつ化学的に安定な基板から形成されることができる。
パワー半導体デバイス210のいくつかの構成において、PVD堆積した緩衝層213は、デバイスの絶縁破壊電圧(例えば、〜900VDC)を改良し、かつより薄い第1のIII族‐窒化物の半導体層214が使用されることを可能にする電源デバイスの中の活性層である。それ故、形成されたパワー半導体デバイス210は改良されたデバイス特性を有し、かつデバイスを形成するプロセスはより高いスループット(例えば、層214は従来のデバイスよりも薄い)を有し、かつより低い製造費用(例えば、より薄い層を形成するためにより少ない前駆体ガスを消費する)を有する。
PVD堆積した窒化アルミニウム(AlN)の緩衝層213は、窒化ガリウム(GaN)ベースのLED、及びシリコン(Si)などの基板201の上で成長する電源デバイスの範囲内において使用され得る。本明細書の中において議論される本発明の実施形態は、高い結晶配向を有する高品質のPVDAlN緩衝層を形成するために使用され、その結果として、それは、緩衝層213の頂部の上で成長したGaN層の材料の品質を改良し、それ故、形成されたデバイスの性能及び信頼性を改良するために使用されることができる。PVDAlN緩衝層及び関連する形成プロセスは、非限定的に、例えば、基板の予備焼きなまし、低温MOCVD緩衝形成、及びある程度必要とされる温度ランピングの作動などの、GaN緩衝層のMOCVD成長に対して典型的に必要とされる作動を消去するために使用されることができる。それに加えて、本明細書の中において説明されるPVD堆積プロセスのうちの1以上を使用する、緩衝層の形成時間は、従来のMOCVDプロセスに対して近似的に10から30パーセント低減されることができる。形成されたAlN緩衝層はまた、シリコンを含む基板の表面を、MOCVDプロセスの中において使用されるガリウム前駆体及びシリコン基板の表面との干渉による、ガリウムが誘発する損傷から防御するために使用されることができる。PVDAlN層の堆積プロセスは、MOCVDプロセスにわたり、さもなければエピタキシャル成長に基づいて、処理サイクル時間のうちの約3から6時間を倹約すると信じられており、殊に、そのプロセスが頻繁なチャンバ洗浄処理ステップの主要な原因となる場合はそうである。そのような処理時間の低減は、概して、クラスタツールシステムの中の基板のスループットを非常に高め得る。PVDAINの結晶品質は、その上に成長するGaN層の材料品質に直接的に影響を与えることが理解されるべきである。したがって、本明細書の中において説明される実施形態において、PVDAlN層は、高い結晶品質及び高められた処理再現性を有するように提供される。
図3は、以下にさらに述べられるように、電源デバイスなどの有用な半導体デバイスの少なくとも部分を形成するために使用される、処理シークエンス300を示している。一実施形態において、処理シークエンス300は、ステップ302から308などの1以上の基板の予備処理ステップ、緩衝層形成ステップ310、1以上のデバイス層形成ステップ(例えば、ステップ312)、及び1以上の接触層形成ステップ(例えば、ステップ314)を含む。処理ステップ302から314は、好ましくは1以上のクラスタツールの上に配置される、1以上の処理チャンバの中で実行されることができる。例示的な処理ステップ302から314及びクラスタツール401、601、及び701はさらに、以下で議論される。一実施形態において、各々のクラスタツール401、601、及び701は、同時に複数の基板を処理するように各々適合される複数の処理チャンバを有し、それは一般的にバッチ処理として言及される。この構成において、複数の基板は、キャリア451(図4)の上に配置される可能性があり、キャリア451は、基板201が処理システムを介して移送されかつ処理されるように、基板201を支持しかつ保有するように適合される。
図4は、図3の中において図示された処理ステップ302から314の1以上を実行するように適合されるクラスタツール401を図示する。クラスタツール401は、概して、カリフォルニア州サンタクララのApplied Materials,Inc.から購入することができ、かつそれはEndura(登録商標)システムとして知られる。図5は、図4の中において示された処理チャンバ446から472などの、クラスタツールの中において使用されることができる処理チャンバの一構成を示している。図6は、図3の中において図示された処理シークエンスを完了するために使用されることができる処理システム600を示している。処理システム600は、クラスタツール401及びクラスタツール601を備えることができる。図7は、図3の中において図示された処理シークエンスを完了するために交互に使用されることができる処理システム700の実施例を示している。図8は、図3の中において図示された処理ステップ302から314の1以上を実行するように適合されるMOCVDチャンバ801を示している。
一実施形態において、クラスタツール401は、その中にそれぞれ、移送チャンバの外縁の範囲内及び周りに配置される様々な処理チャンバ454‐472の間で1以上の基板を移動させるための、第1及び第2のロボット446、448を含む、第1及び第2の移送チャンバ442、444を含む。第1の移送チャンバ442の外縁の周りに配置される様々な処理チャンバ454から464、及び第2の移送チャンバ444の外縁の周りに配置される処理チャンバ466から472は、処理チャンバ及びそれらのそれぞれの移送チャンバ442、444の各々の間に配置される(図示されぬ)スリットバルブの使用によって、互いから選択的に孤立されることができる。いくつかの構成において、第1の移送チャンバ442は、適度に低い圧力まで真空ポンプによって圧力を下げられ、例えば、1ミリトールとなり、一方で、第2の移送チャンバ444は、かなり低い圧力まで下げられ、例えば、1マイクロトールとなる。
一実施形態において、クラスタツール401は、概して、ファクトリインターフェース404、1以上のロードロック450、452、第1のロボット446、1以上のオプショナル配向チャンバ454、456、1以上のガス抜きチャンバ458、460、1以上の処理チャンバ462、464、第2のロボット448、及び複数の処理チャンバ466から472を備え、それらは全て直接的又は間接的にメーンフレーム449に結合される。一構成において、第1及び第2のロボット446及び448は、カリフォルニア州サンタクララのApplied Materials,Inc.から購入可能な「蛙脚」タイプのロボットである。ガス抜きチャンバ458、460は、概して、ランプ又は抵抗ヒーターなどの熱源を備え、それは、処理チャンバ462から472のうちの1つの中において処理する前に、基板201の表面から任意の望ましくない水又は他の汚染が除去されることを確実にするために、真空状態の下でキャリア451及び基板201を望ましい温度まで加熱するように適合される。1以上のオプショナル配向チャンバ454、456は、概して、キャリア451及び/又は基板201を、システムの範囲内で望ましい回転方向に位置合わせするために使用される。
上で述べられたように、一実施形態において、クラスタツール401は、バッチ処理タイプの構成の中の複数の基板201を移送しかつ処理するように構成される。この構成において、第1及び第2のロボット446、448及び処理チャンバ454から472は、キャリア451の上に配置される基板201を受け入れかつ処理することができる。一実施例において、図4の中で示されるように、キャリア451は、キャリア451の表面402の上の8つの基板201を支持しかつ保有するように構成される。別の実施例において、キャリア451は、約30個の2インチ基板201を支持しかつ保有するように構成される。一実施例において、キャリア451は、200ミリメートルから750ミリメートルの範囲にわたる直径を有し得る。キャリア451は、SiC又はSiCで被覆されたグラファイトを含む、様々な材料から形成されることができる。一実施例において、キャリア451は、炭化ケイ素の材料を備え、かつ約1,000平方センチメートル以上、好ましくは2,000平方センチメートル以上、かつより好ましくは4,000平方センチメートル以上の表面積を有する。キャリア451の例示的な実施形態はさらに、「改良されたフォトルミネッセンスの均一性のためのウエハキャリア設計」という題目の、2009年8月28日に出願された、米国特許出願番号12/871,143の中で説明されている。
ロボット446、448は、基板、及び/又は複数の基板201を含むキャリア451を、2つの移送チャンバ442、444の間で、2重ゲートの処理チャンバ462、464を通して移動し、ロボット446、448はまた、2つの移送チャンバ442、444の間の真空孤立を提供する。いくつかの構成において、処理チャンバ462、464の1以上はさらに、前洗浄処理(例えば、非選択的スパッタエッチング)、温度規制(例えば、冷却)、又は他の望ましい作動を実行するように、適合される。
第2のロボット448は、基板、及び/又は複数の基板201を含むキャリア451を、移送チャンバ444に取り付けられる処理チャンバ462‐472へ及びから移送するように構成される。処理チャンバ466から472は、基板201の表面上の1以上の層をエッチ又は堆積するなど、それらの中の基板を処理するように構成される。一構成において、処理チャンバ466から472は、PVD又はスパッタリング処理の使用によって、基板201の表面上に緩衝層213を堆積するように構成される。PVDタイプの堆積、及び他の同様な堆積技術は、堆積した膜を酸化及び/又は汚染しないように、高い真空を必要とし、かつそれ故、移送チャンバ444は、層の間の汚染を妨げるために少なくとも適度な真空レベルにおいて維持される。第2の移送チャンバ444と関連する全ての処理チャンバは、それぞれのスリットバルブによって第2の移送チャンバ444から分離される。
クラスタツール401の一実施形態において、その上に配置される複数の基板201を有する複数のキャリア451は、ファクトリインターフェース404に結合されるカセット405の中に積み込まれ、カセット405はロードロックチャンバ450、452を通して第1の移送チャンバ442に結合される。ロードロックチャンバ450、452は、(図示されぬ)スリットバルブによって第1の移送チャンバ442から各々選択的に孤立され、かつ(図示されぬ)真空ドアによってファクトリインターフェース404の外装領域406から各々選択的に孤立される。この構成において、ファクトリインターフェースの中のファクトリインターフェースロボット408A、408Bは、カセット405からロードロック450、452へキャリア451を移動するように構成され、ここで、その後、キャリア451は、ロードロックに結合される(図示されぬ)真空ドアによってファクトリインターフェース404の外装領域406から孤立される。ロードロック450、452が望ましい圧力まで下げられた後に、ロードロックの中に配置されるキャリア451は、その後、移送チャンバ442及びロードロック450、452の間に形成される(図示されぬ)スリットバルブ開口部を通して、第1のロボット446によってアクセスされることが可能である。
別の実施形態において、カセット405の各々は、(図示されぬ)1以上の積み重ねられたウエハカセットを受け入れるように構成され、それらの各々は複数の基板201を含む。この構成において、基板201は、カセット405の中に配置される積み重ねられたウエハカセットから、ファクトリインターフェースロボット408A、408Bによって除去され、かつその後、ファクトリインターフェース404に結合されるキャリア積み込みモジュール404Aに移送される。その後、キャリア積み込みモジュール404Aは、移送される基板の各々を受け入れ、かつそれらをキャリア451の上に位置決めするように適合される。一旦、キャリア451がその上に積み重ねられる望ましい数の基板を有すると、ファクトリインターフェースロボット408A、408Bのうちの1つは、キャリア451及び基板201をキャリア積み込みモジュール404Aから移送し、かつそれらを処理のためにロードロックチャンバ450、452の中に積み込む。基板201がクラスタツール401の中で処理された後、キャリア451は、キャリア積み込みモジュール404Aの中に見られる構成要素によって積み出され、かつ処理された基板201は、それらのそれぞれの積み重ねられたウエハカセットに戻されることができる。上述のステップの1以上を実行するために適合され得るキャリア積み込みモジュール404Aの実施例はさらに、本明細書の中において参照されることによって組み込まれる、同時係属でありかつ一般的に割り当てられる米国特許公開番号2010/0111650の中で説明される。
処理チャンバ500又はリアクタは、基板201の表面の上に適切なスパッタリングされた膜を堆積するように適合され、それは図5の中において示されている。処理チャンバ466から472の1以上は、処理チャンバ500の中で見られる構成要素を含むことができ、処理チャンバ500は、カリフォルニア州サンタクララのApplied Materials,Inc.から購入することができるマグネトロン型のPVDチャンバである。
処理チャンバ500は、真空チャンバ501、ターゲット503、マグネトロン505、真空ポンプシステム521、基板支持体アセンブリ513、及びプロセスキット531を含む。真空チャンバ501は、ターゲット503を支持し、ターゲット503は、複数のオーリングを使用して、ターゲットアイソレーター502を通して、真空チャンバ501の一端部において密封される。ターゲット503は、キャリア451の上に配置される基板201の上にスパッタ堆積される材料から成る、表面の少なくとも部分を有する。ターゲット503に対して隣接するようにかつターゲット503に関して回転するように配置されるマグネトロン505は、ターゲット表面503Aからの材料を「スパッタ」するために、電源593を使用してターゲット503にバイアスをかけることによって、処理領域540の中で発生されるプラズマを閉じ込めるために使用される複数のマグネット574A及び574Bを含む。マグネトロンのタイプは特定の用途に応じて変化することが知られている。電源593は、概して、DC及び/又はRF電力をターゲット503に送るように構成される、電力供給594を備える。いくつかのRF電力の伝送構成において、電源593はまたマッチ(match)595を含む。
真空ポンプシステム521は、概して、ポンプアセンブリ523及びバルブ522を備える。ポンプアセンブリ523は、概して、処理チャンバ500の処理領域540の中の望ましい圧力を維持するように使用される、(図示されぬ)クライオポンプ及び(図示されぬ)粗引きポンプを備える。
一実施形態において、基板支持体アセンブリ513は、ペデスタル電極507を備え、ペデスタル電極507は、ペデスタル電極532を覆ってキャリア451及び基板201を支持するように適合される支持表面を有する静電チャック512を備えることができる。他のデバイスが、キャリア415及び基板201を処理の間に適切な位置に保つために使用され得ることは知られている。(図示されぬ)抵抗ヒーター、(図示されぬ)冷却チャネル、及び(図示されぬ)熱伝導ガスキャビティーは、処理の間に基板の熱制御を提供するために、ペデスタル507の中で形成され得る。いくつかの用途において、電源530に結合されるペデスタル電極532は、プラズマイオン化蒸着材料及びプロセスガスを引き付けるために、RF及び/又はDCバイアスを基板201に適用することができる。他の用途において、基板にバイアスをかけることは、堆積層に対する損傷のための電位をさらに低減するために、低減されるか又は消去され得る。
本発明の一実施形態において、パルス状のDC、RF、及び/又はパルス状のバイアス信号は、電源593によってターゲット503に適用され、そのことは、以下にさらに述べられるように、窒化アルミニウム層、バリア層などの望ましいバリア層の堆積を著しく改良することが認識されてきた。ターゲット503をスパッタリングするためにプラズマによって発生されるイオンを引き付けるために、ターゲット503は、一実施形態において、例えば、1から20キロワットの平均電力を提供する電源593によってバイアスをかけられる。ターゲット503に適用されるパルス状のDC及び/又はRFバイアス信号は、複数の交互に現れる第1及び第2の間隔を有し、ここで、第1の間隔の各々において、適用されるバイアス信号の電圧はターゲットをスパッタリングするためにイオンを引き付けるためにネガティブであり、かつ交互に現れる第2の間隔の間において適用されるバイアス信号は、第1の間隔の間に適用されるバイアスよりも低く、バイアスされていないか(例えば、ゼロ適用の電圧)又はアーク放電を低減するためにターゲット503からのポジティブに帯電したイオンを排除するためにポジティブな電圧を有することさえある。当業者は、ターゲット503に適用されるパルス状のバイアス信号が、特定の用途に応じて、多くの有益な処理上の利点を提供できることを理解するだろう。例えば、パルス状のバイアス信号は、望ましい多重構成要素膜層を形成するために、堆積速度を低減し、より安定的なプラズマを生成し、かつプラズマ化学を効果的に制御するためのプラズマにおけるピークエネルギーを増加するために使用されることができる、ということが信じられている。例えば、パルス状のバイアス信号がターゲット503に適用される場合、真の理論特性により近い膜が取得され得る。さらに他の可能な特徴は、とりわけ多重構成要素膜に対して、膜の品質における向上を含む。膜シート抵抗は、パルス状のバイアスが適用される場合に、望ましくないミクロボイド及び柱状構造の可能な消去のために低減され得ることがまた信じられている。しかしながら、いくつかの用途において、一定のDC又はRF電力レベルのバイアス信号である、パルス状でないバイアス信号は、堆積プロセスの1以上の部分の間にターゲット503にバイアスをかけるために適用され得、又は特定の用途に応じてパルス状のバイアス信号との組み合わせにおいてさえ適用され得ることが理解されている。
処理キット531は、概して、第2の誘電体シールドアイソレーター510によって分離される、カバーリング513、接地シールド508、及びチャンバシールド509を含む。処理キット531の部分は、チャンバ壁501Aを保護するために真空チャンバ501の範囲内において位置決めされ、処理キット531の部分は、通常、処理領域540の中で発生したスパッタリングされた材料から、電気的に接地される金属を備える。一実施形態において、接地シールド508は、電気的に浮遊することが許容され、かつチャンバシールド509は電気的に接地される。しかしながら、いくつかの実施形態において、どちらかの又は両方のシールドは接地されることができ、同じ又は異なる非接地レベルに対して浮遊するか又はバイアスをかけられる。シールド508、509は、典型的には、ステンレス鋼から成り、かつそれらの内側(例えば、アイテム番号511)は、ビードブラストされ、又はさもなければ粗面化されて、それらの上にスパッタ堆積する材料の接着を促進することができる。しかしながら、長引くスパッタリングの間のいくつかのポイントにおいて、堆積した材料は、有害な粒子を生み出しながら薄片になってはげ落ちるような厚さまで増大する。このポイントが到達される前に、シールド508、509は、洗浄され又は取り換えられる。
いくつかの用途において、基板は、バイアスをかけられて、その用途に対して適切なように生成されたプラズマの中で発生されるイオンを引き付けるか又は排除することができる。例えば、電源530は、RF電力をペデスタル電極507に対して適用するように提供され、堆積プロセスの間に堆積材料イオンを引き付けることができる。それに加えて、電源530は、RF電力をペデスタル電極507に適用して、補助エネルギーをプラズマに結合するように構成されることができる。堆積プロセスの間に、ペデスタル507及びそれ故、基板201は、電気的に浮遊した状態で残されることができるが、それにも関わらず、ネガティブな自己バイアスがその上で発達し得る。代替的に、ペデスタル507は、例えば、約−30VDCなどの、−1000ボルトから+500ボルトの間のネガティブな電圧において、電源によってネガティブにバイアスをかけられることができ、基板201にネガティブにバイアスをかけ、イオン化された堆積材料を基板に引き付け得る。いくつかの構成において、コンデンサチューナは、以下にさらに述べられるように、電源と共に使用され、処理の間に基板201の上の浮遊電位を制御するように使用される。さらに別の代替例において、基板201は電気的に浮遊するように残されることができる。
電源530が、ペデスタルを通して基板201にバイアスをかけるように使用される、RF電力供給である場合、例えば、供給は13.56メガヘルツの周波数において作動し得る。他の周波数は、特定の用途に応じて、60メガヘルツなどの適切な値である。ペデスタル507は、10ワットから5キロワットの範囲の中のRF電力が供給され得る。上述の電力及び電圧レベル及び周波数は、無論、特定の用途に応じて変化し得る。コンピュータベースのコントローラ491は、特定の用途にしたがって、様々な電源の電力レベル、電圧、電流、及び周波数を制御するようにプログラミングされ得る。
再び図5を参照すると、ガス源564は、例えば、アルゴンなどの化学的な不活性希ガスなどのスパッタリング作動ガスを、質量流量コントローラ566を通してチャンバ501に対して供給する。作動ガスは、チャンバシールド509の底部を通る、又はチャンバシールド509、静電チャック512、及びペデスタル507の間の隙間を通る開口部を貫く1以上の入り口パイプのいずれかを用いて、チャンバの頂部に収容されることができ、又は図示されるようにその底部に収容されることができる。反応性PVD処理の間に、窒素ガスは、電源598から送られて、基板201の上に窒化アルミニウムなどの窒化物を含む層を形成することができる。
図6は、処理システム600のクラスタツール401及びクラスタツール601の概略上面図であり、処理システム600は、本発明の少なくとも1つの実施形態にしたがって、合成窒化物半導体デバイスを製造するために使用される。図3に関して以下に説明される処理はまた、他の適切な処理システム構成の中で実行され得ることが予期される。上述されたクラスタツール401と同様に、クラスタツール601の範囲内の環境は、真空状態、又は大気圧以下の圧力において維持されることができ、その中で処理される基板の酸化及び/又は汚染を妨げる。特定の実施形態において、窒素などの不活性ガスでクラスタツール601を埋め戻すことが望ましいだろう。
システムコントローラ491は、処理システム600の中で見られる自動化された構成要素のアクティビティー及び作動パラメータを制御する。一般的に、処理システムの中で見られるクラスタツールを通る基板の動きの大半(バルク)は、1以上の基板201を移動させかつ位置決めするように適合される1以上の自動化デバイスの使用によって実行され、それによって、1以上の基板201は、システムコントローラ491によって送られるコマンドの使用によりクラスタツールによって受け入れられることができ、又はクラスタツールの範囲内に位置決めされることができる。いくつかの実施形態において、複数の基板201は、基板コンベヤなどの(図示されぬ)自動化された移送装置を使用して、クラスタツール401及び601の間のキャリア451の上で移送される。他の実施形態において、各々のキャリア451は、特定のクラスタツール401、601と関連し、かつ基板は、各々が1以上の基板を保持する1以上のウエハカセットを移送するように適合される、自動化された装置を使用してクラスタツール401及び601の間で移送される。システムコントローラ491は、クラスタツール401、601、701の中で見られる1以上の構成要素を制御するために使用される汎用コンピュータである。システムコントローラ491は、概して、処理シークエンス300の制御及び自動化を容易にするように設計され、かつ典型的に、(図示されぬ)中央処理ユニット(CPU)、(図示されぬ)メモリ、及び(図示されぬ)支持回路(又はI/O)を含む。メモリ内には、CPUに命令するためのソフトウェア命令およびデータをコード化して記憶させることができる。システムコントローラによって読まれることができるプログラム(又はコンピュータ命令)は、どの作業が基板の上で実行可能であるかを判定する。好ましくは、プログラムは、実行される様々な処理方策作業及び様々な処理モジュールの処理方策ステップと一緒に、基板の、監視、移動の実行及び制御、支持、及び/又は位置決めに関する、作業を実行するためのコードを含むシステムコントローラによって読まれることができるソフトウェアである。プロセッサは、メモリの中に記憶されているコンピュータプログラムなどの、システム制御ソフトウェアを実行する。使用される処理システム及び方法の態様はさらに、参照されることによってその全体が本明細書の中に組み込まれる、「窒化化合物構造のエピタキシャル成長」という題目の、米国2007/024516として今現在公開されている、米国特許出願番号11/404,516の中で説明されている。
クラスタツール601は、概して、移送チャンバ606、第1の処理チャンバ602a、第2の処理チャンバ602b、及び移送チャンバ606と結合される第3の処理チャンバ602c、移送チャンバ606と結合されるロードロックチャンバ608、基板を蓄えるためのバッチロードロックチャンバ609、及び基板を積み込むためのロードステーション610を含む。移送チャンバ606は、ロードロックチャンバ608、バッチロードロックチャンバ609、及び処理チャンバ602a‐cの間で基板を持ち上げかつ移送するために作動可能な(図示されぬ)ロボットアセンブリを備える。3つの処理チャンバ602a、602b、602cが示されるが、任意の数の処理チャンバが移送チャンバ606と結合され得ることが理解されるべきである。処理チャンバ602a、602b、602cは、移送チャンバ606と結合される、酸化金属化学気相成長(MOCVD)チャンバ(以下に説明される、図8の中において示されるMOCVDチャンバ801など)又はハイドライド気相エピタキシャル(HVPE)チャンバであり得る。代替的に、処理システム600は、移送チャンバを伴わないインラインシステムであり得る。様々な実施形態において、PVD、CVD、又はALDチャンバは、用途に応じて移送チャンバ606と結合されるMOCDV又はHVPEチャンバのうちの1つに付加的に含まれ又は置き代えられることができる。例示的なMOCVD、HVPEPVD、CVD、又はALDチャンバは、カリフォルニア州サンタクララのApplied Materials Inc.から購入することができる。
各々の処理チャンバ602a、602b、602cは、概して、1以上の基板が処理を経験するために配置される処理領域を画定するチャンバ本体612a、612b、612c、ガス前駆体がそこからチャンバ本体612a、612b、612cに送られる化学的伝送モジュール616a、616b、616c、及びクラスタツール601の各々の処理チャンバに対する電気システムを含む各々の処理チャンバ602a、602b、602cのための電気モジュール620a、620b、620cを含む。いくつかの実施形態において、各々のチャンバ602a、602b、602cは、例えば、窒化化合物の半導体材料の薄い層を形成するために、有機金属要素が金属ハイドライド要素と反応する、CVD処理を実行するように適合される。
移送チャンバ606は、処理の間に、真空の下にあり得、又は大気圧よりも低い圧力の下にあり得る。移送チャンバ606の真空レベルは、処理チャンバ602aの真空レベルにマッチするように調整され得る。例えば、移送チャンバ606から処理チャンバ602aの中に(又はその逆)移送する場合、移送チャンバ606及び処理チャンバ602aは、同じ真空レベルに維持され得る。その後、移送チャンバ606からロードロックチャンバ608又はバッチロードロックチャンバ609に(又はその逆)移送する場合、移送チャンバの真空レベルは、ロードロックチャンバ608又はバッチロードロックチャンバ609の真空レベルを介してさえ、ロードロックチャンバ608又はバッチロードロックチャンバ609の真空レベルとマッチすることができ、かつ処理チャンバ602aは異なっている可能性がある。特定の実施形態において、窒素などの不活性ガスで移送チャンバ606を埋め戻すことが望ましいだろう。例えば、基板は、90パーセントより高いN2又はNH3を有する環境において移送され得る。代替的に、基板は、90パーセントより高いH2を有する環境においてなど、高い純度のH2環境の中で移送されることができる。
クラスタツール601の中で、ロボットアセンブリは、第1の堆積プロセスを経験するために、第1の処理チャンバ602aの中へ1以上の基板201が積み込まれたキャリア451を移送する。ロボットアセンブリは、第2の堆積プロセスを経験するために、第2の処理チャンバ602bの中へキャリア451を移送する。ロボットアセンブリは、第3の堆積プロセスを経験するために、第1の処理チャンバ602a又は第3の処理チャンバ602cのいずれかの中へキャリア451を移送する。堆積ステップの全て又はいくつかが完了した後に、キャリア451は、処理チャンバ602a‐602bからロードロックチャンバ608へ戻すように移送される。その後、キャリア451は、ロードステーション610へ移送される。代替的に、キャリア451は、1以上の処理チャンバ602a‐602cの中でさらに処理する前に、ロードロックチャンバ608又はバッチロードロックチャンバ609のいずれかの中に蓄えられ得る。1つの例示的なシステムは、参照されることによってその全体が本明細書の中に組み込まれる、「窒化化合物の半導体デバイスを製造するための処理システム」という題目の、2008年1月31日に出願された、米国特許出願番号12/023,572の中で説明される。
上述されたように、図7は、図3の中において図示された処理シークエンスの少なくとも部分を完了するために交互に使用されることができる処理システム700の実施例を示している。この構成において、クラスタツール401及びクラスタツール601は、移送チャンバ710のいずれかの側部に配置される(図示されぬ)スリットバルブの使用によって、移送チャンバ444及び606から分離して孤立されることができる移送チャンバ710を介して、一緒に結合される。いずれかのクラスタツールの中のロボットの1以上は、基板201を移送チャンバ710の範囲内の位置へ移送するように構成され、それによって、キャリア451及び基板201は、クラスタツールの間で手渡しされることができる。それ故、クラスタツール401又はクラスタツール601のいずれかの中で処理される基板210は、それらがクラスタツールの間で移送される場合、(クラスタツール401、601の外側の)大気環境に晒される必要がない。
図8は、本発明の少なくとも1つの実施形態による、窒化化合物の半導体デバイスを製造するために使用され得る、MOCVDチャンバ801の概略的な断面図である。MOCVDチャンバ801は、システム600及び700を参照して上述されたように、処理チャンバ602a、602b、602cのうちの1以上であり得る。MOCVDチャンバ801は、概して、チャンバ本体802、前駆体ガスを送るための化学的伝送モジュール816、キャリアガス、洗浄ガス及び/又はパージガス、プラズマ源を有する遠隔プラズマシステム826、サセプタ又は基板支持体814、並びに真空システム812を含む。MOCVDチャンバ801のチャンバ本体802は、処理領域808を包含する。シャワーヘッドアセンブリ804は、処理領域808の一端部において配置され、かつキャリア451は、処理領域808の他の端部において配置される。キャリア451は、基板支持体814の上に配置される。
一実施形態において、シャワーヘッドアセンブリ804は、第1の前駆体又は第1のプロセス混合ガスを処理領域808に送るための化学的伝送モジュール816と結合される第1の処理ガスチャネル804A、第2の前駆体又は第2のプロセス混合ガスを処理領域808に送るための化学的伝送モジュール816と結合される第2の処理ガスチャネル804B、及びシャワーヘッドアセンブリ804の温度を規制することを補助するために、熱交換流体をシャワーヘッドアセンブリ804に流すための熱交換システム870と結合される温度制御チャネル804Cを有する、2重ゾーンアセンブリであり得る。適切な熱交換流体は、水、水ベースのエチレングリコール混合物、ペルフルオロポリエーテル(例えば、Galden(登録商標)流体)、オイルベースの熱伝導流体、又は類似の流体を含み得る。
処理の間、第1の前駆体又は第1のプロセス混合ガスは、シャワーヘッドアセンブリ804の中の第1の処理ガスチャネル804Aと結合されるガス導管846を介して、処理領域808へ送られることができ、かつ第2の前駆体又は第2のプロセス混合ガスは、シャワーヘッドアセンブリ804の中の第2の処理ガスチャネル804Bと結合されるガス導管845を介して、処理領域808へ送られることができる。プロセス混合ガス又は前駆体は、前駆体ガスと混合されるキャリアガス及び/又はドーパントガスと同様に、1以上の前駆体ガス又はプロセスガスを含み得る。本明細書の中において説明される実施形態を実施するように適合され得る例示的なシャワーヘッドは、参照されることによってそれらの全体が本明細書に組み込まれる、「複数のガスの直線的チャネルシャワーヘッド」という題目の、2007年10月16日に出願された、米国特許出願番号11/873,132、「複数のガスの螺旋状チャネルシャワーヘッド」という題目の、2009‐0095222として米国で今現在公開されている、2007年10月16日に出願された、米国特許出願番号11/873,141、及び「複数のガスを合わせて注入するシャワーヘッド」という題目の、2009‐0095221として米国で今現在公開されている、2007年10月16日に出願された、米国特許出願番号11/873,170の中で説明されている。
下側ドーム819は、下側容積810の一端部において配置され、かつキャリア451は、下側容積810の他の端部において配置される。キャリア451は、処理位置の中において示されるが、例えば、基板201が積み込まれ又は積み降ろされる、下側位置に移動されることができる。排気リング820は、堆積が下側容積810の中で生じることを妨げることを補助し、かつまた排気ガスをMOCVDチャンバ801から排気口809に導くことを補助するために、キャリア451の外縁の周りに配置され得る。下側ドーム819は、基板201の放射加熱のために光が通過することを可能にするために、高純度の石英などの透明な材料から作られ得る。放射加熱は、下側ドーム819の下に配置される複数の内側ランプ821A及び外側ランプ821Bによって提供されることができ、かつリフレクタ866は、内側及び外側ランプ821A及び821Bによって提供される放射エネルギーに対する、MOCVDチャンバ801の露出を制御することを補助するために使用されることができる。ランプの付加的なリングはまた、基板201の繊細な温度制御のために使用されることができる。
パージガスは、シャワーヘッドアセンブリ804から及び/又はキャリア451の下及びチャンバ本体の底部の近傍に配置される(図示されぬ)吸気ポート又はチューブから、MOCVDチャンバ801の中に送られることができる。パージガスは、MOCVDチャンバ801の下側容積810に入り、かつキャリア451及び排気リング820の上側を通り、かつ環状排気チャネル805の周りに配置される複数の排気ポート809の中へ流れる。排気導管806は、環状排気チャネル805を、真空ポンプ807を含む真空システム812に接続する。MOCVDチャネル801の圧力は、バルブシステムを使用して制御されることができ、バルブシステムは、排気ガスが環状排気チャネルから引き出される速度を制御する。MOCVDチャンバの他の態様は、参照されることによってその全体が本明細書の中に組み込まれる、「CVD装置」という題目の、2008年1月31日に出願された、米国特許出願番号12/023,520の中で説明される。
望ましい場合には、洗浄ガス(例えば、塩素ガスなどのハロゲンを含むガス)は、シャワーヘッドアセンブリ804から及び/又は処理領域808の近傍に配置される(図示されぬ)吸気ポート若しくはチューブから、MOCVDチャンバ801の中に送られることができる。洗浄ガスは、基板支持体814及びシャワーヘッドアセンブリ804などのチャンバ構成要素から堆積を除去するためにMOCVDチャンバ801の処理領域808に入り、かつ環状排気チャネル805の周りに配置される複数の排気ポート809を介して、MOCVDチャンバ801を出る。
化学伝送モジュール816は、概して、前駆体及び/又は化学物質をMOCVDチャンバ801に供給する。反応性ガス、キャリアガス、パージガス、及び洗浄ガスは、化学的伝送モジュール816から、供給ラインを通ってかつチャンバ801の中へ供給される。ガスは、供給ラインを通ってかつガス混合ボックスの中に供給され得、そこで、それらは一緒に混合されてかつシャワーヘッドアセンブリ804に送られる。処理スキームに応じて、MOCVDチャンバ810に送られる前駆体及び/又は化学物質のいくつかは、ガスというよりはむしろ液体であり得る。液体の化学物質が使用される場合、化学的伝送モジュールは、液体を気化するために、液体注入システム又は他の適切なメカニズム(例えば、バブラー又は気化器)を含む。液体からの蒸気は、キャリアガスと混合され得る。
遠隔プラズマシステム826は、チャンバ洗浄、又は処理基板からのエッチング残り若しくは欠陥のある層などの、選ばれた用途に対してプラズマを生み出すことができる。入力ラインを介して供給される前駆体から、遠隔プラズマシステム826の中で生み出されるプラズマ核種は、分散のために導管804Dを介して、シャワーヘッドアセンブリ804を通り、MOCVDチャンバの中の処理領域808に送られる。洗浄用途のための前駆体ガスは、塩素を含むガス、フッ素を含むガス、ヨウ素を含むガス、臭素を含むガス、窒素を含むガス、及び/又は他の適切な反応性要素を含み得る。遠隔プラズマシステム826はまた、層の堆積プロセスの間に、適切な堆積前駆体ガスを、遠隔プラズマシステム826の中に流すことによって、CVD層を堆積するように適合され得る。一実施例において、遠隔プラズマシステム826は、活性窒素核種を処理領域808に送るように使用される。
排気通路などの、MOCVDチャンバ801及びそれを取り囲む構造体の壁の温度は、熱交換器を形成するためにチャンバの壁の中の(図示されぬ)チャネルを通る熱交換液体を循環させることによって、さらに制御されることができる。シャワーヘッドアセンブリ804はまた、付加的な熱交換器を形成するために、(図示されぬ)熱交換通路を有する。典型的な熱交換流体は、水ベースのエチレングリコール混合物、オイルベースの熱伝導流体、又は類似の流体を含む。シャワーヘッドアセンブリ804の加熱は、望ましくない反応生成物の凝縮を低減又は消去し、かつプロセスガス、及びそれらが排気導管806の壁の上に凝縮しかつガスの流れがない間に処理チャンバへ逆流する場合、処理を汚染し得る他の汚染物質の揮発性生成物の消去を改良することができる、付加的な熱交換器を使用して実行され得る。
上で短く議論されたように、図3は、電源デバイス、発光ダイオード(LED)、半導体レーザー(LD)、又は他の有用なデバイスなどの有用な半導体デバイスを形成するために使用される、高品質の緩衝層及びIII‐V族の層を形成するために使用される、処理シークエンス300を示している。一実施例において、図3の中において示される処理シークエンス300は、図2の中において描かれる多くの層のうちの1つを形成するために使用され、それについては、本明細書の中で議論される。処理シークエンス300が処理システム600を使用して実行されるように最初に述べられた一方で、このシステム及び図示されたチャンバ構成は、本明細書の中において説明される本発明の範囲に関して限定的であることを企図するものではない。図3の中において図示されるステップの数及びシークエンスはまた、本明細書の中において説明される本発明の範囲に関して限定的であることを企図するものではなく、それは、本明細書の中において説明される本発明の基本的範囲から逸脱することなく、1以上のステップが付加、削除、及び/又は順番替えされることができるからであることは注意されるべきである。
図3の中において示されるように、ステップ302において、処理システム600のクラスタツール401などのクラスタツールは、その中で処理するために1以上の基板201を受け入れる。一実施形態において、基板は、複数の基板201を保有するように構成されるウエハカセットの中のクラスタツールに運ばれる。一構成において、ステップ302はまた、ウエハカセットから基板を除去して、その後、基板をキャリア積み込みモジュール(例えば、参照番号404A)に移送するプロセスを含み、それによって、移送される基板はその後キャリア451の上に位置決めされることができる。一旦、キャリア451がその上に積み重ねられる望ましい数の基板を有すると、その後、ロボットのうちの1つは、キャリア及び基板をキャリア積み込みモジュールから移送し、かつそれらを処理のためにクラスタツールのロードロックチャンバの中に積み込む。処理シークエンス300のいくつかの構成において、ステップ302はさらに、基板をロードロックチャンバ450、452(図4)に移送し、ロードロックチャンバ450、452の中の圧力を望ましい圧力まで低減し、かつその後、ステップ304を実行するように適合される処理チャンバの中に基板を受け入れかつ移送するステップを含み、ステップ304については以下に議論される。
次に、ステップ304において、前処理プロセス又は処理は、処理ステップ310を実行するのに先立って、基板201のうちの少なくとも1つの表面から任意の望ましくない材料を除去するために、基板のうちの1以上において実行され、ステップ310については以下に議論される。一実施形態において、基板の前処理は、表面の汚染(例えば、酸化物、有機材料、他の汚染物質)及びそれらからの粒子を除去するために実行され、同様に、これらの高結晶質の構造体の中の高結晶質の配向を有する高品質の緩衝層及びIII‐V族の層の受け入れのためにその表面を準備する。1つのそのような実施形態において、基板の前処理は、近似的に1ナノメートル(平均平方根、AFM及び(002)XRDFWHM<50arcsecに基づいて)より小さい表面粗さを有する高品質の緩衝層及びIII‐V族の堆積を可能にする。付加的に、ランからラン、ウエハからウエハへの高いプロセス再現性、及び基板上に形成される層(単数若しくは複数)の範囲内の高い均一性がまた、取得され得る。一実施形態において、基板の前処理は、クラスタツール401の中で見られる処理チャンバ458から472のうちの1つの範囲内においてその場で(インシトゥ)実行される。一構成において、処理チャンバ458から472は、SiCoNiTM又はAktivTM前洗浄チャンバを含むことができ、それらは両者ともカリフォルニア州サンタクララのApplied Materials Inc.から購入することができる。
一実施形態において、ステップ304の中で実行される前処理プロセスは、基板201をクラスタツール401の中の処理チャンバ(例えば、チャンバ501)の中へ積み込むこと、及びその後、基板の表面近傍でプラズマを発生するために、処理チャンバのペデスタル電極(例えば、電極507)にバイアス(電圧)を適用することを含む。発生したプラズマは、概して、アルゴン、窒素、水素、及び/又は他のガスを備える、混合ガスから生成されるラジカル及びイオンを含む。発生したガスイオン及びラジカルは、任意の基板表面の汚染及び粒子を除去するために、基板表面と相互作用し、及び/又は衝突する。いくつかの場合において、プラズマは、基板と堆積したエピタキシャル膜層(例えば、緩衝を含むAlN)との間のより優れた結晶配向を確実にするために、基板の表面構造を改良するために使用される。プラズマ密度、バイアス、及び処理時間は、基板表面を効果的に処理するが、基板表面に損傷を与えないように調整されることができる。一実施例において、近似的に−5ボルトから−1000ボルトのバイアスが、近似的に1秒から15分の間、基板201及びキャリア451が配置される基板支持体の中に配置される電極に対して適用される。処理チャンバの処理領域に送られる電力の周波数は、約10キロヘルツから100メガヘルツの間で変化することができ、かつ電力レベルは約1から10キロワットの間であり得る。前処理プロセスのステップ又はステップ304の間に、基板支持体の表面の温度は、摂氏約−50度から1000度の間にわたることができる。上述の前処理プロセスの組み合わせは、ランからラン、及びウエハからウエハで繰り返し形成されることができる高品質の緩衝層を形成するために、使用されることができることが信じられている。一実施形態において、上述の基板前処理プロセスの使用は、従来的に処理された基板の中でしばしば観察されるAlN及びGaNの結晶品質における変化を、低減し又は消去することができる。一構成において、ステップ304は、処理チャンバ462、464のうちの1つの中で実行され、その処理チャンバは、図6の中において示されるクラスタツール401の中の、Applied Materials Inc.から購入できるSiCoNiTM又はAktivTMを備える。
ステップ304における、処理シークエンス300の一実施形態において、湿式洗浄プロセスは、処理ステップ302を実行するのに先立って、基板201の表面から任意の望ましくない材料を除去するために実行される。一実施形態において、洗浄プロセスは、基板が洗浄液に晒されるバッチ洗浄プロセスを使用して実行され得る。一実施形態において、基板は、他の適切な技術のスプレー、フラッディング、イマーシングによって湿らされる。洗浄液は、SC1洗浄液、SC2洗浄液、HF‐ラストタイプの洗浄液、オゾン処理水液、フッ化水素酸(HF)及び過酸化水素液(H2O2)、又は他の適切で廉価な洗浄液であり得る。
次に、ステップ306において、基板201は、基板201の表面から任意の望ましくない吸収された水又は他の揮発可能な汚染物質を除去するために脱気される。一般的に、ステップ306の中において実行される脱気処理は、基板が、基板の表面から除去されるべき汚染物質に対して低い分圧を有する環境の範囲内に配置される一方で、望ましい温度まで基板201を加熱することを含む。一実施例において、基板201は、真空環境又は高純度の不活性ガスの雰囲気の中に配置される。さらに別の実施例において、基板は、約1ミリトールより小さい真空圧力において維持される環境の中で、摂氏約100度より高い温度まで加熱される。別の実施例において、基板は、約10ミリトールより小さい真空圧力において維持される環境の中で、摂氏約300度より高い温度まで加熱される。別の実施例において、基板は、ステップ310の間で使用される処理圧力より低いか又は等しい真空圧力において、ステップ310の中において使用される処理温度と同じくらいに高い温度まで加熱され、ステップ310については以下に議論される。図3の中に示されるように、いくつかの実施形態において、ステップ306は、ステップ304の前か又は後に実行されることができる。一構成において、ステップ306は、図6の中において示されるクラスタツール401の中のガス抜きチャンバ458、460の処理領域に配置される(図示されぬ)加熱された静電チャックを使用して実行される。一実施例において、基板は、不活性ガス、N2、HCl、HF、NH4F、若しくはH2、及び/又はそれらの組み合わせなどの、望ましいプロセスガスを含む環境の中で、高められた温度において急速熱処理(RTP)チャンバの中で熱的に脱気される。
次に、ステップ308において、基板201は、ステップ310が基板201の上で実行される前に、望ましい温度まで随意に予め加熱される。一般的に、ステップ308の中で実行される予熱プロセスは、基板201を、ステップ310の間に使用される処理温度の近くの温度まで加熱することを含む。いくつかの構成において、ステップ308は、ステップ310が実行される処理チャンバ以外の処理システム600の中の処理チャンバ454から472のうちの1つの中で実行され得る。
次に、基板201は、ステップ310又は緩衝層形成ステップが、基板201の表面上に高品質の緩衝層213を堆積するために使用される、処理チャンバ466から472のうちの1つに移送される。一実施形態において、処理チャンバ466、468、470、472は、図5の中において示される処理チャンバ500に類似する、物理的気相成長チャンバである。本明細書の中において説明される処理は、PVD処理によって形成されるエピタキシャル成長したAlN緩衝を形成するために使用されることができる。本明細書の中において説明される処理は、膜応力を低減し、膜クラッキングを消去し、かつ適度な処理温度においてPVD堆積したAlNの構造品質を改良するために使用されてきた。本明細書の中において説明されるPVD堆積プロセスは、従来のMOCVD又はHVPE緩衝層形成プロセスに対して、重要な利点を有すると信じられており、それは、MOCVDチャンバ調整ステップに対する必要性、処理ステップの間の温度ランピングに対する必要性、及び過剰な洗浄処理に対する必要性を低減することによって、高品質のエピタキシャル層(例えば、GaN)がかなり低い費用及び高速で形成され又は成長することを可能にするからである。1つのそのような実施形態において、本明細書の中で説明される処理の組み合わせを使用して、近似的に40ナノメートルの厚さにおいて、滑らかな表面(例えば、0.5ナノメートル、RMS)を有し、かつ高い材料品質((002)ピークのFWHM〜50arcsec)を有するPVDAlN膜が堆積される。一実施例において、PVD堆積したAlN膜の粗さは、シリコン基板上の堆積層の厚さの約3パーセントより小さく、一方でまた、3600arcsecより小さい(002)ピークのFWHMを取得する。別の実施例において、PVD堆積したAlN膜の粗さは、サファイア基板上の約1ナノメートルより小さく、一方でまた、200arcsecより小さい(002)ピークのFWHMを取得する。具体的な実施形態において、高品質のGaN膜((002)のFWHM<200arcsec、かつ(102)<300arcsec)は、その後、堆積したPVD堆積緩衝層213の上で形成されることができる。それ故、しばしば時間がかかりかつ複雑なMOCVDGaN緩衝層に対する必要性がそのように消去される。
一実施例において、PDVチャンバは、摂氏約20から200度の範囲の低い又はわずかに高められた温度において窒化アルミニウムの層を形成する、非反応性スパッタリング処理を実行するように適合される。別の実施例において、処理チャンバは、近似的に摂氏200から1200度の範囲の高い温度において窒化アルミニウムの層を形成する、非反応性スパッタリング処理を実行するように適合される。
別の実施形態において、緩衝層213は、上述された処理チャンバ500に類似する処理チャンバの中で実行される反応性スパッタリング処理の使用によって形成される。一実施形態において、AlNを含む緩衝層213は、不活性ガス(例えば、アルゴン)及び窒素を含むガスを備えるプラズマを使用してスパッタリングされる、実質的に純粋なアルミニウムターゲットを使用して形成される。一実施形態において、1以上のエピタキシーの準備ができた基板が処理チャンバ500の中に積み込まれた後で、連続的なAlN膜は、Alを含むターゲット及び窒素を含むプロセスガスを使用して、その上に堆積される。一実施例において、ターゲットは、実質的に純粋なアルミニウム、アルミニウムを含む合金、AlN、AlGa、Al2O3などのアルミニウムを含む化合物、並びに層の適合性及びデバイス性能を改良するために、II/IV/VI族の要素でドープされるアルミニウムを含むターゲットから成るグループから選ばれる材料から形成され得るが、それらに限定されるものではない。スパッタリングプロセスの間に使用されるプロセスガスは、窒素(N2)、アンモニア(NH3)、二酸化窒素(NO2)、一酸化窒素(NO)などの窒素を含むガス、及びアルゴン(Ar)、ネオン(Ne)、クリプトン(Kr)などの不活性ガスを含むが、それらに限定されるものではない。一実施形態において、ドーパント原子が、ドープされたターゲット材料の使用及び/又はドーパントガスの発生されたスパッタリングプラズマの中への伝送を介して、堆積膜へ加えられ得、例えば、膜をその上のIII族‐窒化物デバイスを製造するために適切な状態にするために、堆積したPVDAlN緩衝層の電気的、機械的、及び光学的特性を調整することができる。一実施形態において、AlN緩衝層の厚さは、約1から約1000ナノメートル(nm)の間に含まれる。
一実施形態において、ステップ310の間に、電源593は、0から20キロワットの範囲に含まれる電力レベルにおいて、かつ約ゼロから約60メガヘルツの間の周波数において、ターゲット503及び処理領域540にRF電力を送るように構成される。さらに別の実施形態において、電源593は、0から50キロワットの範囲内において、約1から約500キロヘルツの間のパルス周波数において、かつ約1から約99パーセントの間の負荷サイクルにおいて、パルス状のDC電力信号をターゲット503に送るように構成される。一実施形態において、電源593は、0から50キロワットの範囲内において、約1から約500キロヘルツの間のパルス周波数において、かつ約40から約99パーセントの間の負荷サイクルにおいて、パルス状のDC電力信号をターゲット503に送るように構成される。別の実施形態において、電源593は、0から50キロワットの電力範囲内の一定なDC電力信号を送るように構成される。さらに、別の実施形態において、電源593は、パルス状のDC、パルス状のRF、一定のRF、及び一定のDC電力から成るグループから選ばれる少なくとも2つの波形の組み合わせを送るように構成される。ステップ310の一構成において、起動順序は、ステップ310の中で実行されるプロセスレシピのステップの開始において、RF電力供給を最初にオンすること、DC電力供給を最初にオンすること、又はRF及びDCを同時にオンすることを含んで、AlN緩衝層を堆積するために使用される。いくつかの構成において、窒素を含むガスの流れの始まりは、電力供給がオンされる前に、後に、又は同時に実行されることができる。いくつかの構成において、ステップ310のプロセス終了部分は、RF電力供給を最初にオフすること、(パルス状の)DC電力供給を最初にオフすること、又はRF及びDCを同時にオフすることを含み、それに伴って、プロセスガスのオフは電力供給のオフの前に、同時に、又は後に実行され、表面モルホロジー、化学量論、及びAlN成長のタイプ(例えば、N‐面成長)を制御する。
いくつかの実施形態において、ペデスタル電極532及び電源530に接続される(図示されぬ)コンデンサチューナは、処理された基板の浮遊電位を変え、処理チャンバの処理領域の中の反応物のガスイオン化比、プラズマエネルギー、及び可動性を変化させるように調整される。コンデンサチューナはまた、膜応力を制御し、堆積した緩衝層の表面モルホロジー及び結晶品質を改良し、及び/又は堆積速度を増加するように適合される。一実施例において、コンデンサチューナは、約−1000ボルトから約+500ボルトの間のバイアスが、基板支持体アセンブリ513に適用されるように調整される。いくつかの構成において、静電チャックなどの加熱された基板支持体は、基板の表面温度及びそれらの熱的均一性を制御するために使用され、その温度は、摂氏約200から約550度の間、摂氏550から1000度、及び摂氏1000から1401度の範囲にわたる。堆積プロセスは、約0.1から約200ミリトールの間の圧力において実行され得る。PVD堆積したAlN緩衝層の堆積速度は、約0.2オングストローム/秒(Å/s)から約20(Å/s)の間の範囲に含まれる。
ステップ310の一実施例において、プラズマは、ターゲット503にバイアスをかけるのに先立って、ペデスタル電極532にRFバイアスをかけることによって、基板支持体アセンブリ513の上に堆積される基板201の表面を覆って形成される。一構成において、形成されるプラズマは、実質的に純粋な窒素を含むプラズマ、又はステップ310のAlN堆積部分の間で形成されるプラズマの窒素集中と等しいかそれより大きい窒素集中を有するプラズマを備える。AlN層を形成するのに先立って、サファイアを備える基板をインシトゥの窒素プラズマに晒すことは、それの上に高品質のAlN膜を形成することを促進することが信じられている。一実施形態において、インシトゥのプラズマは、ペデスタル電極532にRFバイアスをかけて、バイアスがターゲット503に適用される前に、1から10秒などの期間において5から500ボルトの間の電位を生成することによって形成される。
一構成において、ステップ310の間に実行される処理は、堆積膜の応力を操作して、−10ギガパスカルから約10ギガパスカルの間の範囲のターゲット圧縮応力又は引張応力を有する膜を取得することを含み得る。この膜応力は、処理温度、堆積速度、ターゲットに送られる電力、処理圧力、ガス流量、及び堆積プロセスの1以上のフェーズの間の基板バイアスを制御することによって調整されることができる。さらに、いくつかの構成において、PVD処理変数は、近似的に70パーセントから100パーセントの範囲の密度を有するAlN緩衝層を堆積するように調整される。堆積したPVDAlN膜はまた、単一の層、複数の層、又は本明細書の中で述べられるステップ310の間に実行される処理を通して製造される種々の組成物又は特性を有する交互に設けられる層の複数のペアとして形成され得る。
いくつかの実施形態において、スパッタリング電力、基板バイアス、ガス流、圧力、温度、及びガス組成物(例えば、III/V族の比率)は、成長している膜の表面が窒素分子を用いて終結されるような状態にされるように調整され、それは、改良された結晶の欠陥の品質を促進し、かつ高品質の緩衝層213の垂直及び/又は水平な成長を促進することが理解されてきている。図9A及び図9Bは、各々がその上に形成される高品質のAlN緩衝層の種々のタイプを有する、基板201の表面の概略図である。図9Aは、窒素‐面、又はN‐面を含む基板201の一部分、反応タイプのAlNPVD堆積プロセスの間にパラメータを処理するステップ310を調整することによって、シリコンを含む表面(例えば、<111>又は<110>指向の表面)などの、基板の表面上に堆積する結晶性のAlNを含む層の成長を示している。図9Bは、アルミニウム‐面、又はAl‐面を含む基板201の一部分、反応タイプのAlNPVD堆積プロセスの間にパラメータを処理するステップ310を調整することによって、シリコンを含む表面(例えば、<111>又は<110>指向の表面)などの、基板の表面上に堆積する結晶性のAlNを含む層の成長を示している。主としてその晒される表面において窒素終端を有する層の成長は、本明細書の中においてN‐面成長層として言及される。RFを送ることによって、ターゲット503に対するパルス状のRF又は低い電力のパルス状のDCバイアス(例えば、<2キロワット)信号は、N‐面タイプのAlN緩衝層の成長を促進する。一方で、ターゲットに対する高電力のパルス状のDC及び一定のDC電力信号の伝送は、その晒される表面においてアルミニウム終端を有する層の形成及び成長を促進し、それは、本明細書の中において、AlN緩衝層のAl‐面成長として言及される。堆積プロセスの間に基板の浮遊電位を調整することは、堆積されるAlN緩衝層の、例えば、N‐面又はAl‐面の成長である、成長のタイプを調整するために使用されることができることが理解されてきている。一実施例において、約5ボルトから約500ボルトの間の基板電位を生成することは、2キロワットのRFPVD処理を使用してN‐面タイプのAlN緩衝層を確実に形成するために使用されることができ、かつ約−300ボルトから約−1ボルトの間の基板電位は、6キロワットのパルス状のDCPDV処理を使用してAl‐面タイプのAlN緩衝層を確実に形成する。また、水酸化カリウム(KOH)の湿式化学(例えば、5モル濃度のKOH水溶液)を使用して堆積されたAlNの表面をエッチングすることによって、堆積膜の成長のタイプを決定することができ、それは、Al‐面の成長がこの化学(例えば、>30秒)において比較的にエッチングされていないままであり、一方、N‐面成長膜は、急速にエッチングされてしまう(例えば、<30秒において、500Å)からであることが理解されてきている。
さらに、堆積速度は、N‐面窒化アルミニウム膜に対してAl‐面を形成する能力に影響を与えることが理解されてきている。一実施例において、Al‐面の成長は、7Å/秒より大きい堆積速度を生むように処理変数(例えば、電力、圧力)を調整することによって促進され、かつN‐面成長は、2Å/秒より小さい堆積速度を生むように処理変数を調整することによって促進される。
チャンバの調整及び成長している緩衝層213の中への不純物混入を制御することによって、N‐面又はAl‐面の成長が繰り返しかつ確実に形成されることがまた信じられている。それ故、ステップ304及び306の間に実行される処理は、ステップ310の間に実行される処理と組み合されて、堆積したAlN緩衝層のN‐面又はAl‐面成長に影響を与えることが信じられ、かつ理解されてきている。堆積したAlN緩衝層のAl‐面又はN‐面の優先的成長は、以下に議論される(例えば、ステップ312から314)引き続き堆積する層の様々な成長モードに影響を与えることが理解されてきている。引き続き堆積する層(単数若しくは複数)の成長モードは、それらの物理的、化学的、並びに電気的表面特性及びバルク特性に影響を与えることができる。いくつかの場合において、基板表面上のN‐面又はAl‐面タイプのPVD堆積したAlN緩衝層213は、それらの上の引き続き堆積する層(例えば、GaN)の三次元(3‐D)成長(例えば、フェイバーズアイランドタイプの成長)を促進するために使用される。一方、他の場合において、基板表面上のN‐面又はAl‐面タイプの堆積したAlN緩衝層213は、それらの上の引き続き堆積する膜(例えば、GaN)の二次元(2‐D)成長(例えば、最初に形成された核のフェイバーズ急速癒着、かつその後、成長するにしたがって滑らかな表面を形成する)を促進するために使用される。一実施例において、LEDデバイスを形成する場合に、PVD堆積したAlN緩衝層213の上の高品質のGaN層を形成する場合、Al‐面成長は好ましい。高品質のGa‐面GaNは、AlN緩衝層のAl‐面成長を使用して形成されることができることが理解されてきている。この場合において、Al‐面成長は、シリコン及びサファイア基板上の望ましい膜のモルホロジー及び結晶の欠陥密度を提供する。N‐面の成長は、特定のタイプの電源デバイスにおいてPVD堆積したAlN緩衝層213の上に高品質のGaNを形成する場合、好適であり得ることが信じられている。
一実施例において、N‐面のAlN緩衝層は、RFパルス状DC電力信号をターゲットに送ることによって形成され、それは、1500から2500キロワットのRF電力及び1500から2500キロワットのDC電力を送ること、15から25ミリトールの処理圧力を制御すること、処理領域の中のN2をアルゴンと窒素のガス組成比が30から60パーセントになるように調整すること、及び基板温度を摂氏約450から550度に制御して約0.5Å/秒より小さい堆積速度を取得することを含む。
代替的に一実施例において、Al‐面タイプのAlN緩衝層は、パルス状のDC電力信号をターゲットに送ることによって形成され、それは、4から6キロワットであり、約5から200キロヘルツのパルス状の周波数においてであり、かつ80から95パーセントの範囲の負荷サイクルにおいてであり、10ミリトールより小さい処理圧力を制御すること、処理領域の中で60から95パーセントのアルゴンと窒素のガス組成比を調整すること、及び基板温度を摂氏約350から450度に制御して約7Å/秒より大きい堆積速度を取得することを含む。
ステップ310の一実施形態において、熱処理(RTP、レーザーアニーリング、高温ベイクなど)、又は化学的処理(溶液ベースの、ガスベースの、など)を含む付加的なインシトゥ及び/又はエクスシトゥのプロセス後の処理は、堆積した緩衝層の特性を改良するために使用される。堆積温度に関わりなく、デバイス200などのデバイスの中に包含されるために適切なPVD堆積した窒化アルミニウム層は、いくつかのポイントにおいて、必要物資の特性(例えば、適切な欠陥密度、結晶粒度、結晶方位、応力など)を取得するために、近似的に摂氏401から1401度(例えば、摂氏約900度)の範囲内の高い温度に晒される必要があることは事実である。本発明の実施形態にしたがって、急速な熱処理(RTP)プロセスは、窒化アルミニウム層の上で付加的な層を製造するのに先立って、PVD堆積した窒化アルミニウム緩衝層の上で実行される。Applied Material Inc.から購入することができるRTPチャンバは、上述されたクラスタツール401及び601の中に含まれる処理チャンバのうちの1つの中に配置され得る。しかしながら、一実施例において、RTPプロセスは、PVDチャンバの中で実行される。別の実施例において、レーザーアニーリング性能は、デバイス200のための上述の製造プロセスと関連する。
別の実施形態において、ステップ310を実行するのに先立って、シード処理される前の層は、Al、AlOX、SiNX、ZnO、ZnS、ZrN、TiNなどから成り得、基板表面上に配置され、基板表面を保護し、かつより高品質のAlNの堆積を可能にし、及び/又は速い堆積速度が、その膜品質に影響を与えることなく、PVDAlN緩衝層の堆積の間に使用されることを可能にする。一実施例において、シード処理される前の層は、窒素を含むガスを流すこと、及び/又は低減された電力、圧力、又はガス流を使用することなく、汚染されたAlを含むターゲットの一部分をスパッタリングすることによって形成されることができる。
次に、ステップ312において、層214及び216などの1以上のデバイス層は、処理システム600の中において見られるクラスタツール601の中に配置される、処理チャンバ602a‐602cのうちの1つを使用して、MOCVD又はHVPE堆積プロセスを使用して、形成された緩衝層213を覆って堆積する。一実施例において、層214は、MOCVDプロセスを使用して処理チャンバ602aの中に堆積するIII族‐窒化物の層(例えば、AlN、InN、GaN、AlGaN、InGaN、又はInAlGaNの層)であり、かつ層216は、MOCVDプロセスを使用して処理チャンバ602bの中に堆積する別のIII族‐窒化物の層(例えば、AlGaNの層)である。一実施例において、層214は、概して、緩衝層213の上に連続して堆積する、ドープされていないGaN(u‐GaN)層、及び/又はn‐ドープされた(n‐GaN)層を含む。MOCVDプロセスがIII族‐窒化物の層を堆積するために使用される場合、トリ‐メチルガリウム(TMG)、アンモニア(NH3)、及び窒素(N2)などの前駆体ガスは、処理チャンバの中に導入されることができ、一方、キャリア451の上に配置される基板201は、加熱されかつ摂氏約950度から摂氏1050度の処理温度において維持され、かつチャンバ圧力は約50トールから約600トールの圧力において維持される。u‐GaNの層は、約1マイクロメートルから約100マイクロメートルの厚さまで堆積することができ、及び/又はn‐GaNの層は、約2マイクロメートルから約140マイクロメートルの間の厚さま堆積することができる。一実施例において、u‐GaN/n‐GaNの層は、全部で約4マイクロメートルの厚さまで堆積する。いくつかの実施形態において、u‐GaNの層は省略されることができる。 デバイス200の部分を形成するために使用され得る、他のGaN及びAlGaNの堆積プロセスの実施例はさらに、参照されることによってその全体が組み込まれる、「核形成層を含む窒化化合物構造の形成」という題目の、2011年3月21日に出願された、米国特許出願番号13/052,861の中において説明される。
次に、ステップ314において、第1の電源電極218、第2の電源電極220、及び/又はゲート構造222などの、1以上の接触層は、ステップ312の間に形成される形成されたデバイス層を覆って堆積される。一実施形態において、接触層は、PVD堆積プロセスの使用によって形成され、それは、処理システム600の中で見られるクラスタツール401の中の処理チャンバ466から472のうちの1つの中で実行される。一実施形態において、第1の電源電極218、第2の電源電極220、及び/又はゲート構造222は、PVD堆積プロセスを使用して形成される導電層を備える。一実施形態において、導電金属層は、銀(Ag)、金(Au)、パラジウム(Pd)、アルミニウム(Al)、タングステン(W)、プラチナ(Pt)、インジウム(In)、亜鉛(Zn)、及びチタン(Ti)、それらの組み合わせ、及び他の有用な導電性金属から成るグループから選ばれた金属を備える。ステップ314のいくつかの実施形態において、PVD堆積プロセスを使用して1以上の全体的な導電層を堆積した後に、層は、従来のリソグラフィー及びエッチング技術を使用してパターン化される。
上記は本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明の他のさらなる実施形態を考案することもでき、本発明の範囲は、以下の特許請求の範囲によって決定される。

Claims (16)

  1. デバイスを製造するための方法であって:
    第1の処理チャンバの中の1以上の基板のうちの1つの表面を処理すること;
    制御された環境において前記第1の処理チャンバから第2の処理チャンバに、前記1以上の基板を移送すること;及び
    処理領域を画定する1以上の壁を有する前記第2の処理チャンバの中の前記1以上の基板上にN極性を有する結晶性の窒化アルミニウムの層を形成することを含み、前記窒化アルミニウムの層を形成することは:
    前記処理領域と接触する表面を有し、アルミニウムを含むターゲットにバイアスをかけること;
    窒素を含む第1のガスを前記処理領域の中に流すこと;及び
    アルゴン、クリプトン、又はネオンを含む第2のガスを前記処理領域の中に流すことを含、方法。
  2. 前記窒化アルミニウムの層を形成することはさらに、基板支持体の上に配置される前記1以上の基板上に負極性の基板バイアス電位を生成するために、電極にバイアスをかけることを含む、請求項1に記載の方法。
  3. 前記電極にバイアスをかけることは、前記ターゲットにバイアスをかける前に生じる第1の期間において前記電極にバイアスをかけることを含む、請求項2に記載の方法。
  4. 前記電極にバイアスをかけることは、前記1以上の基板上において、+5ボルトら+500ボルトの間変化する前記電極と前記ターゲットとの間の浮遊電位を生成することを含む、請求項2に記載の方法。
  5. 前記1以上の基板のうちの前記1つの表面を処理することは、前記1以上の基板を脱気すること、又は前記1以上の基板のうちの前記1つの表面をスパッタエッチングすることを含み、
    前記ターゲットにバイアスをかけることは、1500キロワットから2500キロワットの間の電力において、パルス状のDC信号及びRF信号を送ることを含み、かつ
    前記電極にバイアスをかけることは、前記1以上の基板上において、+5ボルトから+500ボルトの間から変化する浮遊電位を生成することを含み、かつ前記方法はさらに:
    前記ターゲットにバイアスをかける前に、前記1以上の基板を摂氏200度から摂氏1000度の間の温度まで加熱すること;
    前記ターゲットにバイアスをかけている間の前記処理領域内で、0.1ミリトールから200ミリトールの間で圧力を制御すること;及
    .2オングストローム/秒から0.5オングストローム/秒の間の堆積速度において、AlN層を堆積することを含む、請求項2に記載の方法。
  6. 前記電極にバイアスをかけることは、前記ターゲットにバイアスをかけている間に、前記1以上の基板上に浮遊電位を生成することを含む、請求項2に記載の方法。
  7. 前記第2の処理チャンバから、第3の処理チャンバに、前記1以上の基板を移送すること;及び
    前記第3の処理チャンバの中の前記窒化アルミニウムの層の上に、III族‐窒化物の層を形成することをさらに含み、前記III族‐窒化物の層を形成することは:
    金属を含む前駆体及び窒素を含むガスを、前記1以上の基板の各々の表面に送ることを含む、請求項1に記載の方法。
  8. 前記III族‐窒化物の層は、AlN、InN、GaN、AlGaN、InGaN、又はInAlGaNを備える、請求項7に記載の方法。
  9. 前記ターゲットはさらに、アルミニウム、及びII族、IV族、又はVI族の要素を含む、請求項1に記載の方法。
  10. デバイスを製造するための方法であって:
    第1の処理チャンバの中の1以上の基板のうちの1つの表面を処理すること;
    制御された環境において前記第1の処理チャンバから第2の処理チャンバに、前記1以上の基板を移送すること;及び
    処理領域を画定する1以上の壁を有する前記第2の処理チャンバの中の前記1以上の基板上にAl極性を有する結晶性の窒化アルミニウムの層を形成することを含み、パルス状のDC電力信号を供給し、前記窒化アルミニウムの層を形成することは:
    前記処理領域と接触する表面を有するアルミニウムを含むターゲットにバイアスをかけること;
    窒素を含む第1のガスを前記処理領域の中に流すこと;及び
    アルゴン、クリプトン、又はネオンを含む第2のガスを前記処理領域の中に流すことを含、方法。
  11. 前記窒化アルミニウムの層を形成することはさらに、基板支持体の上に配置される前記1以上の基板上に負極性の基板バイアス電位を生成するために、電極にバイアスをかけることを含む、請求項10に記載の方法。
  12. 前記電極にバイアスをかけることは、前記ターゲットにバイアスをかける前に生じる第1の期間において前記電極にバイアスをかけることを含む、請求項11に記載の方法。
  13. 前記電極にバイアスをかけることは、前記1以上の基板上において、−300ボルトから−1ボルトの間で変化する前記電極と前記ターゲットとの間の浮遊電位を生成することを含む、請求項11に記載の方法。
  14. 前記1以上の基板のうちの前記1つの表面を処理することは、前記1以上の基板を脱気すること、又は前記1以上の基板のうちの前記1つの表面をスパッタエッチングすることを含み、
    前記ターゲットにバイアスをかけることは、4kワットから6kワットの間の電力において、パルス状のDC信号を送ることを含み、かつ
    前記電極にバイアスをかけることは、前記1以上の基板上において、−300ボルトから−1ボルトの間から変化する浮遊電位を生成することを含み、かつ前記方法はさらに、
    前記ターゲットにバイアスをかける前に、前記1以上の基板を摂氏200度から摂氏1000度の間の温度まで加熱すること;
    前記ターゲットにバイアスをかけている間の前記処理領域内で、0.1ミリトールから200ミリトールの間で圧力を制御すること;及び
    オングストローム/秒から20オングストローム/秒の間の堆積速度において、AlN層を堆積することを含む、請求項11に記載の方法。
  15. 前記第2の処理チャンバから、第3の処理チャンバに、前記1以上の基板を移送すること;及び
    前記第3の処理チャンバの中の前記窒化アルミニウムの層の上に、III族‐窒化物の層を形成することを含み、前記III族‐窒化物の層を形成することは:
    金属を含む前駆体及び窒素を含むガスを、前記1以上の基板の各々の表面に送ることを含む、請求項10に記載の方法。
  16. デバイスを形成するための装置であって:
    アルミニウムを含むターゲット;
    窒素を含むガス源;
    アルゴン、クリプトン、及びネオンから成るグループから選ばれるガスを送るように適合されるプロセスガス源;
    前記ターゲットに対して、500ワットから20キロワットの間の電力において、パルス状のDC信号又はRF信号を提供するように構成される第1の電源;
    基板を支持する表面を有する基板支持体に結合される電極;及び
    前記基板を支持する表面の上に配置される1以上の基板上に、−300ボルトから−1ボルトの間であって、前記電極と前記ターゲットとの間に浮遊電位を生成するように構成され、かつ、前記電極と電気的に接続した第2の電源を備える、第1の処理チャンバを備える、第1のクラスタを備える、装置。
JP2015520613A 2012-07-02 2013-07-01 物理的気相成長法による窒化アルミニウムの緩衝及び活性層 Active JP6272850B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261667339P 2012-07-02 2012-07-02
US61/667,339 2012-07-02
US201261683652P 2012-08-15 2012-08-15
US61/683,652 2012-08-15
PCT/US2013/048879 WO2014008162A1 (en) 2012-07-02 2013-07-01 Aluminum-nitride buffer and active layers by physical vapor deposition

Publications (2)

Publication Number Publication Date
JP2015529009A JP2015529009A (ja) 2015-10-01
JP6272850B2 true JP6272850B2 (ja) 2018-01-31

Family

ID=49882447

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015520613A Active JP6272850B2 (ja) 2012-07-02 2013-07-01 物理的気相成長法による窒化アルミニウムの緩衝及び活性層

Country Status (5)

Country Link
US (1) US10109481B2 (ja)
JP (1) JP6272850B2 (ja)
KR (2) KR102168043B1 (ja)
CN (2) CN104428441B (ja)
WO (1) WO2014008162A1 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9929310B2 (en) 2013-03-14 2018-03-27 Applied Materials, Inc. Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
FI128093B (en) * 2013-07-02 2019-09-13 Ultratech Inc Formation of heteroepitaxial layers with rapid thermal processing to remove grid dislocations
JP6326295B2 (ja) * 2014-06-04 2018-05-16 東京エレクトロン株式会社 冷却処理装置、及び、冷却処理装置の運用方法
JP6476759B2 (ja) * 2014-10-31 2019-03-06 日本ゼオン株式会社 カーボンナノチューブ配向集合体の製造方法
KR102052287B1 (ko) * 2015-09-11 2019-12-04 고쿠리츠다이가쿠호진 미에다이가쿠 질화물 반도체 기판의 제조방법
GB201517879D0 (en) 2015-10-09 2015-11-25 Spts Technologies Ltd Method of deposition
US11823859B2 (en) 2016-09-09 2023-11-21 Ionquest Corp. Sputtering a layer on a substrate using a high-energy density plasma magnetron
US20170178878A1 (en) 2015-12-21 2017-06-22 IonQuest LLC Electrically and Magnetically Enhanced Ionized Physical Vapor Deposition Unbalanced Sputtering Source
US11482404B2 (en) * 2015-12-21 2022-10-25 Ionquest Corp. Electrically and magnetically enhanced ionized physical vapor deposition unbalanced sputtering source
US10957519B2 (en) 2015-12-21 2021-03-23 Ionquest Corp. Magnetically enhanced high density plasma-chemical vapor deposition plasma source for depositing diamond and diamond-like films
US11359274B2 (en) 2015-12-21 2022-06-14 IonQuestCorp. Electrically and magnetically enhanced ionized physical vapor deposition unbalanced sputtering source
CN107435164A (zh) * 2016-05-25 2017-12-05 上海新昇半导体科技有限公司 外延生长设备
JP6439774B2 (ja) * 2016-11-21 2018-12-19 トヨタ自動車株式会社 半導体装置の製造方法
US10927449B2 (en) * 2017-01-25 2021-02-23 Applied Materials, Inc. Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment
US10563304B2 (en) * 2017-04-07 2020-02-18 Applied Materials, Inc. Methods and apparatus for dynamically treating atomic layer deposition films in physical vapor deposition chambers
KR20230130177A (ko) 2017-05-13 2023-09-11 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
CN108390075B (zh) * 2018-01-24 2019-04-02 上海交通大学 抗腐蚀导电膜及其脉冲偏压交替磁控溅射沉积方法和应用
US10854442B2 (en) * 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Orientation chamber of substrate processing system with purging function
CN109155364B (zh) * 2018-08-02 2022-09-23 深圳市为通博科技有限责任公司 忆阻器电极材料的制备方法、制备装置和忆阻器电极材料
JP7352271B2 (ja) * 2018-09-03 2023-09-28 国立大学法人三重大学 窒化物半導体基板の製造方法
US10734219B2 (en) * 2018-09-26 2020-08-04 Asm Ip Holdings B.V. Plasma film forming method
KR102620219B1 (ko) * 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
US11289312B2 (en) * 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
CN110444598B (zh) * 2019-06-20 2023-06-09 华灿光电(浙江)有限公司 高电子迁移率晶体管及其制备方法
TWI755659B (zh) * 2019-12-09 2022-02-21 天虹科技股份有限公司 降低氮化鋁的表面氧化物生成的方法與設備
CN114938653A (zh) * 2020-02-06 2022-08-23 应用材料公司 用于在薄膜沉积期间调整膜性质的方法与设备
KR20230042097A (ko) * 2020-07-31 2023-03-27 어플라이드 머티어리얼스, 인코포레이티드 다중 기판 핸들링 시스템 및 방법
US20230127571A1 (en) 2020-09-17 2023-04-27 Lg Energy Solution, Ltd. Dual Slot Die Coater, Method for Coating Electrode Active Material Slurry Using the Same and Electrode Manufactured Using the Same
JP2022155711A (ja) * 2021-03-31 2022-10-14 芝浦メカトロニクス株式会社 成膜装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE59202116D1 (de) * 1991-04-23 1995-06-14 Balzers Hochvakuum Verfahren zur Abtragung von Material von einer Oberfläche in einer Vakuumkammer.
US6168690B1 (en) * 1997-09-29 2001-01-02 Lam Research Corporation Methods and apparatus for physical vapor deposition
US6312568B2 (en) * 1999-12-07 2001-11-06 Applied Materials, Inc. Two-step AIN-PVD for improved film properties
JP4714322B2 (ja) * 2000-04-28 2011-06-29 株式会社アルバック 窒化アルミ膜成膜方法
GB0116688D0 (en) * 2001-07-07 2001-08-29 Trikon Holdings Ltd Method of depositing aluminium nitride
JP2006032524A (ja) * 2004-07-14 2006-02-02 Nippon Telegr & Teleph Corp <Ntt> 窒化物半導体ヘテロ構造電界効果トランジスタ構造とその作製法
KR100784381B1 (ko) * 2004-07-23 2007-12-11 삼성전자주식회사 증착 장치 및 방법
KR20090035578A (ko) * 2006-07-03 2009-04-09 어플라이드 머티어리얼스, 인코포레이티드 향상된 전단부 처리를 위한 클러스터 기기
JP2008047762A (ja) * 2006-08-18 2008-02-28 Showa Denko Kk Iii族窒化物化合物半導体発光素子の製造方法、及びiii族窒化物化合物半導体発光素子、並びにランプ
TWI377703B (en) * 2007-05-02 2012-11-21 Showa Denko Kk Production method of group iii nitride semiconductor light-emitting device
JP4714712B2 (ja) 2007-07-04 2011-06-29 昭和電工株式会社 Iii族窒化物半導体発光素子及びその製造方法、並びにランプ
JP4471001B2 (ja) * 2008-01-23 2010-06-02 セイコーエプソン株式会社 半導体センサ及び半導体センサの製造方法
WO2009096270A1 (ja) * 2008-01-31 2009-08-06 Canon Anelva Corporation AlNヘテロエピタキシャル結晶体とその製造方法、該結晶体を用いてなるIII族窒化物膜用下地基板、発光素子、表面弾性波デバイス、及びスパッタリング装置
JP2009228131A (ja) * 2008-02-27 2009-10-08 Sumitomo Electric Ind Ltd 窒化アルミニウム薄膜およびその製造方法
CN101573000A (zh) * 2008-04-29 2009-11-04 汉达精密电子(昆山)有限公司 散热基板上真空溅镀形成导电线路的方法
US8115883B2 (en) * 2009-08-27 2012-02-14 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
JP2011171639A (ja) * 2010-02-22 2011-09-01 Sanken Electric Co Ltd 半導体装置、半導体ウェハ、半導体装置の製造方法及び半導体ウェハの製造方法
US20110244663A1 (en) * 2010-04-01 2011-10-06 Applied Materials, Inc. Forming a compound-nitride structure that includes a nucleation layer
WO2011136016A1 (ja) * 2010-04-30 2011-11-03 キヤノンアネルバ株式会社 エピタキシャル膜形成方法、真空処理装置、半導体発光素子の製造方法、半導体発光素子、照明装置
JP5521981B2 (ja) * 2010-11-08 2014-06-18 豊田合成株式会社 半導体発光素子の製造方法
CN102477531B (zh) * 2010-11-26 2015-03-25 鸿富锦精密工业(深圳)有限公司 被覆件及其制造方法
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
CN102758172A (zh) * 2011-04-27 2012-10-31 鸿富锦精密工业(深圳)有限公司 铁基合金表面镀膜方法及由该方法制得的镀膜件
US8575819B1 (en) * 2011-07-18 2013-11-05 Integrated Device Technology, Inc. Microelectromechanical resonators with passive frequency tuning using built-in piezoelectric-based varactors
CN102896842A (zh) * 2011-07-29 2013-01-30 鸿富锦精密工业(深圳)有限公司 镀膜件及其制造方法

Also Published As

Publication number Publication date
US10109481B2 (en) 2018-10-23
JP2015529009A (ja) 2015-10-01
CN107354428B (zh) 2020-10-20
KR20150022006A (ko) 2015-03-03
WO2014008162A1 (en) 2014-01-09
CN107354428A (zh) 2017-11-17
KR102168043B1 (ko) 2020-10-20
KR102317822B1 (ko) 2021-10-25
CN104428441A (zh) 2015-03-18
US20150348773A1 (en) 2015-12-03
CN104428441B (zh) 2017-04-12
KR20200119918A (ko) 2020-10-20

Similar Documents

Publication Publication Date Title
JP6272850B2 (ja) 物理的気相成長法による窒化アルミニウムの緩衝及び活性層
US11011676B2 (en) PVD buffer layers for LED fabrication
US11081623B2 (en) Oxygen controlled PVD AlN buffer for GaN-based optoelectronic and electronic devices
TWI575772B (zh) 沉積iii族氮化物半導體薄膜的方法
TWI601855B (zh) 沉積氮化鋁層的方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160629

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170327

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170704

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180104

R150 Certificate of patent or registration of utility model

Ref document number: 6272850

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250