JP6270268B2 - Substrate processing apparatus and substrate processing method - Google Patents

Substrate processing apparatus and substrate processing method Download PDF

Info

Publication number
JP6270268B2
JP6270268B2 JP2014037293A JP2014037293A JP6270268B2 JP 6270268 B2 JP6270268 B2 JP 6270268B2 JP 2014037293 A JP2014037293 A JP 2014037293A JP 2014037293 A JP2014037293 A JP 2014037293A JP 6270268 B2 JP6270268 B2 JP 6270268B2
Authority
JP
Japan
Prior art keywords
substrate
hot plate
organic solvent
liquid
posture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014037293A
Other languages
Japanese (ja)
Other versions
JP2015162597A (en
Inventor
直彦 吉原
直彦 吉原
小林 健司
健司 小林
学 奥谷
学 奥谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Holdings Co Ltd
Original Assignee
Screen Holdings Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2014037293A priority Critical patent/JP6270268B2/en
Application filed by Screen Holdings Co Ltd filed Critical Screen Holdings Co Ltd
Priority to TW107115284A priority patent/TWI661502B/en
Priority to TW104106198A priority patent/TWI626701B/en
Priority to TW107115286A priority patent/TWI654703B/en
Priority to US14/632,500 priority patent/US9728443B2/en
Priority to CN201710741035.4A priority patent/CN107393851B/en
Priority to CN201810131309.2A priority patent/CN108198748B/en
Priority to CN201510087911.7A priority patent/CN104882359B/en
Priority to KR1020150027580A priority patent/KR102267508B1/en
Priority to CN201810131287.XA priority patent/CN108155133B/en
Priority to TW107115285A priority patent/TWI667722B/en
Publication of JP2015162597A publication Critical patent/JP2015162597A/en
Priority to US15/642,928 priority patent/US10825713B2/en
Application granted granted Critical
Publication of JP6270268B2 publication Critical patent/JP6270268B2/en
Priority to KR1020210077363A priority patent/KR102306469B1/en
Priority to KR1020210077366A priority patent/KR102384737B1/en
Priority to KR1020210077364A priority patent/KR102384735B1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Cleaning Or Drying Semiconductors (AREA)

Description

本発明は、半導体ウエハ等の基板を処理する基板処理装置および基板処理方法に関する。   The present invention relates to a substrate processing apparatus and a substrate processing method for processing a substrate such as a semiconductor wafer.

半導体装置の製造工程では、半導体ウエハ等の基板の表面に処理液を供給して、その基板の表面が処理液を用いて処理される。
たとえば、基板を1枚ずつ処理する枚葉式の基板処理装置は、基板をほぼ水平に保持しつつ、その基板を回転させるスピンチャックと、このスピンチャックによって回転される基板の表面に処理液を供給するためのノズルとを備えている。スピンチャックに保持された基板に対して薬液が供給され、その後にリンス液が供給されることにより、基板上の薬液がリンス液に置換される。その後、基板上のリンス液を排除するためのスピン乾燥処理が行われる。スピン乾燥処理では、基板が高速回転されることにより、基板に付着しているリンス液が振り切られて除去(乾燥)される。このような乾燥処理の手法では、基板の表面に形成されているパターンの間隙に入り込んだリンス液が振り切られず、パターンの間隙にリンス液が残留するおそれがある。
In the manufacturing process of a semiconductor device, a processing liquid is supplied to the surface of a substrate such as a semiconductor wafer, and the surface of the substrate is processed using the processing liquid.
For example, a single-wafer type substrate processing apparatus that processes substrates one by one holds a substrate on a substantially horizontal surface while rotating the substrate, and a processing liquid on the surface of the substrate rotated by the spin chuck. And a nozzle for supplying. The chemical liquid is supplied to the substrate held by the spin chuck, and then the rinse liquid is supplied, whereby the chemical liquid on the substrate is replaced with the rinse liquid. Thereafter, a spin drying process for removing the rinse liquid on the substrate is performed. In the spin drying process, when the substrate is rotated at a high speed, the rinse liquid adhering to the substrate is shaken off and removed (dried). In such a drying method, the rinsing liquid that has entered the gap between the patterns formed on the surface of the substrate is not shaken off, and the rinsing liquid may remain in the gap between the patterns.

そのため、下記特許文献1のように、リンス処理後の基板の表面に、イソプロピル アルコール(isopropyl alcohol:IPA)液等の常温の有機溶剤を供給して、基板の表面の微細パターンの間隙に入り込んだリンス液を有機溶剤に置換して、基板の表面を乾燥させる手法が提案されている。   For this reason, as in Patent Document 1 below, an organic solvent at room temperature such as isopropyl alcohol (IPA) liquid is supplied to the surface of the substrate after the rinsing process, and enters the fine pattern gap on the surface of the substrate. There has been proposed a technique of replacing the rinse liquid with an organic solvent and drying the surface of the substrate.

特開平9−38595号公報JP-A-9-38595

スピン乾燥処理には、隣接するパターン同士が引きつけ合って接触し、パターン倒壊に至ることがある。この原因の一つは、隣接するパターン間に存在する液による表面張力にあると推察される。引用文献1のように、スピンドライ前に有機溶剤を基板に供給する場合には、パターン間に存在するのが表面張力の低い有機溶剤であるので、隣接するパターン同士の引き付け合う力が弱まる結果、パターン倒壊を防止できると考えられている。   In the spin drying process, adjacent patterns attract each other and come into contact with each other, leading to pattern collapse. One of the causes is assumed to be the surface tension due to the liquid existing between adjacent patterns. As in Cited Document 1, when an organic solvent is supplied to the substrate before spin drying, the organic solvent having a low surface tension exists between the patterns, so that the attractive force between adjacent patterns is weakened. It is thought that pattern collapse can be prevented.

しかしながら、近年、半導体基板の表面には、高集積化のために、微細でかつアスペクト比の高い微細パターン(凸状パターン、ライン状のパターンなど)が形成されている。微細で高アスペクト比の微細パターンは倒壊し易いので、スピンドライ前に有機溶剤を基板に供給しても、微細パターンの倒壊を十分に抑制できないおそれがある。
そこで、本願発明者は、スピン乾燥を用いることなく、基板の表面から有機溶剤の液膜を基板の上方からスムーズかつ完全に排除できることを検討している。そのための手法として、本願発明者らは、基板を加熱しながら、当該基板の上面を水平面に対して傾斜させることを検討している。
However, in recent years, a fine pattern (such as a convex pattern or a line pattern) having a fine and high aspect ratio is formed on the surface of a semiconductor substrate for high integration. Since fine and high aspect ratio fine patterns are easy to collapse, even if an organic solvent is supplied to the substrate before spin drying, the fine patterns may not be sufficiently suppressed.
Therefore, the inventor of the present application is examining that a liquid film of an organic solvent can be removed smoothly and completely from above the substrate without using spin drying. As a technique for this purpose, the inventors of the present application are considering tilting the upper surface of the substrate with respect to the horizontal plane while heating the substrate.

そこで、本発明の目的は、基板を加熱しながら、当該基板の上面を水平面に対して傾斜させることができる基板処理装置を提供することである。
また、本発明の他の目的は、基板の表面から有機溶剤等の処理液の液膜を基板の上方からスムーズかつ完全に排除できる基板処理方法を提供することである。
Accordingly, an object of the present invention is to provide a substrate processing apparatus capable of inclining the upper surface of a substrate with respect to a horizontal plane while heating the substrate.
Another object of the present invention is to provide a substrate processing method capable of removing a liquid film of a processing solution such as an organic solvent from the surface of the substrate smoothly and completely from above the substrate.

前記の目的を達成するための請求項1に記載の発明は、基板(W)を水平姿勢に保持する基板保持手段(5)と、前記基板の上面に付着しているリンス液を前記リンス液よりも表面張力の低い液体の有機溶剤で置換すべく、前記有機溶剤を前記基板の上面に供給して、有機溶剤の液膜を形成する有機溶剤供給手段(8)と、前記基板を下方から加熱して前記有機溶剤の液膜を加熱するホットプレート(6)であって、前記基板の上面を前記有機溶剤の沸点よりも高い所定の第1の温度に到達させ、これにより、前記基板の上面を覆うように形成される有機溶剤の液膜と前記基板の上面との間に、前記上面全域において有機溶剤の蒸発気体膜を形成させると共に、前記有機溶剤の蒸発気体膜の上方に前記有機溶剤の液膜を亀裂が生じないように浮上させるホットプレートと、前記基板と前記ホットプレートとの相対姿勢を一定に維持しながら、前記基板および前記ホットプレートを、前記基板の上面を水平にして前記基板上に前記有機溶剤の液膜を保持させる水平姿勢と、前記基板の上面を水平面に対して傾斜させて前記ホットプレートにより加熱された前記有機溶剤の液膜を前記基板上から排除する傾斜姿勢との間で姿勢変更させる姿勢変更手段(90)であって、浮上している前記有機溶剤の液膜を前記基板の上面の上方から排除するために、前記基板および前記ホットプレートを、前記水平姿勢から前記傾斜姿勢に姿勢変更させる姿勢変更手段とを含む、基板処理装置(1)を提供する。 In order to achieve the above object, the invention according to claim 1 is characterized in that the substrate holding means (5) for holding the substrate (W) in a horizontal posture and the rinse liquid adhering to the upper surface of the substrate are the rinse liquid. An organic solvent supplying means (8) for supplying the organic solvent to the upper surface of the substrate to form a liquid film of the organic solvent so as to replace with a liquid organic solvent having a lower surface tension, and the substrate from below. A hot plate (6) for heating and heating the liquid film of the organic solvent, the upper surface of the substrate reaching a predetermined first temperature higher than the boiling point of the organic solvent, An organic solvent evaporative gas film is formed over the entire upper surface between the organic solvent liquid film formed to cover the upper surface and the upper surface of the substrate, and the organic solvent evaporates above the organic solvent evaporative gas film. Avoid cracks in solvent film A hot plate to above, while maintaining the relative orientation between the substrate and the hot plate to constant, said substrate and said hot plate, the organic solvent liquid film on the substrate in the horizontal upper surface of said substrate Posture changing means for changing the posture between a horizontal posture to be held and a tilted posture in which the upper surface of the substrate is tilted with respect to a horizontal plane and the liquid film of the organic solvent heated by the hot plate is removed from the substrate. (90) The posture of changing the posture of the substrate and the hot plate from the horizontal posture to the inclined posture in order to remove the floating organic solvent liquid film from above the upper surface of the substrate. There is provided a substrate processing apparatus (1) including a changing means .

なお、この項において、括弧内の英数字は、後述の実施形態における対応構成要素の参照符合を表すものであるが、これらの参照符号により特許請求の範囲を実施形態に限定する趣旨ではない。
この構成によれば、基板と、当該基板を加熱するホットプレートとの相対姿勢を一定に維持しながら、基板およびホットプレートが、水平姿勢と傾斜姿勢との間で姿勢変更させられる。これにより、基板を加熱しながら、当該基板の上面を水平面に対して傾斜させることができる。その結果、加熱された有機溶剤の液膜を基板上から円滑に排除することができる。基板とホットプレートを水平姿勢と傾斜姿勢との間で姿勢変化させる間、基板とホットプレートとの相対姿勢は一定に維持させるため、基板とホットプレートとを姿勢変化させてもホットプレートによる基板の加熱状態を一定に維持することができる。
In this section, the alphanumeric characters in parentheses represent reference signs of corresponding components in the embodiments described later, but the scope of the claims is not limited to the embodiments by these reference numerals.
According to this configuration, the posture of the substrate and the hot plate is changed between the horizontal posture and the tilted posture while maintaining the relative posture of the substrate and the hot plate that heats the substrate constant. Thereby, the upper surface of the substrate can be inclined with respect to the horizontal plane while heating the substrate. As a result, the heated organic solvent liquid film can be smoothly removed from the substrate. While the posture of the substrate and the hot plate is changed between the horizontal posture and the inclined posture, the relative posture between the substrate and the hot plate is kept constant. The heating state can be kept constant.

請求項2に記載の発明は、前記ホットプレートは、前記基板の下面に接触して当該基板を支持するものであり、前記姿勢変更手段は、前記ホットプレートを、前記基板を支持しつつ、前記水平姿勢と前記傾斜姿勢との間で姿勢変更させるホットプレート姿勢変更手段(90)を含む、請求項1に記載の基板処理装置である。
この構成によれば、ホットプレートは、基板を下方から加熱しながら、当該基板を下方から接触支持する。このホットプレートを水平姿勢から傾斜姿勢に姿勢変更させることにより、ホットプレートによって基板を良好に保持しながら、当該基板の上面を水平面に対して傾斜させることができる。これにより、ホットプレートによって基板を加熱しながら、当該基板の上面を水平面に対して傾斜させることができる。
According to a second aspect of the present invention, the hot plate contacts the lower surface of the substrate to support the substrate, and the posture changing means supports the hot plate while supporting the substrate. The substrate processing apparatus according to claim 1, further comprising a hot plate posture changing means (90) for changing a posture between a horizontal posture and the inclined posture.
According to this configuration, the hot plate contacts and supports the substrate from below while heating the substrate from below. By changing the posture of the hot plate from the horizontal posture to the inclined posture, the upper surface of the substrate can be inclined with respect to the horizontal plane while the substrate is favorably held by the hot plate. Thereby, the upper surface of the substrate can be inclined with respect to the horizontal plane while the substrate is heated by the hot plate.

請求項3に記載の発明は、前記ホットプレート姿勢変更手段は、水平な支持面(17a)を有する支持部材(17)と、前記支持面上に配置され、前記支持面に交差する方向に伸縮可能に設けられて前記ホットプレートの周縁部を下方から支持する複数の伸縮手段(24)と、少なくとも1つの前記伸縮手段の長さをそれ以外の前記伸縮手段と異ならせるように、前記伸縮手段を伸縮させる伸縮駆動手段(25)とを含む、請求項2に記載の基板処理装置である。   According to a third aspect of the present invention, the hot plate posture changing means includes a support member (17) having a horizontal support surface (17a), and is disposed on the support surface, and expands and contracts in a direction intersecting the support surface. A plurality of expansion / contraction means (24) provided so as to support the peripheral edge of the hot plate from below, and the expansion / contraction means so that the length of at least one expansion / contraction means differs from the other expansion / contraction means The substrate processing apparatus according to claim 2, further comprising an expansion / contraction driving means (25) for expanding and contracting the substrate.

この構成によれば、ホットプレートの周縁部が、複数の伸縮手段によって下方から支持されている。複数の伸縮手段の長さを互いに等しくすることにより、ホットプレートが水平姿勢に保たれる。また、複数の伸縮手段のうち少なくとも1つの伸縮手段の長さを、それ以外の伸縮手段と異ならせることにより、ホットプレートが傾斜姿勢に保たれる。これにより、簡単な構成で、ホットプレートを水平姿勢と傾斜姿勢との間で姿勢変更させることができる。   According to this configuration, the peripheral portion of the hot plate is supported from below by the plurality of expansion / contraction means. By making the lengths of the plurality of expansion / contraction means equal to each other, the hot plate is maintained in a horizontal posture. Moreover, the hot plate is maintained in the inclined posture by making the length of at least one of the plurality of expansion / contraction means different from the other expansion / contraction means. Thus, the posture of the hot plate can be changed between a horizontal posture and an inclined posture with a simple configuration.

請求項4に記載の発明は、前記基板が前記傾斜姿勢をなす状態で、傾斜している基板の低位側の周縁部と当接して、前記ホットプレート上からの前記基板の滑落を防止する滑落防止部材(10)をさらに含む、請求項2または3に記載の基板処理装置である。
ホットプレートと基板の下面との間に生じる摩擦力により、基板がホットプレート上で支持されている。基板およびホットプレートが水平姿勢をなす状態では、前記の摩擦力の働きにより、基板は移動せず、静止状態にある。一方、基板が傾斜状態をなす場合には、基板に自重が作用して、プレート上に沿って移動するおそれがある。
According to a fourth aspect of the present invention, in the state in which the substrate is in the inclined posture, the substrate is brought into contact with the lower peripheral portion of the inclined substrate to prevent the substrate from sliding off from the hot plate. The substrate processing apparatus according to claim 2, further comprising a prevention member.
The substrate is supported on the hot plate by a frictional force generated between the hot plate and the lower surface of the substrate. In a state where the substrate and the hot plate are in a horizontal posture, the substrate does not move by the action of the frictional force and is in a stationary state. On the other hand, when the substrate is inclined, the substrate may move along the plate due to its own weight.

この構成によれば、基板およびホットプレートが傾斜姿勢をなす状態で、滑落防止部材が、傾斜している基板の低位側の周縁部と当接し、これにより、ホットプレート上に沿う方向に関する基板の移動が阻止されて、ホットプレート上からの基板の滑落が防止される。ゆえに、ホットプレート上からの基板の滑落を確実に防止しながら、基板およびホットプレートの双方を傾斜姿勢に保持できる。   According to this configuration, in the state where the substrate and the hot plate are inclined, the anti-sliding member abuts on the lower peripheral portion of the inclined substrate, and thereby the substrate in the direction along the hot plate. The movement is prevented and the sliding of the substrate from the hot plate is prevented. Therefore, both the substrate and the hot plate can be held in an inclined posture while reliably preventing the substrate from sliding off from the hot plate.

請求項5に記載の発明は、前記基板の周縁部と当接して前記基板を支持する支持ピン(10)を有し、前記ホットプレートとの間で基板が受渡し可能に設けられた基板保持手段(5)をさらに含み、前記支持ピンが前記滑落防止部材として機能している、請求項4に記載の基板処理装置である。
この構成によれば、基板保持手段の支持ピンにより、ホットプレート上からの基板の滑落の防止が達成される。これにより、滑落防止部材を支持ピンとは別の部材で設ける場合と比較して、部品点数を低減できると共にコストダウンを図ることができる。
According to a fifth aspect of the present invention, there is provided a substrate holding means having a support pin (10) that contacts the peripheral edge of the substrate and supports the substrate, and the substrate can be delivered to and from the hot plate. 5. The substrate processing apparatus according to claim 4, further comprising (5), wherein the support pin functions as the slip-off preventing member.
According to this configuration, the sliding of the substrate from the hot plate is prevented by the support pins of the substrate holding means. Thereby, compared with the case where a sliding-off prevention member is provided with a member different from a support pin, the number of parts can be reduced and the cost can be reduced.

請求項6に記載の発明は、前記ホットプレートは、前記基板の下面に対向する基板対向面(6a)と、前記基板対向面に設けられた配置された複数のエンボス(61,161)とを含み、前記複数のエンボスは、前記基板の下面に当接して、当該基板を、前記基板対向面と所定の隙間を隔てた状態に支持する、請求項4または5に記載の基板処理装置である。   According to a sixth aspect of the present invention, the hot plate includes a substrate facing surface (6a) facing the lower surface of the substrate and a plurality of embosses (61, 161) arranged on the substrate facing surface. The substrate processing apparatus according to claim 4, wherein the plurality of embosses abut on a lower surface of the substrate and support the substrate in a state of being separated from the substrate facing surface by a predetermined gap. .

この構成によれば、複数のエンボスが基板の下面に当接することにより、基板対向面と間隔を隔てられた状態で、基板がホットプレート上に支持される。この状態で、基板対向面が発熱することにより、この熱が基板に与えられて、基板が下方から加熱される。
基板対向面と間隔を隔てられた状態で、基板がホットプレート上に支持されているので、基板が基板対向面に吸着して基板対向面に貼り付くことを抑制または防止できる。また、基板対向面に汚染物質がある場合であっても、その汚染物質が基板(の下面)に転写されることを抑制または防止できる。
According to this configuration, the plurality of embosses abut on the lower surface of the substrate, so that the substrate is supported on the hot plate while being spaced apart from the substrate facing surface. In this state, when the substrate facing surface generates heat, this heat is applied to the substrate, and the substrate is heated from below.
Since the substrate is supported on the hot plate while being spaced apart from the substrate facing surface, it is possible to suppress or prevent the substrate from adsorbing to the substrate facing surface and sticking to the substrate facing surface. Further, even when there is a contaminant on the surface facing the substrate, the contaminant can be suppressed or prevented from being transferred to the substrate (the lower surface thereof).

請求項7に記載のように、前記複数のエンボスが前記滑落防止部材として機能していてもよい。
また、請求項8に記載のように、前記複数のエンボスは、前記基板対向面の全域に分散配置されていてもよい。この場合、基板対向面に分散配置されている複数のエンボスによって基板が支持されているので、基板対向面から基板への伝熱による熱の伝わり易さを基板の面内で均一に保つことができると共に、基板において反りが発生するのを、抑制または防止できる。
As described in claim 7, the plurality of embosses may function as the slip-preventing member.
In addition, as described in claim 8, the plurality of embosses may be distributed over the entire area of the substrate facing surface. In this case, since the substrate is supported by a plurality of embosses distributed on the substrate-facing surface, heat transfer from the substrate-facing surface to the substrate can be easily maintained in the plane of the substrate. In addition, the occurrence of warpage in the substrate can be suppressed or prevented.

また、請求項9に記載のように、前記複数のエンボスは、前記基板対向面の周縁部にのみ配置されていてもよい。
請求項10に記載の発明は、前記姿勢変更手段は、前記ホットプレートによる前記液膜の加熱により、前記基板の上面の上方空間に前記有機溶剤の蒸発気体膜が形成された後に、前記ホットプレートを、前記水平姿勢から前記傾斜姿勢に傾斜させる、請求項1〜9のいずれか一項に記載の基板処理装置である。このようにすると、有機溶剤の蒸発気体膜によって基板から離隔された有機溶剤の液膜を***させずに、液塊のまま基板の上面から排除することが可能になる。
In addition, as described in claim 9, the plurality of embosses may be arranged only at a peripheral edge portion of the substrate facing surface.
In the invention according to claim 10, the posture changing means may be configured so that the vaporized gas film of the organic solvent is formed in the upper space on the upper surface of the substrate by heating the liquid film by the hot plate, and then the hot plate The substrate processing apparatus according to claim 1, wherein the substrate is inclined from the horizontal posture to the inclined posture. In this way, the liquid film of the organic solvent is separated from the substrate by evaporation gas film of an organic solvent without disruption, it is possible to eliminate from the upper surface of the substrate while the liquid mass.

記の目的を達成するための請求項1に記載の発明は、水平姿勢に保持されている基板(W)の上面に付着しているリンス液よりも表面張力の低い液体の有機溶剤を前記基板の上面に供給して、前記リンス液を前記有機溶剤で置換する有機溶剤置換工程(S9)と、前記有機溶剤置換工程の開始後、ホットプレート(6)によって前記基板を下方から加熱して、前記基板の上面を前記有機溶剤の沸点よりも高い所定の第1の温度に到達させ、これにより、前記基板の上面を覆うように形成される有機溶剤の液膜(111)と前記基板の上面との間に、前記上面全域において有機溶剤の蒸発気体膜(112)を形成させると共に、前記有機溶剤の蒸発気体膜の上方に前記有機溶剤の液膜を亀裂が生じないように浮上させる基板高温化工程(S10)と、前記基板を加熱しながら、前記基板と前記ホットプレートとの相対姿勢を一定に維持しながら、前記基板および前記ホットプレートを、前記基板の上面が水平面に対して傾斜する傾斜姿勢に姿勢変更させることにより、浮上している前記有機溶剤の液膜を、前記基板の上面の上方から排除する有機溶剤排除工程(S11)とを含む、基板処理方法を提供する。 The invention according to claim 1 1 in order to achieve the pre-Symbol purpose of the organic solvent of the liquid lower surface tension than the rinse liquid adhering to the upper surface of the substrate (W) held in the horizontal position An organic solvent replacement step (S9) for supplying the upper surface of the substrate to replace the rinse liquid with the organic solvent, and after starting the organic solvent replacement step, the substrate is heated from below by a hot plate (6). Then, the upper surface of the substrate reaches a predetermined first temperature higher than the boiling point of the organic solvent, whereby the organic solvent liquid film (111) formed so as to cover the upper surface of the substrate and the substrate An organic solvent evaporative gas film (112) is formed on the entire upper surface of the organic solvent, and the organic solvent liquid film is levitated above the organic solvent evaporative gas film so as not to crack . Substrate heating process (S 10) and while maintaining the relative posture between the substrate and the hot plate constant while heating the substrate, the substrate and the hot plate are inclined so that the upper surface of the substrate is inclined with respect to a horizontal plane. There is provided a substrate processing method including an organic solvent removing step (S11) of removing the floating organic solvent liquid film from above the upper surface of the substrate by changing the posture.

この方法によれば、基板の上面に液体の有機溶剤を供給して、基板の上面を覆う有機溶剤の液膜を基板上に形成することにより、基板の上面に付着しているリンス液を液体の有機溶剤で置換する。有機溶剤の液膜が基板の上面の全域を覆っているので、基板の上面の全域においてリンス液を良好に置換できる。そして、有機溶剤の液膜の形成後に、基板の上面の温度を第1の温度に到達させる。これにより、基板の上面全域において有機溶剤の液膜と基板の上面との間に有機溶剤の蒸発気体膜が形成されると共に、当該有機溶剤の蒸発気体膜の上方に有機溶剤の液膜が浮上する。この状態では、基板の上面と有機溶剤の液膜との間に生じる摩擦力の大きさは略零であり、そのため、有機溶剤の液膜は、基板の上面に沿って移動し易い。   According to this method, a liquid organic solvent is supplied to the upper surface of the substrate, and a liquid film of the organic solvent that covers the upper surface of the substrate is formed on the substrate. Replace with an organic solvent. Since the liquid film of the organic solvent covers the entire upper surface of the substrate, the rinsing liquid can be satisfactorily replaced over the entire upper surface of the substrate. Then, after the formation of the organic solvent liquid film, the temperature of the upper surface of the substrate is allowed to reach the first temperature. As a result, an organic solvent evaporation gas film is formed between the organic solvent liquid film and the substrate upper surface over the entire upper surface of the substrate, and the organic solvent liquid film floats above the organic solvent evaporation gas film. To do. In this state, the magnitude of the frictional force generated between the upper surface of the substrate and the organic solvent liquid film is substantially zero. Therefore, the organic solvent liquid film easily moves along the upper surface of the substrate.

有機溶剤排除工程において、基板とホットプレートとの相対姿勢を一定に維持しながら、基板およびホットプレートを傾斜姿勢に姿勢変更させて、基板の上面を水平面に対して傾斜させる。これにより、浮上している有機溶剤の液膜は自重を受けて、傾斜している基板の周縁部の最も低い部分に向けて、基板の上面に沿って移動し、基板の周縁部から排出される。有機溶剤の液膜の移動は、液塊状態を維持しながら(すなわち、多数の小滴に***することなく)行われ、これにより、有機溶剤の液膜を、基板の上方からスムーズかつ完全に排除できる。   In the organic solvent removal step, while maintaining the relative posture of the substrate and the hot plate constant, the posture of the substrate and the hot plate is changed to the inclined posture, and the upper surface of the substrate is inclined with respect to the horizontal plane. As a result, the liquid film of the floating organic solvent receives its own weight, moves along the upper surface of the substrate toward the lowest part of the inclined peripheral edge of the substrate, and is discharged from the peripheral edge of the substrate. The The movement of the organic solvent liquid film is carried out while maintaining the liquid mass state (ie, without breaking into a large number of droplets), so that the organic solvent liquid film is smoothly and completely transferred from above the substrate. Can be eliminated.

そのため、有機溶剤の液膜の排除後の基板の上面には、有機溶剤が小滴状に残留しない。すなわち、基板の上面に微細パターンが形成される場合であっても、微細パターンの間隙に液相の有機溶剤が残留しない。したがって、微細パターンが上面に形成された基板を処理する場合であっても、パターンの倒壊を抑制または防止しつつ、基板の上面を良好に乾燥させることができる。   Therefore, the organic solvent does not remain in the form of droplets on the upper surface of the substrate after removal of the organic solvent liquid film. That is, even when a fine pattern is formed on the upper surface of the substrate, the liquid organic solvent does not remain in the gap between the fine patterns. Therefore, even when processing a substrate having a fine pattern formed on the upper surface, the upper surface of the substrate can be satisfactorily dried while suppressing or preventing the collapse of the pattern.

本発明の一実施形態に係る基板処理装置の構成の模式的な平面図である。It is a typical top view of the composition of the substrate processing device concerning one embodiment of the present invention. 図1に示す基板処理装置に備えられたチャンバの内部の断面図である。FIG. 2 is a sectional view of the inside of a chamber provided in the substrate processing apparatus shown in FIG. 1. 図2に示す、基板保持回転ユニットおよびホットプレートの平面図である。It is a top view of a substrate holding | maintenance rotation unit and a hot plate shown in FIG. 図3を切断面線IV−IVで切断したときの断面図である。FIG. 4 is a cross-sectional view when FIG. 3 is cut along a cutting plane line IV-IV. ホットプレートの基板対向面の拡大縦断面図である。It is an expansion longitudinal cross-sectional view of the board | substrate opposing surface of a hotplate. 固定ピンの構成を模式的に示す断面図である。It is sectional drawing which shows the structure of a fixing pin typically. 可動ピンおよびチャック開閉ユニットの構成を模式的に示す断面図である。It is sectional drawing which shows typically the structure of a movable pin and a chuck | zipper opening / closing unit. 可動ピンが挟持状態にある場合における、チャック開閉ユニットの模式的な図である。It is a schematic diagram of the chuck opening / closing unit when the movable pin is in the clamping state. 可動ピンが挟持状態から開状態に遷移中である場合における、チャック開閉ユニットの模式的な図である。It is a schematic diagram of the chuck opening / closing unit when the movable pin is transitioning from the pinched state to the open state. 可動ピンが開状態にある場合における、チャック開閉ユニットの模式的な図である。It is a schematic diagram of the chuck opening / closing unit when the movable pin is in the open state. 処理ユニットの処理対象の基板の表面を拡大して示す断面図である。It is sectional drawing which expands and shows the surface of the board | substrate of the process target of a process unit. 処理ユニットで実行される薬液処理の第1処理例について説明するための工程図である。It is process drawing for demonstrating the 1st process example of the chemical | medical solution process performed with a process unit. 第1処理例を説明するための模式図である。It is a mimetic diagram for explaining the example of the 1st processing. 図13Bに続く工程を説明するための模式図である。It is a schematic diagram for demonstrating the process following FIG. 13B. 図13Dに続く工程を説明するための模式図である。It is a schematic diagram for demonstrating the process following FIG. 13D. 図13Fに続く工程を説明するための模式図である。It is a schematic diagram for demonstrating the process following FIG. 13F. 図13Hに続く工程を説明するための模式図である。It is a schematic diagram for demonstrating the process following FIG. 13H. 第1処理例における基板の上面の状態を説明するための模式的な断面図である。It is typical sectional drawing for demonstrating the state of the upper surface of the board | substrate in the 1st process example. 基板高温化工程において、基板保持回転ユニットおよびホットプレートを水平方向から見たときの縦断面図である。It is a longitudinal cross-sectional view when a substrate holding | maintenance rotation unit and a hot plate are seen from a horizontal direction in a board | substrate high temperature process. 有機溶剤排出工程において、基板保持回転ユニットおよびホットプレートを水平方向から見たときの縦断面図である。In an organic solvent discharge process, it is a longitudinal cross-sectional view when a substrate holding | maintenance rotation unit and a hot plate are seen from a horizontal direction. 有機溶剤置換工程、基板高温化工程および有機溶剤排出工程における、IPAの吐出流量の変化、および基板の回転速度の変化を示す図である。It is a figure which shows the change of the discharge flow rate of IPA, and the change of the rotational speed of a board | substrate in an organic-solvent substitution process, a board | substrate high temperature raising process, and an organic-solvent discharge process. 本発明に係る第2処理例の最終リンス工程を説明するための模式図である。It is a schematic diagram for demonstrating the final rinse process of the 2nd process example which concerns on this invention. 本発明に係る第3処理例における、IPAの吐出流量の変化、および基板の回転速度の変化を示す図である。It is a figure which shows the change of the discharge flow volume of IPA, and the change of the rotational speed of a board | substrate in the 3rd process example which concerns on this invention. ホットプレートの第1変形例を示す図である。It is a figure which shows the 1st modification of a hotplate. ホットプレートの第2変形例を示す図である。It is a figure which shows the 2nd modification of a hotplate.

以下では、この発明の実施の形態を、添付図面を参照して詳細に説明する。
図1は、本発明の一実施形態に係る基板処理装置1の模式的な平面図である。図2は、基板処理装置1に備えられたチャンバ4の内部の縦断面図である。
図1に示すように、基板処理装置1は、半導体ウエハなどの円板状の基板Wを一枚ずつ処理する枚葉式の装置である。基板処理装置1は、処理液(有機溶剤を含む)や処理ガスによって基板Wを処理する複数の処理ユニット2と、各処理ユニット2のチャンバ4に対して基板Wの搬入および搬出を行う基板搬送ロボットCRと、基板処理装置1に備えられた装置の動作やバルブの開閉などを制御する制御装置3とを含む。
Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings.
FIG. 1 is a schematic plan view of a substrate processing apparatus 1 according to an embodiment of the present invention. FIG. 2 is a longitudinal sectional view of the inside of the chamber 4 provided in the substrate processing apparatus 1.
As shown in FIG. 1, the substrate processing apparatus 1 is a single-wafer type apparatus that processes a disk-shaped substrate W such as a semiconductor wafer one by one. The substrate processing apparatus 1 includes a plurality of processing units 2 that process a substrate W with a processing liquid (including an organic solvent) and a processing gas, and substrate transport that carries the substrate W into and out of the chamber 4 of each processing unit 2. It includes a robot CR and a control device 3 that controls the operation of the device provided in the substrate processing apparatus 1 and the opening and closing of valves.

処理ユニット2は、円形の基板Wの表面(パターン形成面)および裏面に対して、第1薬液および第2薬液を用いた薬液処理(洗浄処理、エッチング処理等)を施すための枚葉型のユニットである。各処理ユニット2は、内部空間を有する箱形のチャンバ4と、チャンバ4内で一枚の基板Wを水平な姿勢で保持しながら、基板Wの中心を通る鉛直な回転軸線A1まわりに基板Wを回転させる基板保持回転ユニット(基板保持手段)5と、基板Wを下方から加熱する基板対向面6aを有し、基板Wの下面に接触して基板Wを下方から支持するホットプレート6と、ホットプレート6を水平姿勢と、傾斜姿勢との間で姿勢変更させるホットプレート姿勢変更ユニット90(図4参照)と、基板保持回転ユニット5に保持されている基板Wに、第1薬液、第2薬液、リンス液等の処理液を供給する処理液供給ユニット7と、基板保持回転ユニット5またはホットプレート6に保持されている基板Wの上面に、リンス液よりも表面張力の低い液体の有機溶剤の一例である液体のIPA(処理液の一例)を供給する有機溶剤供給ユニット(処理液供給手段)8と、基板保持回転ユニット5およびホットプレート6を密閉状態で収容可能なカップ9とを含む。   The processing unit 2 is a single wafer type for performing chemical processing (cleaning processing, etching processing, etc.) using the first chemical liquid and the second chemical liquid on the front surface (pattern forming surface) and back surface of the circular substrate W. Is a unit. Each processing unit 2 includes a box-shaped chamber 4 having an internal space and a substrate W around a vertical rotation axis A1 passing through the center of the substrate W while holding a single substrate W in the chamber 4 in a horizontal posture. A substrate holding rotation unit (substrate holding means) 5 that rotates the substrate W, a substrate facing surface 6a that heats the substrate W from below, a hot plate 6 that contacts the lower surface of the substrate W and supports the substrate W from below, A hot plate posture changing unit 90 (see FIG. 4) that changes the posture of the hot plate 6 between a horizontal posture and an inclined posture, and a substrate W held by the substrate holding and rotating unit 5, the first chemical solution, the second A liquid having a lower surface tension than the rinsing liquid is applied to the upper surface of the processing liquid supply unit 7 for supplying a processing liquid such as a chemical liquid and a rinsing liquid and the substrate holding / rotating unit 5 or the hot plate 6. An organic solvent supply unit (processing liquid supply means) 8 for supplying liquid IPA (an example of processing liquid) which is an example of a machine solvent, and a cup 9 capable of accommodating the substrate holding and rotating unit 5 and the hot plate 6 in a sealed state including.

図3は、基板保持回転ユニット5およびホットプレート6の平面図である。図4は、図3を切断面線IV−IVで切断したときの断面図である。
図2〜図4に示すように、基板保持回転ユニット5は、基板Wよりもやや大径の外径を有する円環状の支持リング11を有している。支持リング11は、耐薬性を有する樹脂材料を用いて形成されており、基板Wの回転軸線A1と同心の回転中心を有している。支持リング11は、水平平坦状の円環状の平面からなる上面11aを有している。上面11aには、支持リング11に対して不動の複数本(たとえば6本)の固定ピン(支持ピン)10、および支持リング11に対して可動であり、固定ピン10より少ない複数本(たとえば3本)の可動ピン12がそれぞれ設けられている。
FIG. 3 is a plan view of the substrate holding and rotating unit 5 and the hot plate 6. FIG. 4 is a cross-sectional view of FIG. 3 taken along section line IV-IV.
As shown in FIGS. 2 to 4, the substrate holding and rotating unit 5 has an annular support ring 11 having an outer diameter slightly larger than that of the substrate W. The support ring 11 is formed using a resin material having chemical resistance, and has a rotation center concentric with the rotation axis A <b> 1 of the substrate W. The support ring 11 has an upper surface 11a formed of a horizontally flat annular plane. On the upper surface 11a, a plurality of (for example, six) fixing pins (supporting pins) 10 that are immovable with respect to the support ring 11 and a plurality of (for example, three) fixing pins 10 that are movable with respect to the supporting ring 11 and smaller than the fixing pins 10 Book) movable pins 12 are provided.

複数本の固定ピン10は、支持リング11の上面11aにおいて、円周方向に沿って等間隔に配置されている。複数本の可動ピン12は、支持リング11の上面11aにおいて、円周方向に沿って配置されている。複数本の可動ピン12は、複数本の固定ピン10のうち、予め定める互いに隣り合う、可動ピン12と同数(たとえば3つ)の固定ピン10に1対1対応で設けられている。各可動ピン12は、対応する固定ピン10に近接する位置に配置されており、すなわち、複数本の可動ピン12は、支持リング11の円周方向に関し、局所的に配置されている。   The plurality of fixing pins 10 are arranged at equal intervals along the circumferential direction on the upper surface 11 a of the support ring 11. The plurality of movable pins 12 are arranged along the circumferential direction on the upper surface 11 a of the support ring 11. The plurality of movable pins 12 are provided in a one-to-one correspondence with a predetermined number of the fixed pins 10 adjacent to each other in advance, the same number (for example, three) as the movable pins 12. Each movable pin 12 is disposed at a position close to the corresponding fixed pin 10, that is, the plurality of movable pins 12 are locally disposed in the circumferential direction of the support ring 11.

支持リング11には、支持リング11を回転軸線A1回りに回転させるためのリング回転ユニット13が結合されている。リング回転ユニット13は、たとえばモータとそれに付随する伝達機構等によって構成されている。
図2〜図4に示すように、ホットプレート6は、たとえばセラミックや炭化ケイ素(SiC)を用いて形成されており、円板状をなしている。ホットプレート6は、基板Wよりもやや小径の円形をなす平坦な基板対向面6aを有している。基板対向面6aは、支持リング11の内径よりも小径を有している。すなわち、ホットプレート6と基板保持回転ユニット5の支持リング11とが鉛直方向に重複していない。ホットプレート6の内部には、たとえば抵抗式のヒータ15が埋設されている。ヒータ15への通電によりヒータ15が発熱し、これにより、基板対向面6aを含むホットプレート6全体が加熱される。
The support ring 11 is coupled to a ring rotation unit 13 for rotating the support ring 11 about the rotation axis A1. The ring rotation unit 13 is constituted by, for example, a motor and a transmission mechanism associated therewith.
As shown in FIGS. 2 to 4, the hot plate 6 is formed using, for example, ceramic or silicon carbide (SiC), and has a disk shape. The hot plate 6 has a flat substrate-facing surface 6 a that has a slightly smaller diameter than the substrate W. The substrate facing surface 6 a has a smaller diameter than the inner diameter of the support ring 11. That is, the hot plate 6 and the support ring 11 of the substrate holding and rotating unit 5 do not overlap in the vertical direction. For example, a resistance heater 15 is embedded in the hot plate 6. When the heater 15 is energized, the heater 15 generates heat, whereby the entire hot plate 6 including the substrate facing surface 6a is heated.

図3に示すように、ホットプレート6の基板対向面6aには、基板Wに下方から当接支持するための略半球状の微小なエンボス61が、多数個(図3では、たとえば24個)分散配置されている。エンボス61の配置密度は、基板対向面6aの全域において略均一にされている。具体的には、回転軸線A1を中心とする第1の仮想円62上に、4個のエンボス61が等間隔で配置されている。第1の仮想円62と同心の第2の仮想円63上に、8個のエンボス61が等間隔で配置されている。第1の仮想円62と同心の第3の仮想円64上に、12個のエンボス61が等間隔で配置されている。第2および第3の仮想円63,64の直径は、第1の仮想円62の直径のそれぞれ約2倍および約3倍に設定されている。多数個のエンボス61は、互いに同等の同径を有している。   As shown in FIG. 3, the substrate-facing surface 6a of the hot plate 6 has a large number of microscopic embosses 61 that are substantially hemispherical for supporting the substrate W from below (for example, 24 in FIG. 3). Distributed. The arrangement density of the embosses 61 is substantially uniform over the entire area of the substrate facing surface 6a. Specifically, four embossments 61 are arranged at equal intervals on the first virtual circle 62 centered on the rotation axis A1. Eight embosses 61 are arranged at equal intervals on a second virtual circle 63 concentric with the first virtual circle 62. On the third virtual circle 64 concentric with the first virtual circle 62, twelve embosses 61 are arranged at equal intervals. The diameters of the second and third virtual circles 63 and 64 are set to be about twice and about three times the diameter of the first virtual circle 62, respectively. The multiple embossments 61 have the same diameter as each other.

多数個のエンボス61と基板Wの下面との当接により、基板対向面6aの上方に、基板Wが、基板対向面6aと微小間隔(次に述べる図5の高さH)を隔てて配置される。多数個のエンボス61と基板Wの下面との間に生じる摩擦力により、基板Wがホットプレート6上で支持され、この状態でヒータ15が発熱すると、基板対向面6aも発熱し、この熱が、熱輻射、基板対向面6aと基板Wとの間の空間内の流体熱伝導および多数個のエンボス61を介した伝熱により、基板Wに与えられる。これにより、多数個のエンボス61により支持されている基板Wが加熱される。   The substrate W is disposed above the substrate facing surface 6a by a small distance (height H in FIG. 5 described below) above the substrate facing surface 6a due to the abutment of the numerous embosses 61 and the lower surface of the substrate W. Is done. The substrate W is supported on the hot plate 6 by the frictional force generated between the numerous embosses 61 and the lower surface of the substrate W. When the heater 15 generates heat in this state, the substrate facing surface 6a also generates heat, and this heat is generated. , Heat radiation, fluid heat conduction in the space between the substrate facing surface 6 a and the substrate W, and heat transfer through a large number of the embosses 61, are given to the substrate W. Thereby, the substrate W supported by the numerous embosses 61 is heated.

図5は、ホットプレート6の基板対向面6aの拡大縦断面図である。
各エンボス61は、基板対向面6aに分散して形成された多数個の小溝65に嵌合した球体66の、対応する小溝65から上方にはみ出す部分により形成されている。球体66は、接着剤67により小溝65内に固定されている。
球体66は、たとえばセラミックや炭化ケイ素(SiC)等を用いて形成されている。多数個のエンボス61は、たとえば均一高さに設定されている。各エンボス61の高さHは、多数個のエンボス61によって支持されている基板Wが基板対向面6aに吸着するのを防止でき、かつ基板対向面6a上の汚染物質が当該基板Wの下面に転写しない十分な高さ(たとえば約0.1mm程度)に設定されている。
FIG. 5 is an enlarged vertical sectional view of the substrate facing surface 6 a of the hot plate 6.
Each emboss 61 is formed by a portion of a sphere 66 that fits into a large number of small grooves 65 formed in a distributed manner on the substrate facing surface 6a and protrudes upward from the corresponding small groove 65. The sphere 66 is fixed in the small groove 65 by an adhesive 67.
The sphere 66 is formed using, for example, ceramic or silicon carbide (SiC). The many embosses 61 are set to a uniform height, for example. The height H of each emboss 61 can prevent the substrate W supported by a large number of the embosses 61 from adsorbing to the substrate facing surface 6a, and contaminants on the substrate facing surface 6a can be placed on the lower surface of the substrate W. It is set to a sufficient height (for example, about 0.1 mm) that does not transfer.

したがって、基板対向面6aと間隔を隔てられた状態で基板Wが支持されるので、基板Wが基板対向面6aに吸着して基板対向面6aに貼り付くことを抑制または防止できる。また、基板対向面6aに汚染物質がある場合であっても、その汚染物質が基板W(の下面)に転写されることを抑制または防止できる。
また、基板対向面6aに分散配置されている多数個のエンボス61によって基板Wが支持されているので、基板対向面6aから基板Wへの伝熱による熱の伝わり易さを基板Wの面内で均一に保つことができるまた、基板Wにおいて反りが発生するのを抑制または防止できる。
Therefore, since the substrate W is supported in a state spaced from the substrate facing surface 6a, it is possible to suppress or prevent the substrate W from adsorbing to the substrate facing surface 6a and sticking to the substrate facing surface 6a. Further, even when there is a contaminant on the substrate facing surface 6a, it is possible to suppress or prevent the contaminant from being transferred to (the lower surface of) the substrate W.
In addition, since the substrate W is supported by a large number of embosses 61 distributed on the substrate facing surface 6a, the ease of heat transfer by heat transfer from the substrate facing surface 6a to the substrate W is determined within the surface of the substrate W. In addition, it is possible to suppress or prevent the substrate W from being warped.

なお、多数個のエンボス61は互いに均一高さでなくてもよい。たとえば、基板対向面6aの中央部のエンボス61の高さが周縁部のエンボス61の高さよりも相対的に低く、かつ基板対向面6aの周縁部のエンボス61の高さは、中央部のエンボス61の高さよりも相対的に高く設けられていてもよい。
図2および図4に示すように、ホットプレート6は、複数個(たとえば3つ)の伸縮ユニット(伸縮手段)24、およびたとえば円板状またはリング状(図2では円板状)をなす支持部材17を介して、鉛直をなすプレート保持軸14により下方から支持されている。支持部材17は、水平平坦面からなる支持面17aを有し、プレート保持軸14の上端に固定的に取り付けられている。支持部材17の支持面17aの周縁部には、複数個(たとえば3つ)の伸縮ユニット24が円周方向に等間隔に配置されている。3つの伸縮ユニット24の配置位置は、たとえば6つの固定ピン10のうち1つとばしで並置された3つの固定ピン10と、ホットプレート6の円周方向に関して揃っている。
Note that the multiple embosses 61 do not have to have a uniform height. For example, the height of the emboss 61 at the center of the substrate facing surface 6a is relatively lower than the height of the emboss 61 at the periphery, and the height of the emboss 61 at the periphery of the substrate facing surface 6a is the emboss at the center. It may be provided relatively higher than the height of 61.
As shown in FIGS. 2 and 4, the hot plate 6 includes a plurality (for example, three) of expansion / contraction units (extension / contraction means) 24 and a support that forms, for example, a disk shape or a ring shape (disk shape in FIG. 2). It is supported from below by a plate holding shaft 14 that forms a vertical position via a member 17. The support member 17 has a support surface 17 a formed of a horizontal flat surface, and is fixedly attached to the upper end of the plate holding shaft 14. A plurality (for example, three) of expansion / contraction units 24 are arranged at equal intervals in the circumferential direction on the peripheral portion of the support surface 17a of the support member 17. The arrangement positions of the three expansion / contraction units 24 are aligned with respect to the circumferential direction of the hot plate 6 and the three fixing pins 10 juxtaposed, for example, one of the six fixing pins 10.

伸縮ユニット24は、長手方向に伸縮可能な伸縮ロッドを備えたシリンダである。伸縮ユニット24の長さは、伸縮ロッドを伸縮させることにより最大縮小状態と最大拡大状態との間で連続的に調整することができる。複数の伸縮ユニット24は、伸縮ロッドの長手方向を鉛直方向に向けた姿勢で配置されている。各伸縮ユニット24は、ホットプレート6の周縁部を下方から支持している。複数の伸縮ユニット24は、それぞれ同一の諸元を有している。そのため、複数の伸縮ユニット24は、最大縮小状態における長さが同一である。各伸縮ユニット24には、各伸縮ロッドを長手方向に伸縮させる駆動流体を供給する伸縮駆動ユニット(伸縮駆動手段)25が結合されている。この実施形態では、伸縮ユニット24および伸縮駆動ユニット25がそれぞれ別部材により設けられているが、電磁アクチュエータ等の単体の部材で伸縮ユニット24を構成してもよい。この実施形態では、支持部材17と、伸縮ユニット24と、伸縮駆動ユニット25とによって、ホットプレート姿勢変更ユニット(ホットプレート姿勢変更手段)90が構成されている。   The expansion / contraction unit 24 is a cylinder provided with an expansion / contraction rod that can expand and contract in the longitudinal direction. The length of the telescopic unit 24 can be continuously adjusted between the maximum reduced state and the maximum enlarged state by extending and contracting the telescopic rod. The plurality of telescopic units 24 are arranged in a posture in which the longitudinal direction of the telescopic rod is oriented in the vertical direction. Each extendable unit 24 supports the peripheral edge of the hot plate 6 from below. The plurality of extendable units 24 have the same specifications. Therefore, the plurality of expansion / contraction units 24 have the same length in the maximum reduced state. Each expansion / contraction unit 24 is coupled with an expansion / contraction drive unit (extension / contraction drive means) 25 for supplying a driving fluid for extending / contracting each expansion / contraction rod in the longitudinal direction. In this embodiment, the expansion / contraction unit 24 and the expansion / contraction drive unit 25 are provided as separate members, but the expansion / contraction unit 24 may be constituted by a single member such as an electromagnetic actuator. In this embodiment, the support member 17, the expansion / contraction unit 24, and the expansion / contraction drive unit 25 constitute a hot plate posture changing unit (hot plate posture changing means) 90.

図4に示す通常状態では、全ての伸縮ユニット24が最大縮小状態に保たれており、そのため、全ての伸縮ユニット24が同等の長さを有している。これにより、ホットプレート6が水平姿勢に保たれている。この状態では、ホットプレート6の基板対向面6aが水平面をなしている。なお、後述するように基板対向面6aには基板Wが一時的に載置されることがあるが、基板Wを基板対向面6aに一時的に載置しても前記のエンボス61の摩擦力の働きにより、基板Wは移動せず、静止状態にある。   In the normal state shown in FIG. 4, all the expansion units 24 are kept in the maximum contracted state, and therefore all the expansion units 24 have the same length. Thereby, the hot plate 6 is maintained in a horizontal posture. In this state, the substrate facing surface 6a of the hot plate 6 forms a horizontal plane. As will be described later, the substrate W may be temporarily placed on the substrate facing surface 6a. However, even if the substrate W is temporarily placed on the substrate facing surface 6a, the frictional force of the emboss 61 described above. Due to the action of the substrate W, the substrate W does not move and is in a stationary state.

図4に示すようなホットプレート6の水平姿勢から、後述する図16のように、3つの伸縮ユニット24のうち所定の1つの伸縮ユニット24の長さをそのままとしながら、他の2つの伸縮ユニット24の長さを、それまでよりも長くすることにより、ホットプレート6が傾斜姿勢になる。これにより、簡単な構成により、ホットプレート6を、水平姿勢と傾斜姿勢との間で姿勢変更できる。   From the horizontal posture of the hot plate 6 as shown in FIG. 4, while keeping the length of one predetermined expansion unit 24 out of the three expansion units 24 as shown in FIG. By making the length of 24 longer than before, the hot plate 6 is inclined. Thereby, the posture of the hot plate 6 can be changed between a horizontal posture and an inclined posture with a simple configuration.

プレート保持軸14は、鉛直方向に沿って延びている。プレート保持軸14は中空軸となっていて、プレート保持軸14の内部には、ヒータ15への給電線(図示しない)が挿通されていると共に、下配管18が挿通されている。
下配管18は、支持部材17の中央部をその厚み方向に貫通する第1の貫通穴55、およびホットプレート6の中央部をその厚み方向に貫通する第2の貫通穴19をそれぞれ介して、ホットプレート6の基板対向面6aの中央部で開口する下吐出口20に連通している。下配管18は、少なくとも下吐出口20に近い部分がフレキシブルパイプによって構成されている。下配管18には、第1薬液下バルブ21、第2薬液下バルブ22およびリンス液下バルブ23を介して、第1薬液の一例であるフッ酸、第2薬液の一例であるSC1(ammonia-hydrogen peroxide mixture:アンモニア過酸化水素水混合液)およびリンス液が、選択的に供給されるようになっている。リンス液は、たとえば、純水(脱イオン水:Deionzied Water)である。リンス液は、純水に限らず、炭酸水、電解イオン水、水素水、オゾン水、および希釈濃度(たとえば、10〜100ppm程度)の塩酸水のいずれかであってもよい。下配管18に供給された第1および第2薬液ならびにリンス液は、第2の貫通穴19の内部を通って下吐出口20から上方に向けて吐出される。
The plate holding shaft 14 extends along the vertical direction. The plate holding shaft 14 is a hollow shaft. A power supply line (not shown) to the heater 15 is inserted into the plate holding shaft 14 and a lower pipe 18 is inserted.
The lower pipe 18 passes through a first through hole 55 that penetrates the central part of the support member 17 in the thickness direction, and a second through hole 19 that penetrates the central part of the hot plate 6 in the thickness direction, respectively. The hot plate 6 communicates with the lower discharge port 20 opened at the center of the substrate facing surface 6a. The lower pipe 18 is formed of a flexible pipe at least at a portion close to the lower discharge port 20. The lower pipe 18 is supplied with a hydrofluoric acid, which is an example of the first chemical liquid, and an SC1 (ammonia-), which is an example of the second chemical liquid, via the first chemical liquid lower valve 21, the second chemical liquid lower valve 22, and the rinse liquid lower valve 23. hydrogen peroxide mixture) and a rinsing solution are selectively supplied. The rinse liquid is, for example, pure water (deionized water). The rinse liquid is not limited to pure water, but may be any of carbonated water, electrolytic ion water, hydrogen water, ozone water, and hydrochloric acid water having a diluted concentration (for example, about 10 to 100 ppm). The first and second chemical liquids and the rinse liquid supplied to the lower pipe 18 are discharged upward from the lower discharge port 20 through the inside of the second through hole 19.

具体的には、第2薬液下バルブ22およびリンス液下バルブ23が閉じられた状態で第1薬液下バルブ21が開かれると、下吐出口20から第1薬液が上方に向けて吐出される。基板保持回転ユニット5に基板Wが保持されている場合には、基板Wの下面中央部に第1薬液が供給される。
同様に、第1薬液下バルブ21およびリンス液下バルブ23が閉じられた状態で第2薬液下バルブ22が開かれると、下吐出口20から第2薬液が上方に向けて吐出される。基板保持回転ユニット5に基板Wが保持されている場合には、基板Wの下面中央部に第2薬液が供給される。
Specifically, when the first chemical liquid lower valve 21 is opened with the second chemical liquid lower valve 22 and the rinse liquid lower valve 23 closed, the first chemical liquid is discharged upward from the lower discharge port 20. . When the substrate W is held by the substrate holding / rotating unit 5, the first chemical solution is supplied to the central portion of the lower surface of the substrate W.
Similarly, when the second chemical liquid lower valve 22 is opened in a state where the first chemical liquid lower valve 21 and the rinse liquid lower valve 23 are closed, the second chemical liquid is discharged upward from the lower discharge port 20. When the substrate W is held by the substrate holding and rotating unit 5, the second chemical liquid is supplied to the lower surface center portion of the substrate W.

また、第1薬液下バルブ21および第2薬液下バルブ22が閉じられた状態でリンス液下バルブ23が開かれると、下吐出口20からリンス液が上方に向けて吐出される。基板保持回転ユニット5に基板Wが保持されている場合には、基板Wの下面中央部にリンス液が供給される。
図2〜図4で示すように下吐出口20を1つのみ設ける場合には、各処理液間で吐出口を共有するが、下吐出口20が複数の吐出口を有してもよく、この場合には、処理液の種類毎に吐出口が設けられてもよい。
Further, when the rinse liquid lower valve 23 is opened in a state where the first chemical liquid lower valve 21 and the second chemical liquid lower valve 22 are closed, the rinse liquid is discharged upward from the lower discharge port 20. When the substrate W is held by the substrate holding / rotating unit 5, the rinsing liquid is supplied to the center of the lower surface of the substrate W.
In the case where only one lower discharge port 20 is provided as shown in FIGS. 2 to 4, the discharge ports are shared among the processing liquids, but the lower discharge port 20 may have a plurality of discharge ports, In this case, a discharge port may be provided for each type of processing liquid.

プレート保持軸14には、プレート保持軸14を昇降させるためのプレート昇降ユニット16(図2参照)が結合されている。プレート昇降ユニット16は、たとえばボールねじやモータを含む。プレート昇降ユニット16の駆動によるプレート保持軸14の昇降により、プレート保持軸14、複数個の伸縮ユニット24、支持部材17およびホットプレート6が一体的に昇降させられる。プレート昇降ユニット16の駆動により、ホットプレート6は、基板保持回転ユニット5に保持される基板Wの下面よりも、下方に大きく離間する下位置(図13A等に示す位置。少なくとも、ホットプレート6の基板対向面6aが基板保持回転ユニット5に保持される基板Wの下面よりも下方となる高さ位置。ホットプレート6が常時ONとされる場合には、基板Wの下面がホットプレート6によって大きく加熱されないような高さ位置。)と、基板対向面6aが基板保持回転ユニット5に保持される基板Wの下面よりもやや上方に位置する上位置(図13G等に示す位置)との間で昇降させられる。前述のように、ホットプレート6と基板保持回転ユニット5の支持リング11とが鉛直方向に重複していないので、ホットプレート6の昇降時に、ホットプレート6および基板保持回転ユニット5は互いに干渉しない。   A plate lifting / lowering unit 16 (see FIG. 2) for lifting and lowering the plate holding shaft 14 is coupled to the plate holding shaft 14. The plate lifting / lowering unit 16 includes, for example, a ball screw and a motor. The plate holding shaft 14, the plurality of extendable units 24, the support member 17, and the hot plate 6 are moved up and down integrally by raising and lowering the plate holding shaft 14 by driving the plate lifting unit 16. Due to the driving of the plate lifting / lowering unit 16, the hot plate 6 is moved to a lower position (a position shown in FIG. 13A and the like at least below the lower surface of the substrate W held by the substrate holding / rotating unit 5. The height position where the substrate facing surface 6a is below the lower surface of the substrate W held by the substrate holding and rotating unit 5. When the hot plate 6 is always ON, the lower surface of the substrate W is made larger by the hot plate 6. And a position where the substrate facing surface 6a is positioned slightly above the lower surface of the substrate W held by the substrate holding / rotating unit 5 (position shown in FIG. 13G and the like). Can be raised and lowered. As described above, since the hot plate 6 and the support ring 11 of the substrate holding and rotating unit 5 do not overlap in the vertical direction, the hot plate 6 and the substrate holding and rotating unit 5 do not interfere with each other when the hot plate 6 moves up and down.

図2に示すように、処理液供給ユニット7は、第1薬液を吐出する第1薬液ノズル26と、第2薬液を吐出する第2薬液ノズル27と、リンス液を吐出するリンス液ノズル28とを含む。第1薬液ノズル26、第2薬液ノズル27およびリンス液ノズル28は、その吐出口を下方に向けた状態で、ほぼ水平に延びるアーム29の先端に取り付けられている。アーム29は所定の回転軸線まわりに揺動可能に設けられている。第1薬液ノズル26、第2薬液ノズル27およびリンス液ノズル28は、アーム29の揺動方向に関し揃っている。アーム29には、アーム29を所定角度範囲内で揺動させるためのアーム揺動ユニット30が結合されている。アーム29の揺動により、プレート保持軸14は、基板保持回転ユニット5またはホットプレート6に保持されている基板Wの中央部上と、カップ9外に設定されたホームポジションとの間を移動させられる。   As shown in FIG. 2, the processing liquid supply unit 7 includes a first chemical liquid nozzle 26 that discharges a first chemical liquid, a second chemical liquid nozzle 27 that discharges a second chemical liquid, and a rinse liquid nozzle 28 that discharges a rinse liquid. including. The first chemical liquid nozzle 26, the second chemical liquid nozzle 27, and the rinsing liquid nozzle 28 are attached to the tips of arms 29 that extend substantially horizontally with their discharge ports facing downward. The arm 29 is provided so as to be swingable around a predetermined rotation axis. The first chemical liquid nozzle 26, the second chemical liquid nozzle 27, and the rinse liquid nozzle 28 are aligned in the swinging direction of the arm 29. The arm 29 is coupled to an arm swing unit 30 for swinging the arm 29 within a predetermined angle range. As the arm 29 swings, the plate holding shaft 14 moves between the center position of the substrate W held by the substrate holding / rotating unit 5 or the hot plate 6 and the home position set outside the cup 9. It is done.

図2に示すように、第1薬液ノズル26は、たとえば、連続流の状態で第1薬液の一例であるフッ酸を下方に向けて吐出するストレートノズルである。第1薬液ノズル26には、第1薬液供給源からの第1薬液の供給通路となる第1薬液配管31が接続されている。第1薬液配管31には、第1薬液の供給を開閉するための第1薬液バルブ32が介装されている。第1薬液バルブ32が開かれると、第1薬液配管31から第1薬液ノズル26に第1薬液が供給され、また、第1薬液バルブ32が閉じられると、第1薬液配管31から第1薬液ノズル26への第1薬液の供給が停止される。   As shown in FIG. 2, the 1st chemical | medical solution nozzle 26 is a straight nozzle which discharges the hydrofluoric acid which is an example of a 1st chemical | medical solution downward, for example in the state of a continuous flow. Connected to the first chemical liquid nozzle 26 is a first chemical liquid pipe 31 serving as a supply path for the first chemical liquid from the first chemical liquid supply source. The first chemical liquid pipe 31 is provided with a first chemical liquid valve 32 for opening and closing the supply of the first chemical liquid. When the first chemical liquid valve 32 is opened, the first chemical liquid is supplied from the first chemical liquid pipe 31 to the first chemical liquid nozzle 26, and when the first chemical liquid valve 32 is closed, the first chemical liquid pipe 31 is connected to the first chemical liquid pipe 31. Supply of the 1st chemical | medical solution to the nozzle 26 is stopped.

図2に示すように、第2薬液ノズル27は、たとえば、連続流の状態で第2薬液の一例であるSC1を下方に向けて吐出するストレートノズルである。第2薬液ノズル27には、第2薬液供給源からの第2薬液の供給通路となる第2薬液配管33が接続されている。第2薬液配管33には、第2薬液の供給を開閉するための第2薬液バルブ34が介装されている。第2薬液バルブ34が開かれると、第2薬液配管33から第2薬液ノズル27に第2薬液が供給され、また、第2薬液バルブ34が閉じられると、第2薬液配管33から第2薬液ノズル27への第2薬液の供給が停止される。   As shown in FIG. 2, the 2nd chemical | medical solution nozzle 27 is a straight nozzle which discharges SC1 which is an example of a 2nd chemical | medical solution downward, for example in the state of a continuous flow. The second chemical liquid nozzle 27 is connected to a second chemical liquid pipe 33 serving as a second chemical liquid supply passage from the second chemical liquid supply source. The second chemical liquid pipe 33 is provided with a second chemical liquid valve 34 for opening and closing the supply of the second chemical liquid. When the second chemical liquid valve 34 is opened, the second chemical liquid is supplied from the second chemical liquid pipe 33 to the second chemical liquid nozzle 27, and when the second chemical liquid valve 34 is closed, the second chemical liquid pipe 33 is supplied with the second chemical liquid pipe 33. Supply of the 2nd chemical | medical solution to the nozzle 27 is stopped.

図2に示すように、リンス液ノズル28は、たとえば、連続流の状態でリンス液を下方に向けて吐出するストレートノズルである。リンス液ノズル28には、リンス液供給源からのリンス液の供給通路となるリンス液配管35が接続されている。リンス液配管35には、リンス液の供給を開閉するためのリンス液バルブ36が介装されている。リンス液バルブ36が開かれると、リンス液配管35からリンス液ノズル28にリンス液が供給され、また、リンス液バルブ36が閉じられると、リンス液配管35からリンス液ノズル28へのリンス液の供給が停止される。   As shown in FIG. 2, the rinse liquid nozzle 28 is, for example, a straight nozzle that discharges the rinse liquid downward in a continuous flow state. A rinsing liquid pipe 35 serving as a rinsing liquid supply passage from a rinsing liquid supply source is connected to the rinsing liquid nozzle 28. A rinse liquid valve 36 for opening and closing the supply of the rinse liquid is interposed in the rinse liquid pipe 35. When the rinse liquid valve 36 is opened, the rinse liquid is supplied from the rinse liquid pipe 35 to the rinse liquid nozzle 28, and when the rinse liquid valve 36 is closed, the rinse liquid from the rinse liquid pipe 35 to the rinse liquid nozzle 28 is supplied. Supply is stopped.

なお、図2では、第1および第2薬液ノズル26,27ならびにリンス液ノズル28を1つのアーム29に配置する場合を示しているが、複数のアーム29にノズル26,27,28を1つずつ配置する構成を採用してもよい。
図2に示すように、カップ9は、基板保持回転ユニット5およびホットプレート6を収容する下カップ37と、下カップ37の開口38を閉塞するための蓋部材39とを備えている。蓋部材39が下カップ37の開口38を閉塞することで、内部に密閉空間を有する密閉カップが形成される。
FIG. 2 shows the case where the first and second chemical liquid nozzles 26 and 27 and the rinse liquid nozzle 28 are arranged on one arm 29, but one nozzle 26, 27, and 28 are arranged on a plurality of arms 29. You may employ | adopt the structure arrange | positioned one by one.
As shown in FIG. 2, the cup 9 includes a lower cup 37 that accommodates the substrate holding and rotating unit 5 and the hot plate 6, and a lid member 39 that closes the opening 38 of the lower cup 37. The lid member 39 closes the opening 38 of the lower cup 37, whereby a sealed cup having a sealed space inside is formed.

下カップ37は、略円筒容器状をなし、上面に円形の開口38を有している。下カップ37は略円板状の底壁部40と、底壁部40から上方に立ち上がる周壁部41とを一体的に備えている。周壁部41は、回転軸線A1を中心とする円筒状に形成されている。周壁部41は円環状の上端面41aを有している。底壁部40の上面には、廃液路(図示しない)の一端が接続されている。廃液路の他端は、機外の図示しない廃液設備に接続されている。   The lower cup 37 has a substantially cylindrical container shape, and has a circular opening 38 on the upper surface. The lower cup 37 is integrally provided with a substantially disc-shaped bottom wall portion 40 and a peripheral wall portion 41 that rises upward from the bottom wall portion 40. The peripheral wall 41 is formed in a cylindrical shape centered on the rotation axis A1. The peripheral wall portion 41 has an annular upper end surface 41a. One end of a waste liquid path (not shown) is connected to the upper surface of the bottom wall portion 40. The other end of the waste liquid path is connected to a waste liquid facility (not shown) outside the machine.

周壁部41の周囲には、基板保持回転ユニット5またはホットプレート6に保持された基板Wから飛び散る処理液を捕獲するための捕獲カップ(図示しない)が配設され、当該捕獲カップは機外の図示しない廃液設備に接続されている。プレート保持軸14と底壁部40の中心部との間は、円環状のシール部材43によってシールされている。
蓋部材39は、下カップ37の上方において、ほぼ水平な姿勢で、かつその中心が基板Wの回転軸線A1上に位置するように配置されている。蓋部材39には、蓋昇降ユニット54が結合されている。蓋昇降ユニット54は、たとえばボールねじやモータを含む。蓋昇降ユニット54の駆動により、蓋部材39は、下カップ37の開口38を閉塞する蓋閉位置と、下カップ37よりも上方に退避して下カップ37の開口38を開放する蓋開位置との間で昇降させられる。蓋部材39の下面には、その中央部39aと周縁部39cとを除く領域に、蓋部材39と同心の円筒状の上環状溝39bが形成されている。
Around the peripheral wall portion 41, a capture cup (not shown) for capturing the processing liquid splashing from the substrate W held on the substrate holding / rotating unit 5 or the hot plate 6 is disposed. It is connected to a waste liquid facility (not shown). A space between the plate holding shaft 14 and the center portion of the bottom wall portion 40 is sealed by an annular seal member 43.
The lid member 39 is disposed above the lower cup 37 in a substantially horizontal posture and the center thereof is positioned on the rotation axis A1 of the substrate W. A lid raising / lowering unit 54 is coupled to the lid member 39. The lid lifting / lowering unit 54 includes, for example, a ball screw and a motor. By driving the lid lifting / lowering unit 54, the lid member 39 closes the lid closed position for closing the opening 38 of the lower cup 37, and the lid open position for retracting above the lower cup 37 to open the opening 38 of the lower cup 37. Can be raised and lowered between. On the lower surface of the lid member 39, a cylindrical upper annular groove 39b concentric with the lid member 39 is formed in an area excluding the central portion 39a and the peripheral edge portion 39c.

蓋部材39の下面の中央部39aは、円形の水平平坦面を有している。蓋部材39の下面の中央部39aは、基板保持回転ユニット5に保持された基板Wの上面の中央部、またはホットプレート6に保持された基板Wの上面の中央部に対向している。
蓋部材39の下面の周縁部39cには、シール環53が全周に亘って設けられている。シール環53は、たとえば樹脂弾性材料を用いて形成されている。蓋部材39が蓋閉位置にある状態では、蓋部材39の下面の周縁部39cに配置されたシール環53が、その円周方向全域で下カップ37の上端面41aに当接し、蓋部材39と下カップ37との間がシールされる。
The central portion 39a of the lower surface of the lid member 39 has a circular horizontal flat surface. The center portion 39 a of the lower surface of the lid member 39 faces the center portion of the upper surface of the substrate W held by the substrate holding and rotating unit 5 or the center portion of the upper surface of the substrate W held by the hot plate 6.
A seal ring 53 is provided on the peripheral edge 39 c of the lower surface of the lid member 39 over the entire circumference. The seal ring 53 is formed using, for example, a resin elastic material. In a state in which the lid member 39 is in the lid closed position, the seal ring 53 disposed on the peripheral edge portion 39c of the lower surface of the lid member 39 abuts on the upper end surface 41a of the lower cup 37 in the entire circumferential direction, and the lid member 39 And the lower cup 37 are sealed.

図2に示すように、蓋部材39の中央部39aには、リンス液上配管44、有機溶剤上配管45および窒素ガス上配管46が、鉛直方向に延びて隣接して挿通している。
リンス液上配管44の下端は、蓋部材39の下面の中央部39aで開口し、リンス液吐出口47を形成している。リンス液上配管44の上端には、リンス液供給源が接続されている。リンス液上配管44には、リンス液がリンス液供給源から供給される。リンス液上配管44には、リンス液の供給を開閉するためのリンス液上バルブ48が介装されている。有機溶剤上配管45の下端は、蓋部材39の下面の中央部39aで開口し、有機溶剤吐出口49を形成している。有機溶剤上配管45の上端には、有機溶剤供給源が接続されている。有機溶剤上配管45には、液体のIPAがIPA供給源から供給される。有機溶剤上配管45には、液体のIPAの供給を開閉するための有機溶剤バルブ50が介装されている。有機溶剤上配管45および有機溶剤バルブ50によって、有機溶剤供給ユニット8が構成されている。
As shown in FIG. 2, a rinse liquid upper pipe 44, an organic solvent upper pipe 45, and a nitrogen gas upper pipe 46 extend in the vertical direction and are inserted adjacently into the central portion 39 a of the lid member 39.
The lower end of the rinsing liquid upper pipe 44 is opened at the central portion 39 a of the lower surface of the lid member 39 to form a rinsing liquid discharge port 47. A rinse liquid supply source is connected to the upper end of the rinse liquid upper pipe 44. A rinse liquid is supplied to the rinse liquid upper pipe 44 from a rinse liquid supply source. A rinse liquid upper valve 48 for opening and closing the supply of the rinse liquid is interposed in the rinse liquid upper pipe 44. The lower end of the organic solvent upper pipe 45 is opened at the central portion 39 a of the lower surface of the lid member 39 to form an organic solvent discharge port 49. An organic solvent supply source is connected to the upper end of the organic solvent upper pipe 45. Liquid IPA is supplied to the organic solvent upper pipe 45 from an IPA supply source. The organic solvent upper pipe 45 is provided with an organic solvent valve 50 for opening and closing the supply of liquid IPA. An organic solvent supply unit 8 is constituted by the organic solvent upper pipe 45 and the organic solvent valve 50.

窒素ガス上配管46の下端は、蓋部材39の下面の中央部39aで開口し、不活性ガスの一例としての窒素ガス(N)を吐出するための窒素ガス吐出口51を形成している。窒素ガス上配管46の上端には窒素ガス供給源が接続されている。窒素ガス供給源からは、窒素ガス上配管46を窒素ガス供給通路として窒素ガス吐出口51に窒素ガスが供給される。窒素ガス上配管46には、窒素ガスの供給を開閉するための窒素ガスバルブ52が介装されている。 The lower end of the nitrogen gas upper pipe 46 is opened at the central portion 39a on the lower surface of the lid member 39 to form a nitrogen gas discharge port 51 for discharging nitrogen gas (N 2 ) as an example of an inert gas. . A nitrogen gas supply source is connected to the upper end of the nitrogen gas upper pipe 46. Nitrogen gas is supplied from the nitrogen gas supply source to the nitrogen gas discharge port 51 using the nitrogen gas upper pipe 46 as a nitrogen gas supply passage. The nitrogen gas upper pipe 46 is provided with a nitrogen gas valve 52 for opening and closing the supply of nitrogen gas.

図6は、固定ピン10の構成を模式的に示す断面図である。図3を用いて前記したように、複数本の固定ピン10は、支持リング11の上面11aに円周方向に沿って等間隔に配置されている。図6に図解されている通り、各固定ピン10は、支持リング11に結合された第1の下軸部71と、第1の下軸部71の上端に一体的に形成された第1の上軸部72とを含む。第1の下軸部71および第1の上軸部72は、それぞれ円柱形状に形成されている。第1の上軸部72は、第1の下軸部71の中心軸線から偏心して設けられている。第1の下軸部71の第1の上軸部72に連結される部分には、下方向に向かうに従って次第に大径となるテーパ面73が形成されている。   FIG. 6 is a cross-sectional view schematically showing the configuration of the fixing pin 10. As described above with reference to FIG. 3, the plurality of fixing pins 10 are arranged on the upper surface 11 a of the support ring 11 at equal intervals along the circumferential direction. As illustrated in FIG. 6, each fixing pin 10 includes a first lower shaft portion 71 coupled to the support ring 11, and a first lower portion integrally formed with the upper end of the first lower shaft portion 71. And an upper shaft portion 72. The first lower shaft portion 71 and the first upper shaft portion 72 are each formed in a cylindrical shape. The first upper shaft portion 72 is provided eccentric from the central axis of the first lower shaft portion 71. A portion of the first lower shaft portion 71 connected to the first upper shaft portion 72 is formed with a tapered surface 73 that gradually becomes larger in diameter as it goes downward.

図7は、可動ピン12、および可動ピン12の周辺の構成を模式的に示す断面図である。各可動ピン12は、回転軸線A2まわりに回転可能に支持リング11に結合された鉛直方向に延びる第2の下軸部74と、中心軸線が回転軸線A2から偏心した状態で第2の下軸部74に固定された第2の上軸部75とを含む。第2の上軸部75は、基板Wの周端に当接可能な円筒面75aを有している。第2の下軸部74の回転により、第2の上軸部75の円筒面75aは、基板Wの回転軸線A1(図2参照)から離れた開放位置と、回転軸線A1に近づいた保持位置との間で変位する。各可動ピン12は、チャック開閉ユニット76を含む。チャック開閉ユニット76は、第2の上軸部75の位置を開放位置と保持位置との間で変位させることにより、基板Wの挟持を開閉する。   FIG. 7 is a cross-sectional view schematically showing the movable pin 12 and the configuration around the movable pin 12. Each movable pin 12 includes a second lower shaft portion 74 extending in the vertical direction coupled to the support ring 11 so as to be rotatable around the rotation axis A2, and a second lower shaft in a state where the center axis is eccentric from the rotation axis A2. And a second upper shaft portion 75 fixed to the portion 74. The second upper shaft portion 75 has a cylindrical surface 75 a that can come into contact with the peripheral end of the substrate W. Due to the rotation of the second lower shaft portion 74, the cylindrical surface 75a of the second upper shaft portion 75 is moved away from the rotation axis A1 (see FIG. 2) of the substrate W, and the holding position approaches the rotation axis A1. It is displaced between. Each movable pin 12 includes a chuck opening / closing unit 76. The chuck opening / closing unit 76 opens and closes the holding of the substrate W by displacing the position of the second upper shaft portion 75 between the opening position and the holding position.

図6に示すように、複数の固定ピン10によって基板Wが下方から支持されている状態では、各固定ピン10のテーパ面73に基板Wの周端が当接している。この状態において、複数の可動ピン12の第2の上軸部75の位置が開放位置から、図7に示すような保持位置に変位される。各第2の上軸部75が開放位置から保持位置に変位されると、円筒面75aが基板Wの周端に当接すると共に、当接している基板Wの周端を基板Wの内方に向けて押し込む。これにより、当該当接している基板Wの周端と回転軸線A1を挟んだ反対側の基板Wの周端が、当該可動ピン12と回転軸線A1を挟んだ反対側に位置する固定ピン10の第1の上軸部72に押し当てられる。このように、複数の可動ピン12の第2の上軸部75が開放位置から保持位置に変位させられることにより、複数の可動ピン12が挟持状態になり、これにより、複数の固定ピン10および複数の可動ピン12によって基板Wが水平姿勢に挟持される。   As shown in FIG. 6, in a state where the substrate W is supported from below by the plurality of fixing pins 10, the peripheral end of the substrate W is in contact with the tapered surface 73 of each fixing pin 10. In this state, the position of the second upper shaft portion 75 of the plurality of movable pins 12 is displaced from the open position to a holding position as shown in FIG. When each second upper shaft portion 75 is displaced from the open position to the holding position, the cylindrical surface 75a comes into contact with the peripheral end of the substrate W, and the peripheral end of the substrate W in contact is inward of the substrate W. Push in. As a result, the peripheral end of the substrate W that is in contact with the peripheral end of the substrate W on the opposite side across the rotation axis A1 is positioned on the opposite side of the fixed pin 10 that sandwiches the movable pin 12 and the rotation axis A1. It is pressed against the first upper shaft portion 72. As described above, the second upper shaft portions 75 of the plurality of movable pins 12 are displaced from the open position to the holding position, whereby the plurality of movable pins 12 are held, and thereby the plurality of fixed pins 10 and The substrate W is held in a horizontal posture by the plurality of movable pins 12.

なお、円筒面75aで基板Wの周端を押し付ける構成でなく、回転軸線A1側に向きかつ水平方向に開くV溝が、円筒面75aに形成されており、当該V溝を構成する上下のテーパ面が基板Wの周端に当接することにより基板Wを挟持する構成を採用してもよい。
図8〜図10は、チャック開閉ユニット76の動きを示す模式的な図である。図8〜図10では、図7を切断面線VIII−VIIIで切断した状態を示す。図7〜図10を参照して、チャック開閉ユニット76の構成について説明する。
The cylindrical surface 75a is not configured to press the peripheral edge of the substrate W, but a V-groove that opens toward the rotation axis A1 and opens in the horizontal direction is formed in the cylindrical surface 75a. A configuration in which the substrate W is sandwiched by the surface abutting on the peripheral end of the substrate W may be employed.
8 to 10 are schematic views showing the movement of the chuck opening / closing unit 76. FIG. 8 to 10 show a state where FIG. 7 is cut along a cutting plane line VIII-VIII. The configuration of the chuck opening / closing unit 76 will be described with reference to FIGS.

チャック開閉ユニット76は、駆動用永久磁石77と、ピン側永久磁石78と、操作リング79と、操作レバー80と、レバー操作ユニット81とを含む。
駆動用永久磁石77は、支持リング11の上面11aの可動ピン12の第2の下軸部74の外側において、たとえば、磁極方向を基板保持回転ユニット5の回転半径方向に沿う方向に向けた状態で、上面11aに固定的に配置されている。具体的には、駆動用永久磁石77は、この実施形態では、基板保持回転ユニット5の回転半径方向の内方にN極を有し、回転半径方向の外方にS極を有するように配置されている。
The chuck opening / closing unit 76 includes a driving permanent magnet 77, a pin-side permanent magnet 78, an operation ring 79, an operation lever 80, and a lever operation unit 81.
The driving permanent magnet 77 is, for example, a state in which the magnetic pole direction is directed in the direction along the rotational radius direction of the substrate holding and rotating unit 5 on the outer side of the second lower shaft portion 74 of the movable pin 12 on the upper surface 11a of the support ring 11. Thus, it is fixedly arranged on the upper surface 11a. Specifically, in this embodiment, the driving permanent magnet 77 has an N pole on the inner side in the rotational radius direction of the substrate holding and rotating unit 5 and an S pole on the outer side in the rotational radius direction. Has been.

ピン側永久磁石78は、厚肉の円環状体または円筒体状をなしている。ピン側永久磁石78は、その中心が可動ピン12の回転軸線A2に一致した状態で、第2の下軸部74の途中部に外嵌固定されている。ピン側永久磁石78には、N極に着磁されたN極性部82と、S極に着磁されたS極性部83とが、円周方向に関して異なる位置に設けられている。この実施形態では、S極性部83は、N極性部82に対し、回転軸線A2を中心として平面視で反時計回りにたとえば約90°ずれている。操作リング79は、その中心が可動ピン12の回転軸線A2に一致した状態で、ピン側永久磁石78の上方で第2の下軸部74に外嵌固定されている。操作リング79は、円柱状部84と、円柱状部84の側壁における180°ずつ異なる2つの位置から、回転半径方向の外方に向けて張り出す先尖状の一対の張り出し片85とを含む。一対の張り出し片85の一方が、操作レバーと当接して操作される***作片86として機能する。操作リング79の***作片86は、円周方向に関して、ピン側永久磁石78のN極性部82と揃っている。操作リング79は、ピン側永久磁石78と同伴して回転可能に設けられている。   The pin-side permanent magnet 78 has a thick annular shape or cylindrical shape. The pin-side permanent magnet 78 is externally fitted and fixed to the middle portion of the second lower shaft portion 74 in a state where the center thereof coincides with the rotation axis A <b> 2 of the movable pin 12. The pin-side permanent magnet 78 has an N-polar part 82 magnetized at the N pole and an S-polar part 83 magnetized at the S pole at different positions in the circumferential direction. In this embodiment, the S polar part 83 is offset from the N polar part 82 by, for example, about 90 ° counterclockwise in plan view with the rotation axis A2 as the center. The operation ring 79 is externally fitted and fixed to the second lower shaft portion 74 above the pin-side permanent magnet 78 in a state where the center of the operation ring 79 coincides with the rotation axis A <b> 2 of the movable pin 12. The operation ring 79 includes a columnar portion 84 and a pair of pointed protruding pieces 85 protruding outward in the rotational radial direction from two positions that differ by 180 ° on the side wall of the columnar portion 84. . One of the pair of overhanging pieces 85 functions as an operated piece 86 that is operated in contact with the operation lever. The operated piece 86 of the operation ring 79 is aligned with the N-polar part 82 of the pin-side permanent magnet 78 in the circumferential direction. The operation ring 79 is rotatably provided along with the pin side permanent magnet 78.

ピン側永久磁石78は、外周面が駆動用永久磁石77のN極性に対向するように配置されている。ピン側永久磁石78が第2の下軸部74に固定されているので、ピン側永久磁石78の外周面のうち駆動用永久磁石77のN極性に対向する部分が、第2の下軸部74の回転によって変化する。
操作レバー80は、たとえば棒状の先端部80aを有し、全体として線形状に設けられている。操作レバー80は、水平方向に沿う所定の方向に沿ってスライド移動可能に設けられている。操作レバー80の移動に伴って、操作レバー80の先端部80aが回転軸線A2回りに回動する。操作レバー80は、ホットプレート6の下方空間を、ホットプレート6の半径方向の外方に向けて、ホットプレート6の下面に近接しながら延びている。ホットプレート6の下面には凹凸が形成されているのであるが、操作レバー80は、ホットプレート6の下面に当らないように、ホットプレート6の下面形状に応じたクランク状をなしている。
The pin-side permanent magnet 78 is arranged so that the outer peripheral surface faces the N polarity of the driving permanent magnet 77. Since the pin-side permanent magnet 78 is fixed to the second lower shaft portion 74, the portion of the outer peripheral surface of the pin-side permanent magnet 78 that faces the N polarity of the driving permanent magnet 77 is the second lower shaft portion. It changes with the rotation of 74.
The operation lever 80 has, for example, a rod-shaped tip 80a, and is provided in a linear shape as a whole. The operation lever 80 is provided so as to be slidable along a predetermined direction along the horizontal direction. With the movement of the operation lever 80, the distal end portion 80a of the operation lever 80 rotates about the rotation axis A2. The operation lever 80 extends while approaching the lower surface of the hot plate 6 with the space below the hot plate 6 facing outward in the radial direction of the hot plate 6. Although unevenness is formed on the lower surface of the hot plate 6, the operation lever 80 has a crank shape corresponding to the lower surface shape of the hot plate 6 so as not to hit the lower surface of the hot plate 6.

操作レバー80には、シリンダ等によって構成されるレバー操作ユニット81が結合されている。レバー操作ユニット81の駆動により、操作レバー80は、先端部80aが***作片86の側方に退避する退避位置(図8に示す位置)と、後述する解除位置(図10に示す位置)との間で、水平方向にスライド移動させられる。
図8には、可動ピン12が挟持状態にある場合を示し、図9には、可動ピン12が挟持状態から開状態に遷移中である場合を示す。図10には、可動ピン12が開状態にある場合を示す。図8に示す可動ピン12の挟持状態では、第2の上軸部75(図7参照)が保持位置(図7および図8に示す位置)に配置される。また、図10に示す可動ピン12の開状態では、第2の上軸部75が開放位置(図10に示す位置)に配置される。
A lever operation unit 81 composed of a cylinder or the like is coupled to the operation lever 80. By driving the lever operation unit 81, the operation lever 80 has a retracted position (a position shown in FIG. 8) where the distal end portion 80a is retracted to the side of the operated piece 86, and a release position (a position shown in FIG. 10) described later. Are slid in the horizontal direction.
FIG. 8 shows a case where the movable pin 12 is in the clamping state, and FIG. 9 shows a case where the movable pin 12 is in the transition from the clamping state to the open state. FIG. 10 shows a case where the movable pin 12 is in an open state. In the clamped state of the movable pin 12 shown in FIG. 8, the second upper shaft portion 75 (see FIG. 7) is disposed at the holding position (position shown in FIGS. 7 and 8). Further, in the open state of the movable pin 12 shown in FIG. 10, the second upper shaft portion 75 is disposed at the open position (position shown in FIG. 10).

図8〜図10に示すように、可動ピン12の挟持状態では、駆動用永久磁石77のN極性と、ピン側永久磁石78のS極性部83とが対向し、可動ピン12の開状態では、駆動用永久磁石77のN極性と、ピン側永久磁石78のN極性部82とが対向する。第2の上軸部75(図7参照)の開放位置(図10に示す位置)は、第2の上軸部75の保持位置(図7および図8に示す位置)から、回転軸線A2を中心として平面視で反時計回りに約90°回転した位置である。   As shown in FIGS. 8 to 10, when the movable pin 12 is held, the N polarity of the driving permanent magnet 77 and the S polarity portion 83 of the pin side permanent magnet 78 face each other, and when the movable pin 12 is in the open state. The N polarity of the driving permanent magnet 77 and the N polarity portion 82 of the pin-side permanent magnet 78 face each other. The open position (the position shown in FIG. 10) of the second upper shaft portion 75 (see FIG. 7) is the rotational axis A2 from the holding position (the position shown in FIGS. 7 and 8) of the second upper shaft portion 75. This is a position rotated about 90 ° counterclockwise in plan view as the center.

図8に示す可動ピン12の挟持状態では、前述のように、駆動用永久磁石77のN極性と、ピン側永久磁石78のS極性部83とが対向している。この場合、ピン側永久磁石78において駆動用永久磁石77に対向する部分の極性が、駆動用永久磁石77の径方向内側の磁極と異なる極性である。そのため、駆動用永久磁石77は、ピン側永久磁石78に対して、径方向に沿う吸引磁力を作用させる。したがって、可動ピン12の挟持状態では、ピン側永久磁石78は、N極性部82が駆動用永久磁石77に対向する姿勢に保持され、これにより、第2の上軸部75が保持位置(図7および図8に示す位置)のまま保持される。可動ピン12の挟持状態では、レバー操作ユニット81より、操作レバー80は退避位置(図8に示す位置)に退避させられている。   In the clamping state of the movable pin 12 shown in FIG. 8, the N polarity of the driving permanent magnet 77 and the S polarity portion 83 of the pin side permanent magnet 78 face each other as described above. In this case, the polarity of the portion of the pin-side permanent magnet 78 that faces the driving permanent magnet 77 is different from that of the magnetic pole on the radially inner side of the driving permanent magnet 77. Therefore, the driving permanent magnet 77 causes an attractive magnetic force along the radial direction to act on the pin-side permanent magnet 78. Therefore, in the clamped state of the movable pin 12, the pin-side permanent magnet 78 is held in a posture in which the N-polar portion 82 faces the driving permanent magnet 77, whereby the second upper shaft portion 75 is held at the holding position (see FIG. 7 and the position shown in FIG. In the clamped state of the movable pin 12, the operating lever 80 is retracted to the retracted position (position shown in FIG. 8) by the lever operating unit 81.

可動ピン12を図8に示す挟持状態から図10に示す開状態に遷移させる際には、図9に示すように、レバー操作ユニット81により操作レバー80が移動されて、操作レバー80の先端部80aが***作片86に当接させられる。***作片86への当接後も、操作ユニット81による操作レバー80の移動は続行させられ、その先端部80が、***作片86との当接状態を保ちながら、回転軸線A2を中心として平面視で反時計回りに回動する。これにより、駆動用永久磁石77とピン側永久磁石78との間の吸引磁力に抗って、***作片86が回転軸線A2回りに回動し、***作片86の回動に同伴して、第2の下軸部74および第2の上軸部75が回転軸線A2回りに回転する。操作レバー80が解除位置(図10に示す位置)まで移動されたとき、第2の上軸部75(図7参照)が開放位置(図10に示す位置)へと変位し、これにより、可動ピン12が開状態になる。   When the movable pin 12 is transitioned from the clamping state shown in FIG. 8 to the open state shown in FIG. 10, the operating lever 80 is moved by the lever operating unit 81 as shown in FIG. 80 a is brought into contact with the operated piece 86. Even after the contact with the operated piece 86, the operation lever 81 continues to move by the operation unit 81, and the distal end portion 80 is kept in contact with the operated piece 86 while being centered on the rotation axis A <b> 2. It rotates counterclockwise in plan view. As a result, the operated piece 86 rotates about the rotation axis A2 against the attractive magnetic force between the driving permanent magnet 77 and the pin-side permanent magnet 78, and is accompanied by the rotation of the operated piece 86. The second lower shaft portion 74 and the second upper shaft portion 75 rotate around the rotation axis A2. When the operation lever 80 is moved to the release position (the position shown in FIG. 10), the second upper shaft portion 75 (see FIG. 7) is displaced to the open position (the position shown in FIG. 10). The pin 12 is opened.

図10に示すように、可動ピン12の開状態では、前述のように、駆動用永久磁石77のN極性と、ピン側永久磁石78のN極性部82とが対向している。また、操作ユニット81により、操作レバー80が解除位置に保持されている。この場合、ピン側永久磁石78において駆動用永久磁石77に対向する部分の極性が、駆動用永久磁石77の径方向内側の磁極と同じ極性である。この状態において、駆動用永久磁石77は、ピン側永久磁石78に対して、円周方向向きの反発磁力を作用させる。しかしながら、解除位置に保たれている操作レバー80が***作片86と係合しているので、第2の上軸部75や***作片86が回動しない。そのため、第2の上軸部75(図7参照)が開放位置(図10に示す位置)のまま保持される。   As shown in FIG. 10, in the open state of the movable pin 12, the N polarity of the driving permanent magnet 77 and the N polarity portion 82 of the pin side permanent magnet 78 face each other as described above. Further, the operation lever 80 is held at the release position by the operation unit 81. In this case, the polarity of the portion of the pin-side permanent magnet 78 that faces the driving permanent magnet 77 is the same as that of the magnetic pole on the radially inner side of the driving permanent magnet 77. In this state, the driving permanent magnet 77 causes a repulsive magnetic force in the circumferential direction to act on the pin-side permanent magnet 78. However, since the operation lever 80 maintained at the release position is engaged with the operated piece 86, the second upper shaft portion 75 and the operated piece 86 do not rotate. Therefore, the second upper shaft portion 75 (see FIG. 7) is held in the open position (position shown in FIG. 10).

可動ピン12を図10に示す開状態から図8に示す挟持状態に遷移させる際には、レバー操作ユニット81により操作レバー80が移動されて、操作レバー80が退避位置(図8に示す位置)に戻される。前述のように、第2の上軸部75(図7参照)が開放位置(図10に示す位置)にある状態では、駆動用永久磁石77とピン側永久磁石78との間に反発磁力が作用しており、より具体的には、ピン側永久磁石78には、平面視で時計回りに向かう力が作用している。したがって、操作レバー80が退避位置(図8に示す位置)に戻されることにより、操作レバー80の先端部80aと***作片86との係合が解除されると、ピン側永久磁石78は、平面視で時計回りに回転する。これにより、第2の上軸部75(図7参照)が開放位置(図10に示す位置)から保持位置(図7および図8に示す位置)へと変位し、これにより、可動ピン12が挟持状態になる。   When the movable pin 12 is changed from the open state shown in FIG. 10 to the sandwiched state shown in FIG. 8, the operation lever 80 is moved by the lever operation unit 81, and the operation lever 80 is retracted (position shown in FIG. 8). Returned to As described above, when the second upper shaft portion 75 (see FIG. 7) is in the open position (the position shown in FIG. 10), there is a repulsive magnetic force between the driving permanent magnet 77 and the pin-side permanent magnet 78. More specifically, a force directed clockwise in plan view is applied to the pin-side permanent magnet 78. Therefore, when the engagement between the distal end portion 80a of the operation lever 80 and the operated piece 86 is released by returning the operation lever 80 to the retracted position (position shown in FIG. 8), the pin-side permanent magnet 78 is Rotates clockwise in plan view. Thereby, the second upper shaft portion 75 (see FIG. 7) is displaced from the open position (position shown in FIG. 10) to the holding position (position shown in FIGS. 7 and 8), whereby the movable pin 12 is moved. It will be pinched.

なお、駆動用永久磁石77が、回転半径方向の内方にS極を有し、回転半径方向の外方にN極を有するように配置されてもよい。
また、前述の説明では、第2の上軸部75(図7参照)が開放位置(図10に示す位置)にある状態で、駆動用永久磁石77とピン側永久磁石78との間に反発磁力が作用し、第2の上軸部75が保持位置(図7および図8に示す位置)にある状態で、駆動用永久磁石77とピン側永久磁石78との間に吸引磁力が作用する場合を例に挙げて説明した。しかしながら、第2の上軸部75が開放位置にある状態で、駆動用永久磁石77とピン側永久磁石78との間に吸引磁力が作用し、第2の上軸部75が保持位置にある状態で、駆動用永久磁石77とピン側永久磁石78との間に反発磁力が作用するように構成されていてもよい。
The driving permanent magnet 77 may be arranged so as to have an S pole inward in the rotational radial direction and an N pole outward in the rotational radial direction.
Further, in the above description, the second upper shaft portion 75 (see FIG. 7) is repelled between the driving permanent magnet 77 and the pin-side permanent magnet 78 with the second upper shaft portion 75 (see FIG. 7) in the open position (the position shown in FIG. 10). A magnetic force acts, and an attractive magnetic force acts between the driving permanent magnet 77 and the pin-side permanent magnet 78 in a state where the second upper shaft portion 75 is in the holding position (position shown in FIGS. 7 and 8). The case has been described as an example. However, in the state where the second upper shaft portion 75 is in the open position, an attractive magnetic force acts between the driving permanent magnet 77 and the pin-side permanent magnet 78, and the second upper shaft portion 75 is in the holding position. In the state, a repulsive magnetic force may act between the driving permanent magnet 77 and the pin-side permanent magnet 78.

図1に示す制御装置3は、たとえばマイクロコンピュータなどによって構成されている。制御装置3は、予め定められたプログラムに従って、リング回転ユニット13、伸縮駆動ユニット25、プレート昇降ユニット16、アーム揺動ユニット30、蓋昇降ユニット54、チャック開閉ユニット76、レバー操作ユニット81等の動作を制御する。また、制御装置3は、ヒータ15に供給される電力を調整する。さらに、制御装置3は、第1薬液下バルブ21、第2薬液下バルブ22、リンス液下バルブ23、第1薬液バルブ32、第2薬液バルブ34、リンス液バルブ36、リンス液上バルブ48、有機溶剤バルブ50、窒素ガスバルブ52等の開閉を制御する。   The control device 3 shown in FIG. 1 is configured by, for example, a microcomputer. The control device 3 operates the ring rotation unit 13, the telescopic drive unit 25, the plate lifting / lowering unit 16, the arm swinging unit 30, the lid lifting / lowering unit 54, the chuck opening / closing unit 76, the lever operation unit 81, etc. according to a predetermined program. To control. Further, the control device 3 adjusts the power supplied to the heater 15. Further, the control device 3 includes a first chemical liquid lower valve 21, a second chemical liquid lower valve 22, a rinse liquid lower valve 23, a first chemical liquid valve 32, a second chemical liquid valve 34, a rinse liquid valve 36, a rinse liquid upper valve 48, The opening and closing of the organic solvent valve 50, the nitrogen gas valve 52, etc. are controlled.

図11は、処理ユニット2の処理対象の基板Wの表面を拡大して示す断面図である。処理対象の基板Wは、たとえばシリコンウエハであり、そのパターン形成面である表面(上面100)に微細パターン101が形成されている。微細パターン101は、図11に示すように、凸形状(柱状)を有する構造体102が行列状に配置されたものであってもよい。この場合、構造体102の線幅W1はたとえば10nm〜45nm程度に、微細パターン101の隙間W2はたとえば10nm〜数μm程度に、それぞれ設けられている。   FIG. 11 is an enlarged cross-sectional view illustrating the surface of the substrate W to be processed of the processing unit 2. The substrate W to be processed is, for example, a silicon wafer, and a fine pattern 101 is formed on the surface (upper surface 100) that is a pattern forming surface thereof. As shown in FIG. 11, the fine pattern 101 may be a structure in which structures 102 having convex shapes (columnar shapes) are arranged in a matrix. In this case, the line width W1 of the structural body 102 is set to about 10 nm to 45 nm, for example, and the gap W2 of the fine pattern 101 is set to about 10 nm to about several μm, for example.

また、微細パターン101は、微細なトレンチにより形成されたライン状のパターンが、繰り返し並ぶものであってもよい。
また、微細パターン101は、薄膜に、複数の微細孔(ボイド(void)またはポア(pore))を設けることにより形成されていてもよい。
微細パターン101は、たとえば絶縁膜を含む。また、微細パターン101は、導体膜を含んでいてもよい。より具体的には、微細パターン101は、複数の膜を積層した積層膜により形成されており、さらには、絶縁膜と導体膜とを含んでいてもよい。微細パターン101は、単層膜で構成されるパターンであってもよい。絶縁膜は、シリコン酸化膜(SiO膜)やシリコン窒化膜(SiN膜)であってもよい。また、導体膜は、低抵抗化のための不純物を導入したアモルファスシリコン膜であってもよいし、金属膜(たとえば金属配線膜)であってもよい。
Further, the fine pattern 101 may be a pattern in which a line pattern formed by fine trenches is repeatedly arranged.
Further, the fine pattern 101 may be formed by providing a plurality of fine holes (voids or pores) in the thin film.
The fine pattern 101 includes, for example, an insulating film. Further, the fine pattern 101 may include a conductor film. More specifically, the fine pattern 101 is formed of a laminated film in which a plurality of films are laminated, and may further include an insulating film and a conductor film. The fine pattern 101 may be a pattern composed of a single layer film. The insulating film may be a silicon oxide film (SiO 2 film) or a silicon nitride film (SiN film). The conductor film may be an amorphous silicon film into which impurities for reducing resistance are introduced, or may be a metal film (for example, a metal wiring film).

また、微細パターン101の膜厚Tは、たとえば、50nm〜5μm程度である。また、微細パターン101は、たとえば、アスペクト比(線幅W1に対する膜厚Tの比)が、たとえば、5〜500程度であってもよい(典型的には、5〜50程度である)。
図12は、処理ユニット2で実行される薬液処理の第1処理例について説明するための工程図である。図13A−13Iは、第1処理例を説明するための模式図である。図14A−14Dは、第1処理例における基板Wの上面の状態を説明するための模式的な断面図である。図15および図16は、基板保持回転ユニット5およびホットプレート6を水平方向から見たときの縦断面図である。図15には、基板高温化工程(S10)時を示し、図16には、有機溶剤排出工程(S11)時を示す。図17は、有機溶剤置換工程(S9)、基板高温化工程(S10)および有機溶剤排出工程(S11)における、IPAの吐出流量の変化、および基板Wの回転速度の変化を示す図である。
The film thickness T of the fine pattern 101 is, for example, about 50 nm to 5 μm. The fine pattern 101 may have, for example, an aspect ratio (ratio of the film thickness T to the line width W1) of, for example, about 5 to 500 (typically about 5 to 50).
FIG. 12 is a process diagram for explaining a first processing example of the chemical processing performed in the processing unit 2. 13A-13I are schematic diagrams for explaining the first processing example. 14A-14D are schematic cross-sectional views for explaining the state of the upper surface of the substrate W in the first processing example. 15 and 16 are longitudinal sectional views of the substrate holding and rotating unit 5 and the hot plate 6 when viewed from the horizontal direction. FIG. 15 shows the substrate high temperature process (S10), and FIG. 16 shows the organic solvent discharge process (S11). FIG. 17 is a diagram showing changes in the IPA discharge flow rate and changes in the rotation speed of the substrate W in the organic solvent replacement step (S9), the substrate high temperature step (S10), and the organic solvent discharge step (S11).

以下、図1および図2を参照する。図11〜図17については適宜参照する。なお、以下の説明における「基板Wの表面(上面)」は、基板W自体の表面(上面)および微細パターン101の表面(上面)を含む。
処理ユニット2によって基板Wが処理されるときには、チャンバ4内に未処理の基板Wを搬入する基板搬入工程(図12のステップS1)が行われる。基板搬入工程(S1)に先立って、制御装置3は、ヒータ15をオン(通電状態)にしておき、ホットプレート6を、基板保持回転ユニット5による基板Wの保持位置から下方に大きく退避した下位置に配置し、かつ全てのノズルを基板保持回転ユニット5の上方から退避させる。また、全ての可動ピン12を開放状態にさせる。
In the following, reference is made to FIG. 1 and FIG. Reference is made appropriately to FIGS. The “surface (upper surface) of the substrate W” in the following description includes the surface (upper surface) of the substrate W itself and the surface (upper surface) of the fine pattern 101.
When the substrate W is processed by the processing unit 2, a substrate carry-in process (step S1 in FIG. 12) for carrying an unprocessed substrate W into the chamber 4 is performed. Prior to the substrate carrying-in process (S1), the control device 3 turns on the heater 15 (energized state) and retreats the hot plate 6 from the holding position of the substrate W by the substrate holding and rotating unit 5 to the lower side. All the nozzles are retracted from above the substrate holding and rotating unit 5. Further, all the movable pins 12 are opened.

基板搬入工程(S1)では、制御装置3は、基板Wを保持している基板搬送ロボットCR(図1参照)のハンドをチャンバ4内に進入させ、基板搬送ロボットCRに、パターン形成面(表面)を上方に向けた状態で、基板保持回転ユニット5に基板Wを引き渡させる。基板保持回転ユニット5に受けられた基板Wは、複数本の固定ピン10によって下方から支持され、その後、制御装置3は、複数本の可動ピン12をいずれも挟持状態にさせる。これにより、図13Aに示すように、複数本(たとえば、6本)の固定ピン10および複数本(たとえば、3本)の可動ピン12によって基板Wが水平姿勢に挟持される(図13Aでは、固定ピン10のみを図示)。制御装置3は、基板保持回転ユニット5に基板Wを引き渡した後、基板搬送ロボットCRのハンドをチャンバ4内から退避させる。   In the substrate carrying-in process (S1), the control device 3 causes the hand of the substrate transport robot CR (see FIG. 1) holding the substrate W to enter the chamber 4, and causes the substrate transport robot CR to move to the pattern forming surface (surface). ) Is directed upward, and the substrate W is delivered to the substrate holding and rotating unit 5. The substrate W received by the substrate holding and rotating unit 5 is supported from below by the plurality of fixed pins 10, and then the control device 3 puts the plurality of movable pins 12 in a sandwiched state. As a result, as shown in FIG. 13A, the substrate W is held in a horizontal posture by a plurality of (for example, six) fixed pins 10 and a plurality of (for example, three) movable pins 12 (in FIG. 13A, Only the fixing pin 10 is shown). After delivering the substrate W to the substrate holding and rotating unit 5, the control device 3 retracts the hand of the substrate transport robot CR from the chamber 4.

複数本の固定ピン10および複数本の可動ピン12によって基板Wが挟持されると、制御装置3は、リング回転ユニット13を制御して、基板Wの回転を開始させる。基板Wは予め定める液処理回転速度v2(図17参照。たとえば300〜500rpm程度)まで上昇され、その液処理回転速度v2に維持される。
なお、基板搬入工程(S1)からヒータ15がオン状態とされ、それゆえホットプレート6が発熱状態とされているのであるが、下位置にあるホットプレート6と基板保持回転ユニット5に保持されている基板Wとの間の間隔が十分に大きいため、ホットプレート6からの熱が基板Wに十分に届かない。そのため、基板保持回転ユニット5に保持されている基板Wは、加熱されない。
When the substrate W is sandwiched between the plurality of fixed pins 10 and the plurality of movable pins 12, the control device 3 controls the ring rotation unit 13 to start the rotation of the substrate W. The substrate W is raised to a predetermined liquid processing rotation speed v2 (see FIG. 17, for example, about 300 to 500 rpm) and maintained at the liquid processing rotation speed v2.
The heater 15 is turned on from the substrate carrying-in step (S1), and thus the hot plate 6 is in a heat generating state, but is held by the hot plate 6 and the substrate holding / rotating unit 5 in the lower position. Since the distance from the substrate W is sufficiently large, the heat from the hot plate 6 does not reach the substrate W sufficiently. Therefore, the substrate W held by the substrate holding / rotating unit 5 is not heated.

次いで、第1薬液を基板Wに供給する第1薬液工程(図12のステップS2)が行われる。
具体的には、図13Bに示すように、制御装置3は、アーム揺動ユニット30を制御することにより、アーム29をホームポジションから揺動させ、第1薬液ノズル26を退避位置から基板W上に移動させる。これにより、第1薬液ノズル26が処理位置(基板Wの上方の、基板Wの回転軸線A1上の処理位置)に配置される。第1薬液ノズル26が処理位置に配置された後、制御装置3は、第2薬液バルブ34およびリンス液バルブ36を閉じつつ第1薬液バルブ32を開く。これにより、第1薬液ノズル26の吐出口から第1薬液が吐出される。また、制御装置3は、第2薬液下バルブ22およびリンス液下バルブ23を閉じつつ第1薬液下バルブ21を開く。これにより、下吐出口20から第1薬液が上方に向けて吐出される。
Next, a first chemical liquid process (step S2 in FIG. 12) for supplying the first chemical liquid to the substrate W is performed.
Specifically, as shown in FIG. 13B, the control device 3 controls the arm swing unit 30 to swing the arm 29 from the home position and move the first chemical solution nozzle 26 from the retracted position onto the substrate W. Move to. Accordingly, the first chemical liquid nozzle 26 is disposed at the processing position (the processing position on the rotation axis A1 of the substrate W above the substrate W). After the first chemical liquid nozzle 26 is disposed at the processing position, the control device 3 opens the first chemical liquid valve 32 while closing the second chemical liquid valve 34 and the rinse liquid valve 36. Thereby, the first chemical liquid is discharged from the discharge port of the first chemical liquid nozzle 26. Further, the control device 3 opens the first chemical lower valve 21 while closing the second chemical lower valve 22 and the rinse liquid lower valve 23. As a result, the first chemical liquid is discharged upward from the lower discharge port 20.

基板Wの上面の中央部に供給された第1薬液は、基板Wの回転による遠心力を受けて、基板Wの上面を基板Wの周縁部に向けて流れる。一方、基板Wの下面の中央部に供給された第1薬液は、基板Wの回転による遠心力を受けて、基板Wの下面を伝って基板Wの周縁部に向けて流れる。これにより、基板Wの上面全域および下面全域に第1薬液が供給され、基板Wの上下面の全域に第1薬液による処理が施される。基板Wの上下面に供給された第1薬液は、基板Wの周縁部から基板Wの側方に向けて飛散する。基板Wの上下面の周縁部から飛散する第1薬液は、下カップ37の周壁部41の内壁に受け止められ、この内壁を伝って下カップ37の底部に溜められる。下カップ37の底部に溜められた第1薬液は、廃液路(図示しない)を介して、機外の廃液設備(図示しない)に送られ、そこで処理される。廃液設備ではなく、回収設備に送られ、そこで再利用されるようになっていてもよい。   The first chemical liquid supplied to the central portion of the upper surface of the substrate W receives a centrifugal force due to the rotation of the substrate W and flows toward the peripheral edge of the substrate W on the upper surface of the substrate W. On the other hand, the first chemical liquid supplied to the central portion of the lower surface of the substrate W receives a centrifugal force due to the rotation of the substrate W, and flows toward the peripheral portion of the substrate W along the lower surface of the substrate W. Thereby, the first chemical liquid is supplied to the entire upper surface and the lower surface of the substrate W, and the entire upper and lower surfaces of the substrate W are processed by the first chemical solution. The first chemical liquid supplied to the upper and lower surfaces of the substrate W is scattered from the peripheral edge of the substrate W toward the side of the substrate W. The first chemical liquid that scatters from the peripheral edge portions of the upper and lower surfaces of the substrate W is received by the inner wall of the peripheral wall portion 41 of the lower cup 37 and is accumulated on the bottom portion of the lower cup 37 along this inner wall. The first chemical stored in the bottom of the lower cup 37 is sent to a waste liquid facility (not shown) outside the apparatus via a waste liquid path (not shown) and processed there. Instead of the waste liquid facility, it may be sent to the recovery facility and reused there.

第1薬液の吐出開始から、予め定める時間が経過すると、制御装置3は、第1薬液バルブ32および第1薬液下バルブ25を閉じて、第1薬液ノズル26および下吐出口20からの第1薬液の吐出を停止する。
次いで、基板Wから第1薬液を除去するための第1のリンス工程(図12のステップS3)が行われる。
When a predetermined time has elapsed from the start of the discharge of the first chemical liquid, the control device 3 closes the first chemical liquid valve 32 and the first chemical liquid lower valve 25, and the first chemical liquid nozzle 26 and the first discharge from the lower discharge port 20. Stop dispensing chemicals.
Next, a first rinsing step (step S3 in FIG. 12) for removing the first chemical solution from the substrate W is performed.

具体的には、図13Cに示すように、制御装置3は、アーム揺動ユニット30を制御することによりアーム29を揺動させ、リンス液ノズル28を処理位置に配置させる。リンス液ノズル28が処理位置に配置された後、制御装置3は、第1薬液バルブ32および第2薬液バルブ34を閉じつつリンス液バルブ36を開く。これにより、リンス液ノズル28の吐出口からリンス液が吐出される。また、制御装置3は、第1薬液下バルブ21および第2薬液下バルブ22を閉じつつリンス液下バルブ23を開く。これにより、下吐出口20からリンス液が上方に向けて吐出される。   Specifically, as shown in FIG. 13C, the control device 3 controls the arm swing unit 30 to swing the arm 29 and arrange the rinse liquid nozzle 28 at the processing position. After the rinse liquid nozzle 28 is disposed at the processing position, the control device 3 opens the rinse liquid valve 36 while closing the first chemical liquid valve 32 and the second chemical liquid valve 34. Thereby, the rinse liquid is discharged from the discharge port of the rinse liquid nozzle 28. Further, the control device 3 opens the rinsing liquid lower valve 23 while closing the first chemical lower valve 21 and the second chemical lower valve 22. Accordingly, the rinse liquid is discharged upward from the lower discharge port 20.

基板Wの上面の中央部に供給されたリンス液は、基板Wの回転による遠心力を受けて、基板Wの上面を基板Wの周縁部に向けて流れる。一方、基板Wの下面の中央部に供給されたリンス液は、基板Wの回転による遠心力を受けて、基板Wの下面を伝って基板Wの周縁部に向けて流れる。これにより、基板Wの上面全域および下面全域にリンス液が供給され、基板Wの上下面に付着している第1薬液が洗い流される。基板Wの上下面に供給されたリンス液は、基板Wの周縁部から基板Wの側方に向けて飛散する。   The rinse liquid supplied to the central portion of the upper surface of the substrate W receives a centrifugal force due to the rotation of the substrate W and flows toward the peripheral portion of the substrate W on the upper surface of the substrate W. On the other hand, the rinsing liquid supplied to the central portion of the lower surface of the substrate W receives a centrifugal force due to the rotation of the substrate W and flows along the lower surface of the substrate W toward the peripheral portion of the substrate W. Accordingly, the rinsing liquid is supplied to the entire upper surface and the lower surface of the substrate W, and the first chemical liquid adhering to the upper and lower surfaces of the substrate W is washed away. The rinse liquid supplied to the upper and lower surfaces of the substrate W is scattered from the peripheral edge of the substrate W toward the side of the substrate W.

基板Wの上下面の周縁部から飛散するリンス液は、下カップ37の周壁部41の内壁に受け止められ、この内壁を伝って下カップ37の底部に溜められる。下カップ37の底部に溜められた第リンス液は、廃液路(図示しない)を介して、機外の廃液設備(図示しない)に送られ、そこで処理される。
リンス液の吐出開始から、予め定める時間が経過すると、制御装置3は、リンス液バルブ36およびリンス液下バルブ23を閉じて、リンス液ノズル28および下吐出口20からのリンス液の吐出を停止する。
The rinse liquid splashed from the peripheral portions of the upper and lower surfaces of the substrate W is received by the inner wall of the peripheral wall portion 41 of the lower cup 37 and is accumulated on the bottom portion of the lower cup 37 along the inner wall. The first rinse liquid collected at the bottom of the lower cup 37 is sent to a waste liquid facility (not shown) outside the apparatus through a waste liquid path (not shown) and processed there.
When a predetermined time has elapsed from the start of the discharge of the rinse liquid, the control device 3 closes the rinse liquid valve 36 and the rinse liquid lower valve 23 and stops the discharge of the rinse liquid from the rinse liquid nozzle 28 and the lower discharge port 20. To do.

次いで、第2薬液を基板Wに供給する第2薬液工程(図12のステップS4)が行われる。
具体的には、図13Dに示すように、制御装置3は、アーム揺動ユニット30を制御することによりアーム29を揺動させ、第2薬液ノズル27を処理位置に配置させる。第2薬液ノズル27が処理位置に配置された後、制御装置3は、第1薬液バルブ32およびリンス液バルブ36を閉じつつ第2薬液バルブ34を開く。これにより、第2薬液ノズル27の吐出口から第2薬液が吐出される。また、制御装置3は、第1薬液下バルブ21およびリンス液下バルブ23を閉じつつ第2薬液下バルブ22を開く。これにより、下吐出口20から第2薬液が上方に向けて吐出される。
Next, a second chemical liquid process (step S4 in FIG. 12) for supplying the second chemical liquid to the substrate W is performed.
Specifically, as shown in FIG. 13D, the control device 3 controls the arm swing unit 30 to swing the arm 29 and arrange the second chemical solution nozzle 27 at the processing position. After the second chemical liquid nozzle 27 is disposed at the processing position, the control device 3 opens the second chemical liquid valve 34 while closing the first chemical liquid valve 32 and the rinse liquid valve 36. Thereby, the second chemical liquid is discharged from the discharge port of the second chemical liquid nozzle 27. The control device 3 opens the second chemical lower valve 22 while closing the first chemical lower valve 21 and the rinse liquid lower valve 23. As a result, the second chemical liquid is discharged upward from the lower discharge port 20.

基板Wの上面の中央部に供給された第2薬液は、基板Wの回転による遠心力を受けて、基板Wの上面を基板Wの周縁部に向けて流れる。一方、基板Wの下面の中央部に供給された第2薬液は、基板Wの回転による遠心力を受けて、基板Wの下面を伝って基板Wの周縁部に向けて流れる。これにより、基板Wの上面全域および下面全域に第2薬液が供給され、基板Wの上下面の全域に第2薬液による処理が施される。基板Wの上下面に供給された第2薬液は、基板Wの周縁部から基板Wの側方に向けて飛散する。   The second chemical liquid supplied to the central portion of the upper surface of the substrate W receives a centrifugal force due to the rotation of the substrate W and flows toward the peripheral portion of the substrate W on the upper surface of the substrate W. On the other hand, the second chemical liquid supplied to the central portion of the lower surface of the substrate W receives a centrifugal force due to the rotation of the substrate W and flows toward the peripheral portion of the substrate W along the lower surface of the substrate W. As a result, the second chemical liquid is supplied to the entire upper surface and the lower surface of the substrate W, and the entire upper and lower surfaces of the substrate W are processed by the second chemical liquid. The second chemical solution supplied to the upper and lower surfaces of the substrate W is scattered from the peripheral edge of the substrate W toward the side of the substrate W.

基板Wの上下面の周縁部から飛散する第2薬液は、下カップ37の周壁部41の内壁に受け止められ、この内壁を伝って下カップ37の底部に溜められる。下カップ37の底部に溜められた第2薬液は、廃液路(図示しない)を介して、機外の廃液設備(図示しない)に送られ、そこで処理される。廃液設備ではなく、回収設備に送られ、そこで再利用されるようになっていてもよい。   The second chemical liquid scattered from the peripheral edge portions of the upper and lower surfaces of the substrate W is received by the inner wall of the peripheral wall portion 41 of the lower cup 37 and is accumulated on the bottom portion of the lower cup 37 along the inner wall. The second chemical stored in the bottom of the lower cup 37 is sent to a waste liquid facility (not shown) outside the apparatus via a waste liquid path (not shown) and processed there. Instead of the waste liquid facility, it may be sent to the recovery facility and reused there.

第2薬液の吐出開始から、予め定める時間が経過すると、制御装置3は、第2薬液バルブ34および第2薬液下バルブ22を閉じて、第2薬液ノズル27および下吐出口20からの第2薬液の吐出を停止する。
次いで、基板Wから第2薬液を除去するための第2のリンス工程(図12のステップS5。図13Cを再度参照)が行われる。
When a predetermined time has elapsed from the start of the discharge of the second chemical liquid, the control device 3 closes the second chemical liquid valve 34 and the second chemical liquid lower valve 22, and the second chemical liquid nozzle 27 and the second discharge port 20 from the second chemical liquid outlet 20. Stop dispensing chemicals.
Next, a second rinsing process for removing the second chemical solution from the substrate W (step S5 in FIG. 12, refer to FIG. 13C again) is performed.

具体的には、制御装置3は、アーム揺動ユニット30を制御することによりアーム29を揺動させ、リンス液ノズル28を処理位置に配置させる。リンス液ノズル28が処理位置に配置された後、制御装置3は、第1薬液バルブ32および第2薬液バルブ34を閉じつつリンス液バルブ36を開く。これにより、リンス液ノズル28の吐出口からリンス液が吐出される。また、制御装置3は、第1薬液下バルブ21および第2薬液下バルブ22を閉じつつリンス液下バルブ23を開く。これにより、下吐出口20からリンス液が上方に向けて吐出される。   Specifically, the control device 3 controls the arm swing unit 30 to swing the arm 29 and arrange the rinse liquid nozzle 28 at the processing position. After the rinse liquid nozzle 28 is disposed at the processing position, the control device 3 opens the rinse liquid valve 36 while closing the first chemical liquid valve 32 and the second chemical liquid valve 34. Thereby, the rinse liquid is discharged from the discharge port of the rinse liquid nozzle 28. Further, the control device 3 opens the rinsing liquid lower valve 23 while closing the first chemical lower valve 21 and the second chemical lower valve 22. Accordingly, the rinse liquid is discharged upward from the lower discharge port 20.

基板Wの上面の中央部に供給されたリンス液は、基板Wの回転による遠心力を受けて、基板Wの上面を基板Wの周縁部に向けて流れる。一方、基板Wの下面の中央部に供給されたリンス液は、基板Wの回転による遠心力を受けて、基板Wの下面を伝って基板Wの周縁部に向けて流れる。これにより、基板Wの上面全域および下面全域にリンス液が供給され、基板Wの上下面に付着している第2薬液が洗い流される。基板Wの上下面に供給されたリンス液は、基板Wの周縁部から基板Wの側方に向けて飛散する。   The rinse liquid supplied to the central portion of the upper surface of the substrate W receives a centrifugal force due to the rotation of the substrate W and flows toward the peripheral portion of the substrate W on the upper surface of the substrate W. On the other hand, the rinsing liquid supplied to the central portion of the lower surface of the substrate W receives a centrifugal force due to the rotation of the substrate W and flows along the lower surface of the substrate W toward the peripheral portion of the substrate W. As a result, the rinsing liquid is supplied to the entire upper surface and lower surface of the substrate W, and the second chemical liquid adhering to the upper and lower surfaces of the substrate W is washed away. The rinse liquid supplied to the upper and lower surfaces of the substrate W is scattered from the peripheral edge of the substrate W toward the side of the substrate W.

リンス液の吐出開始から、予め定める時間が経過すると、制御装置3は、リンス液バルブ36およびリンス液下バルブ23を閉じて、リンス液ノズル28および下吐出口20からのリンス液の吐出を停止する。次いで、再び、第1薬液を基板Wに供給する第1薬液工程(図12のステップS6。図13Bを再度参照)が行われる。
具体的には、制御装置3は、アーム揺動ユニット30を制御することによりアーム29を揺動させ、第1薬液ノズル26を処理位置に配置させる。第1薬液ノズル26が処理位置に配置された後、制御装置3は、第2薬液バルブ34およびリンス液バルブ36を閉じつつ第1薬液バルブ32を開く。これにより、第1薬液ノズル26の吐出口から第1薬液が吐出される。また、制御装置3は、第2薬液下バルブ22およびリンス液下バルブ23を閉じつつ第1薬液下バルブ21を開く。これにより、下吐出口20から第1薬液が上方に向けて吐出される。
When a predetermined time has elapsed from the start of the discharge of the rinse liquid, the control device 3 closes the rinse liquid valve 36 and the rinse liquid lower valve 23 and stops the discharge of the rinse liquid from the rinse liquid nozzle 28 and the lower discharge port 20. To do. Next, a first chemical liquid step (step S6 in FIG. 12; refer to FIG. 13B again) for supplying the first chemical liquid to the substrate W is performed again.
Specifically, the control device 3 controls the arm swing unit 30 to swing the arm 29 and arranges the first chemical solution nozzle 26 at the processing position. After the first chemical liquid nozzle 26 is disposed at the processing position, the control device 3 opens the first chemical liquid valve 32 while closing the second chemical liquid valve 34 and the rinse liquid valve 36. Thereby, the first chemical liquid is discharged from the discharge port of the first chemical liquid nozzle 26. Further, the control device 3 opens the first chemical lower valve 21 while closing the second chemical lower valve 22 and the rinse liquid lower valve 23. As a result, the first chemical liquid is discharged upward from the lower discharge port 20.

基板Wの上面の中央部に供給された第1薬液は、基板Wの回転による遠心力を受けて、基板Wの上面を基板Wの周縁部に向けて流れる。一方、基板Wの下面の中央部に供給された第1薬液は、基板Wの回転による遠心力を受けて、基板Wの下面を伝って基板Wの周縁部に向けて流れる。これにより、基板Wの上面全域および下面全域に第1薬液が供給され、基板Wの上下面の全域に第1薬液による処理が施される。基板Wの上下面に供給された第1薬液は、基板Wの周縁部から基板Wの側方に向けて飛散する。   The first chemical liquid supplied to the central portion of the upper surface of the substrate W receives a centrifugal force due to the rotation of the substrate W and flows toward the peripheral edge of the substrate W on the upper surface of the substrate W. On the other hand, the first chemical liquid supplied to the central portion of the lower surface of the substrate W receives a centrifugal force due to the rotation of the substrate W, and flows toward the peripheral portion of the substrate W along the lower surface of the substrate W. Thereby, the first chemical liquid is supplied to the entire upper surface and the lower surface of the substrate W, and the entire upper and lower surfaces of the substrate W are processed by the first chemical solution. The first chemical liquid supplied to the upper and lower surfaces of the substrate W is scattered from the peripheral edge of the substrate W toward the side of the substrate W.

第1薬液の吐出開始から、予め定める時間が経過すると、制御装置3は、第1薬液バルブ32および第1薬液下バルブ25を閉じて、第1薬液ノズル26および下吐出口20からの第1薬液の吐出を停止する。次いで、基板Wから第1薬液を除去するための第3のリンス工程(図12のステップS7。図13Cを再度参照)が行われる。
具体的には、制御装置3は、アーム揺動ユニット30を制御することによりアーム29を揺動させ、リンス液ノズル28を処理位置に配置させる。リンス液ノズル28が処理位置に配置された後、制御装置3は、第1薬液バルブ32および第2薬液バルブ34を閉じつつリンス液バルブ36を開く。これにより、リンス液ノズル28の吐出口からリンス液が吐出される。また、制御装置3は、第1薬液下バルブ21および第2薬液下バルブ22を閉じつつリンス液下バルブ23を開く。これにより、下吐出口20からリンス液が上方に向けて吐出される。
When a predetermined time has elapsed from the start of the discharge of the first chemical liquid, the control device 3 closes the first chemical liquid valve 32 and the first chemical liquid lower valve 25, and the first chemical liquid nozzle 26 and the first discharge from the lower discharge port 20. Stop dispensing chemicals. Next, a third rinsing step for removing the first chemical solution from the substrate W (step S7 in FIG. 12, refer to FIG. 13C again) is performed.
Specifically, the control device 3 controls the arm swing unit 30 to swing the arm 29 and arrange the rinse liquid nozzle 28 at the processing position. After the rinse liquid nozzle 28 is disposed at the processing position, the control device 3 opens the rinse liquid valve 36 while closing the first chemical liquid valve 32 and the second chemical liquid valve 34. Thereby, the rinse liquid is discharged from the discharge port of the rinse liquid nozzle 28. Further, the control device 3 opens the rinsing liquid lower valve 23 while closing the first chemical lower valve 21 and the second chemical lower valve 22. Accordingly, the rinse liquid is discharged upward from the lower discharge port 20.

基板Wの上面の中央部に供給されたリンス液は、基板Wの回転による遠心力を受けて、基板Wの上面を基板Wの周縁部に向けて流れる。一方、基板Wの下面の中央部に供給されたリンス液は、基板Wの回転による遠心力を受けて、基板Wの下面を伝って基板Wの周縁部に向けて流れる。これにより、基板Wの上面全域および下面全域にリンス液が供給され、基板Wの上下面に付着している第1薬液が洗い流される。基板Wの上下面に供給されたリンス液は、基板Wの周縁部から基板Wの側方に向けて飛散する。   The rinse liquid supplied to the central portion of the upper surface of the substrate W receives a centrifugal force due to the rotation of the substrate W and flows toward the peripheral portion of the substrate W on the upper surface of the substrate W. On the other hand, the rinsing liquid supplied to the central portion of the lower surface of the substrate W receives a centrifugal force due to the rotation of the substrate W and flows along the lower surface of the substrate W toward the peripheral portion of the substrate W. Accordingly, the rinsing liquid is supplied to the entire upper surface and the lower surface of the substrate W, and the first chemical liquid adhering to the upper and lower surfaces of the substrate W is washed away. The rinse liquid supplied to the upper and lower surfaces of the substrate W is scattered from the peripheral edge of the substrate W toward the side of the substrate W.

リンス液の吐出開始から、予め定める時間が経過すると、制御装置3は、リンス液バルブ36およびリンス液下バルブ23を閉じて、リンス液ノズル28および下吐出口20からのリンス液の吐出を停止すると共に、アーム揺動ユニット30を制御して、アーム29を、そのホームポジションに戻す。これにより、第1薬液ノズル26、第2薬液ノズル26およびリンス液ノズル28が、退避位置に戻される。   When a predetermined time has elapsed from the start of the discharge of the rinse liquid, the control device 3 closes the rinse liquid valve 36 and the rinse liquid lower valve 23 and stops the discharge of the rinse liquid from the rinse liquid nozzle 28 and the lower discharge port 20. At the same time, the arm swinging unit 30 is controlled to return the arm 29 to its home position. Thereby, the 1st chemical | medical solution nozzle 26, the 2nd chemical | medical solution nozzle 26, and the rinse liquid nozzle 28 are returned to a retracted position.

次いで、制御装置3は、蓋昇降ユニット54を制御して、蓋部材39を蓋閉位置まで下降させる。蓋閉位置に下降した蓋部材39により、下カップ37の開口38が閉塞される。この状態で、ロック部材(図示しない)により蓋部材39と下カップ37とが結合されると、蓋部材39の下面の周縁部39cに配置されたシール環53が、その円周方向全域に亘って下カップ37の上端面41aに当接し、下カップ37と蓋部材39との間がシールされる。これにより、下カップ37および蓋部材39の内部空間が密閉される。この状態で、リンス液吐出口47、有機溶剤吐出口49および窒素ガス吐出口51が、それぞれ基板Wの上面に対向して配置されている。   Next, the control device 3 controls the lid lifting unit 54 to lower the lid member 39 to the lid closed position. The opening 38 of the lower cup 37 is closed by the lid member 39 lowered to the lid closing position. In this state, when the lid member 39 and the lower cup 37 are coupled by a lock member (not shown), the seal ring 53 disposed on the peripheral edge portion 39c of the lower surface of the lid member 39 extends over the entire circumferential direction. The lower cup 37 abuts on the upper end surface 41a, and the space between the lower cup 37 and the lid member 39 is sealed. Thereby, the internal space of the lower cup 37 and the lid member 39 is sealed. In this state, the rinse liquid discharge port 47, the organic solvent discharge port 49, and the nitrogen gas discharge port 51 are disposed so as to face the upper surface of the substrate W, respectively.

次いで、最終リンス工程(図12のステップS8)が基板Wに行われる。
具体的には、図13Eに示すように、制御装置3は、リンス液上バルブ48を開いて、リンス液上配管44のリンス液吐出口47からリンス液を吐出する。リンス液吐出口47から吐出されたリンス液は、基板Wの上面の中央部に着液する。
基板Wの上面の中央部に供給されたリンス液は、基板Wの回転による遠心力を受けて、基板Wの上面を基板Wの周縁部に向けて流れる。これにより、基板Wの上面全域にリンス液が供給され、基板Wの上面にリンス処理が施される。最終リンス工程(S8)において、図14Aに示すように、基板Wの上面100に形成された微細パターン101の隙間の底部(当該空間における基板W自体の上面100に極めて近い位置)までリンス液が行き渡る。
Next, a final rinsing process (step S8 in FIG. 12) is performed on the substrate W.
Specifically, as illustrated in FIG. 13E, the control device 3 opens the rinse liquid upper valve 48 and discharges the rinse liquid from the rinse liquid discharge port 47 of the rinse liquid upper pipe 44. The rinse liquid discharged from the rinse liquid discharge port 47 is deposited on the center of the upper surface of the substrate W.
The rinse liquid supplied to the central portion of the upper surface of the substrate W receives a centrifugal force due to the rotation of the substrate W and flows toward the peripheral portion of the substrate W on the upper surface of the substrate W. Accordingly, the rinsing liquid is supplied to the entire upper surface of the substrate W, and the rinsing process is performed on the upper surface of the substrate W. In the final rinsing step (S8), as shown in FIG. 14A, the rinsing liquid reaches the bottom of the gap of the fine pattern 101 formed on the upper surface 100 of the substrate W (a position very close to the upper surface 100 of the substrate W itself in the space). Go around.

また、基板Wの周縁部から飛散するリンス液は、下カップ37の周壁部41の内壁に受け止められ、この内壁を伝って下カップ37の底部に溜められる。下カップ37の底部に溜められた第リンス液は、廃液路(図示しない)を介して、機外の廃液設備(図示しない)に送られ、そこで処理される。
リンス液の吐出開始から、予め定める時間が経過すると、制御装置3は、リンス液上バルブ48を閉じて、リンス液吐出口47からのリンス液の吐出を停止する。
Further, the rinse liquid splashed from the peripheral edge portion of the substrate W is received by the inner wall of the peripheral wall portion 41 of the lower cup 37 and is accumulated on the bottom portion of the lower cup 37 along the inner wall. The first rinse liquid collected at the bottom of the lower cup 37 is sent to a waste liquid facility (not shown) outside the apparatus through a waste liquid path (not shown) and processed there.
When a predetermined time has elapsed from the start of the discharge of the rinse liquid, the control device 3 closes the rinse liquid upper valve 48 and stops the discharge of the rinse liquid from the rinse liquid discharge port 47.

次いで、基板Wの上面に液体のIPAが供給して、基板Wの上面のリンス液をIPAで置換する有機溶剤置換工程(図12のステップS9)が行われる。
最終リンス工程(S8)の終了後、制御装置3は、基板Wの回転を、液処理回転速度v2(図17参照)から高速回転速度v3(図17参照。第1および第2の回転速度。たとえば800rpm)に加速させる。
Next, an organic solvent replacement step (step S9 in FIG. 12) is performed in which liquid IPA is supplied to the upper surface of the substrate W and the rinse liquid on the upper surface of the substrate W is replaced with IPA.
After the end of the final rinsing step (S8), the control device 3 changes the rotation of the substrate W from the liquid processing rotation speed v2 (see FIG. 17) to the high-speed rotation speed v3 (see FIG. 17, first and second rotation speeds). For example, acceleration is performed to 800 rpm.

基板Wの回転速度が高速回転速度v3に達すると、制御装置3は、図13Fに示すように、有機溶剤バルブ50を開き、有機溶剤上配管45の有機溶剤吐出口49から、液体のIPAを連続流状に吐出する。有機溶剤吐出口49から吐出されるIPAは、常温、すなわちIPAの沸点(82.4℃)未満の液温を有しており、液体である。有機溶剤吐出口49から吐出された液体のIPAは、基板Wの上面の中央部に着液する。IPAの吐出開始により、有機溶剤置換工程(S9)が開始される。   When the rotation speed of the substrate W reaches the high-speed rotation speed v3, the control device 3 opens the organic solvent valve 50 and removes the liquid IPA from the organic solvent discharge port 49 of the organic solvent upper pipe 45 as shown in FIG. 13F. Discharge in a continuous flow. The IPA discharged from the organic solvent discharge port 49 has a liquid temperature below room temperature, that is, a boiling point of IPA (82.4 ° C.), and is a liquid. The liquid IPA discharged from the organic solvent discharge port 49 is deposited on the center of the upper surface of the substrate W. The organic solvent replacement step (S9) is started by starting the discharge of IPA.

基板Wの上面の中央部に供給された液体のIPAは、基板Wの回転による遠心力を受けて、基板Wの上面を基板Wの周縁部に向けて流れる。そのため、基板Wの上面の中央部に供給された液体のIPAを周縁部に向けて拡げることができ、これにより、基板Wの上面の全域に液体のIPAを行き渡らせることができる。このとき、ホットプレート6は下位置にあり、基板Wはホットプレート6によって加熱されていない。そのため、基板Wの上面の温度はたとえば常温(たとえば25℃)であり、IPAは、常温を維持したまま、基板Wの上面を流れる。   The liquid IPA supplied to the central portion of the upper surface of the substrate W receives a centrifugal force due to the rotation of the substrate W and flows toward the peripheral edge of the substrate W. Therefore, the liquid IPA supplied to the central portion of the upper surface of the substrate W can be spread toward the peripheral portion, and thus the liquid IPA can be spread over the entire upper surface of the substrate W. At this time, the hot plate 6 is in the lower position, and the substrate W is not heated by the hot plate 6. Therefore, the temperature of the upper surface of the substrate W is, for example, normal temperature (for example, 25 ° C.), and the IPA flows on the upper surface of the substrate W while maintaining the normal temperature.

制御装置3は、有機溶剤置換工程(S9)に並行して、高速回転速度v3で回転させる第1の高速回転工程(ステップS91。図17参照)と、第1の高速回転工程(S91)に引き続いて、パドル速度v1(零に近い低速。たとえば50rpm未満の範囲で、たとえば約20rpm)で基板Wを回転させるパドル工程(ステップS92。図17参照)とを実行する。   In parallel with the organic solvent replacement step (S9), the control device 3 performs a first high-speed rotation step (step S91; see FIG. 17) that rotates at a high-speed rotation speed v3, and a first high-speed rotation step (S91). Subsequently, a paddle process (step S92; see FIG. 17) is performed in which the substrate W is rotated at a paddle speed v1 (low speed close to zero. For example, in the range of less than 50 rpm, for example, about 20 rpm).

具体的には、制御装置3は、有機溶剤置換工程(S9)の開始後所定の高速回転時間t1(たとえば約15秒間)の間、基板Wを、高速回転速度v3で回転させる(第1の高速回転工程(S91))。高速回転時間t1の経過後、制御装置3は、基板Wの回転速度を、高速回転速度v3からパドル速度v1に減速させる。基板Wの減速に伴って、基板W上の液体のIPAに作用する遠心力が小さくなり、液体のIPAが基板Wの周縁部から排出されず基板Wの上面に滞留するようになる。その結果、基板Wの上面に、パドル状態のIPAの液膜111が保持される(パドル工程(S92))。基板Wの上面の全域に液体のIPAが行き渡っているので、IPAの液膜111は、基板Wの上面の全域を覆う。IPAの液膜111は、所定の膜厚(たとえば1mm程度)を有している。   Specifically, the control device 3 rotates the substrate W at a high-speed rotation speed v3 for a predetermined high-speed rotation time t1 (for example, about 15 seconds) after the start of the organic solvent replacement step (S9) (first first rotation). High-speed rotation process (S91). After elapse of the high-speed rotation time t1, the control device 3 reduces the rotation speed of the substrate W from the high-speed rotation speed v3 to the paddle speed v1. As the substrate W is decelerated, the centrifugal force acting on the liquid IPA on the substrate W is reduced, and the liquid IPA is not discharged from the peripheral edge of the substrate W and stays on the upper surface of the substrate W. As a result, the IPA liquid film 111 in the paddle state is held on the upper surface of the substrate W (paddle process (S92)). Since the liquid IPA spreads over the entire upper surface of the substrate W, the IPA liquid film 111 covers the entire upper surface of the substrate W. The IPA liquid film 111 has a predetermined film thickness (for example, about 1 mm).

基板Wの上面に供給されるIPAは液体であるため、図14Bに示すように、微細パターン101の隙間に存在するリンス液を、良好に置換できる。IPAの液膜111が、基板Wの上面の全域を覆うので、基板Wの上面の全域において、リンス液を液体のIPAに良好に置換できる。パドル時間t2(たとえば約15秒間)の経過後、制御装置3は、リング回転ユニット13を制御して、基板Wの回転を停止させる。   Since IPA supplied to the upper surface of the substrate W is a liquid, the rinsing liquid present in the gaps of the fine pattern 101 can be satisfactorily replaced as shown in FIG. 14B. Since the IPA liquid film 111 covers the entire upper surface of the substrate W, the rinsing liquid can be satisfactorily replaced with the liquid IPA over the entire upper surface of the substrate W. After the paddle time t2 (for example, about 15 seconds) elapses, the control device 3 controls the ring rotation unit 13 to stop the rotation of the substrate W.

なお、パドル工程(S92)において基板Wを低速のパドル速度v1で回転させるものとして説明したが、パドル工程(S92)において基板Wの回転を停止(回転速度が零)させてもよい。この場合、パドル工程(S92)では、基板W上の液体のIPAに作用する遠心力が零になり、液体のIPAが基板Wの周縁部から排出されず基板Wの上面に滞留して、基板Wの上面に、パドル状態のIPAの液膜111が保持される。   In the paddle process (S92), the substrate W is described as being rotated at the low paddle speed v1, but the rotation of the substrate W may be stopped (rotation speed is zero) in the paddle process (S92). In this case, in the paddle process (S92), the centrifugal force acting on the liquid IPA on the substrate W becomes zero, and the liquid IPA is not discharged from the peripheral edge of the substrate W and stays on the upper surface of the substrate W. An IPA liquid film 111 in a paddle state is held on the upper surface of W.

その後、基板高温化工程(図12のステップS10)が実行される。
具体的には、制御装置3は、プレート昇降ユニット16を制御して、ホットプレート6を、下位置から上位置まで上昇させる。ホットプレート6が支持リング11と同じ高さまで上昇させられると、基板Wの下面にホットプレート6の基板対向面6a上の多数個のエンボス61が当接するようになる。その後、ホットプレート6がさらに上昇させられると、複数の固定ピン10によって下から支持されていた基板Wが該複数の固定ピン10から離脱して、ホットプレート6に引き渡される。ホットプレート6に引き渡された基板Wは、多数個のエンボス61によって下方から支持される。ホットプレート6を上位置に配置した状態を、図13Gおよび図15に示す。
Thereafter, a substrate high temperature process (step S10 in FIG. 12) is performed.
Specifically, the control device 3 controls the plate lifting unit 16 to raise the hot plate 6 from the lower position to the upper position. When the hot plate 6 is raised to the same height as the support ring 11, a large number of embosses 61 on the substrate facing surface 6 a of the hot plate 6 come into contact with the lower surface of the substrate W. Thereafter, when the hot plate 6 is further raised, the substrate W supported from below by the plurality of fixing pins 10 is detached from the plurality of fixing pins 10 and delivered to the hot plate 6. The substrate W delivered to the hot plate 6 is supported from below by a large number of embossments 61. A state in which the hot plate 6 is disposed at the upper position is shown in FIGS. 13G and 15.

ヒータ15は常時オン状態とされており、そのため、ホットプレート6(基板対向面6a)が発熱状態とされている。ホットプレート6上に基板Wが載置された状態では、基板対向面6aからの熱が、熱輻射、基板対向面6aと基板Wとの間の空間内の流体熱伝導および多数個のエンボス61を介した伝熱により、基板Wの下面に与えられ、これにより、基板Wの下面が加熱される。基板Wに与えられる単位面積当たりの熱量は、基板Wの全域においてほぼ均一となっている。   The heater 15 is always on, and therefore the hot plate 6 (substrate facing surface 6a) is in a heat generating state. In a state where the substrate W is placed on the hot plate 6, the heat from the substrate facing surface 6 a causes heat radiation, fluid heat conduction in the space between the substrate facing surface 6 a and the substrate W, and a large number of embosses 61. Is applied to the lower surface of the substrate W by heat transfer through the substrate, whereby the lower surface of the substrate W is heated. The amount of heat per unit area given to the substrate W is substantially uniform over the entire area of the substrate W.

基板高温化工程(S10)では、ホットプレート6による基板Wへの加熱により、基板Wの上面が、予め定める液膜浮上温度(第1の温度)TE1まで昇温させられる。液膜浮上温度TE1は、IPAの沸点(82.4℃)よりも10〜50℃高い範囲の所定の温度に設定されている。また、次に述べるように、基板高温化工程(S10)ではIPAの液膜111が浮上するのであるが、液膜浮上温度TE1は、浮上しているIPAの液膜111が沸騰しないような温度である。   In the substrate temperature increasing step (S10), the upper surface of the substrate W is heated to a predetermined liquid film floating temperature (first temperature) TE1 by heating the substrate W by the hot plate 6. The liquid film levitation temperature TE1 is set to a predetermined temperature in a range of 10 to 50 ° C. higher than the boiling point of IPA (82.4 ° C.). As described below, the IPA liquid film 111 floats in the substrate temperature increasing step (S10). The liquid film floating temperature TE1 is a temperature at which the floating IPA liquid film 111 does not boil. It is.

基板Wの上面の温度が液膜浮上温度TE1に達した後、基板Wの上面の温度(微細パターン101(図14C等参照)の上面、より詳しくは、各構造体102の上端面102Aの温度)は、液膜浮上温度TE1に保持される。基板Wの上面の全域において、液膜浮上温度TE1に保持される。このとき、ヒータ15の単位時間当たりの発熱量は、ホットプレート6からの加熱により、ホットプレート6に載置されている基板Wの上面が液膜浮上温度TE1になるように設定されている。   After the temperature of the upper surface of the substrate W reaches the liquid film floating temperature TE1, the temperature of the upper surface of the substrate W (the upper surface of the fine pattern 101 (see FIG. 14C, etc.), more specifically, the temperature of the upper end surface 102A of each structure 102 ) Is maintained at the liquid film levitation temperature TE1. The entire surface of the upper surface of the substrate W is held at the liquid film floating temperature TE1. At this time, the heat generation amount per unit time of the heater 15 is set so that the upper surface of the substrate W placed on the hot plate 6 becomes the liquid film floating temperature TE1 by heating from the hot plate 6.

基板W上面の温度が液膜浮上温度TE1に到達してからしばらくすると、基板Wの上面のIPAの液膜111の一部が蒸発して気相化し微細パターン101の隙間を満たすと共に基板Wの上面(各構造体102の上端面102A)の上方空間にIPAの蒸発気体膜112を形成する。これにより、基板Wの上面(各構造体102の上端面102A)からIPAの液膜111が浮上する(図14C参照)。また、微細パターン101の隙間が気相のIPAによって満たされるようになる。   After a while after the temperature of the upper surface of the substrate W reaches the liquid film floating temperature TE1, a part of the IPA liquid film 111 on the upper surface of the substrate W evaporates to form a gas phase and fill the gap of the fine pattern 101 and An IPA evaporative gas film 112 is formed in a space above the upper surface (the upper end surface 102A of each structure 102). Thereby, the liquid film 111 of IPA floats from the upper surface of the substrate W (the upper end surface 102A of each structure 102) (see FIG. 14C). Further, the gap between the fine patterns 101 is filled with the gas phase IPA.

たとえば、微細パターン101の隙間が液相のIPAで満たされている場合、この状態から基板Wを乾燥させると、隣接する構造体102同士を引き付ける力が加わり、そもため、微細パターン101にパターン倒壊が生じるおそれがある。これに対し、図14Cの状態では、微細パターン101の隙間は気相のIPAで満たされている。そのため、隣り合う構造体102の間には、極めて小さな表面張力しか生じない。その結果、表面張力に起因する微細パターン101の倒壊を抑制または防止できる。   For example, when the gap between the fine patterns 101 is filled with liquid phase IPA, when the substrate W is dried from this state, a force that attracts the adjacent structures 102 is applied, and thus the fine pattern 101 has a pattern. There is a risk of collapse. On the other hand, in the state of FIG. 14C, the gap between the fine patterns 101 is filled with gas phase IPA. Therefore, only a very small surface tension is generated between adjacent structures 102. As a result, the collapse of the fine pattern 101 due to the surface tension can be suppressed or prevented.

そして、図14Cの状態では、基板Wの上面(各構造体102の上端面102A)からIPAの液膜111が浮上しているため、基板Wの上面とIPAの液膜111との間に生じる摩擦力の大きさは略零である。
また、基板高温化工程(S10)の実行期間(ホットプレート6で基板Wを保持し始めて以降の期間)は、ホットプレート6上の基板Wの上面全域でIPAの液膜111を浮上させることができ、かつ微細パターン101の隙間の液相のIPAが気相化するのに、十分な長さに設定されている。第1処理例では、基板高温化工程(S10)の実行期間は、たとえば、1〜2分間である。
In the state of FIG. 14C, the IPA liquid film 111 floats from the upper surface of the substrate W (the upper end surface 102 </ b> A of each structure 102), and thus is generated between the upper surface of the substrate W and the IPA liquid film 111. The magnitude of the frictional force is substantially zero.
Further, during the execution period of the substrate temperature increasing step (S10) (period after the substrate W is started to be held by the hot plate 6), the IPA liquid film 111 is allowed to float over the entire upper surface of the substrate W on the hot plate 6. The liquid phase IPA in the gap between the fine patterns 101 is set to a length sufficient for vaporization. In the first processing example, the execution period of the substrate temperature increasing step (S10) is, for example, 1 to 2 minutes.

基板Wの上面100の微細パターン101のアスペクト比が高い場合、液相のIPAと微細パターン101内の構造体102との接触面積が大きくなり、隣り合う構造体102間の空間内の液相のIPAを蒸発させるには、より大きな熱量が必要になる。この場合、構造体102間の空間内の液相のIPAを蒸発させるために、処理対象の基板Wの微細パターン101のアスペクト比の大きさに応じて、液膜浮上温度TE1や基板高温化時間を調節することが望ましい。   When the aspect ratio of the fine pattern 101 on the upper surface 100 of the substrate W is high, the contact area between the liquid phase IPA and the structure 102 in the fine pattern 101 increases, and the liquid phase in the space between adjacent structures 102 increases. A larger amount of heat is required to evaporate IPA. In this case, in order to evaporate the IPA in the liquid phase in the space between the structures 102, the liquid film floating temperature TE1 and the substrate heating time are increased according to the aspect ratio of the fine pattern 101 of the substrate W to be processed. It is desirable to adjust.

ところで、基板Wの上方に浮上しているIPAの液膜111に、亀裂や割れ113(以下、「亀裂等113」という)が生じることがある。亀裂等113が生じる結果、その部分にIPAの液滴と基板Wとの液固界面が生じるから、乾燥時に表面張力に起因してパターン倒壊が生じるおそれがある。また、亀裂等113が生じた部分には、乾燥後にウォータマーク等の欠陥が生じるおそれもある。そのため、基板高温化工程(S10)中において、浮上しているIPAの液膜111に亀裂等113が発生するのを抑制または防止する必要がある。   By the way, cracks and cracks 113 (hereinafter referred to as “cracks and the like 113”) may occur in the liquid film 111 of IPA floating above the substrate W. As a result of the formation of cracks and the like 113, a liquid-solid interface between the IPA droplet and the substrate W is generated at that portion, so that pattern collapse may occur due to surface tension during drying. Further, in the portion where the crack 113 or the like has occurred, a defect such as a watermark may be generated after drying. For this reason, it is necessary to suppress or prevent the occurrence of cracks 113 in the IPA liquid film 111 that has floated during the substrate temperature increasing step (S10).

浮上しているIPAの液膜111に亀裂等113が生じる要因として、次の2つの要因を挙げることができる。
1つ目の要因は、基板Wの長時間の加熱による多量のIPA蒸発気体の発生、および/またはIPAの液膜111の沸騰である。多量のIPA蒸発気体が発生すると、および/またはIPAの液膜111が沸騰すると、IPAの蒸発気体膜112がその上方のIPAの液膜111を突き破って当該IPAの液膜111の上方に噴き出し、その結果、IPAの液膜111に亀裂等113を生じさせるおそれがある。
The following two factors can be cited as factors causing the crack 113 or the like in the IPA liquid film 111 that is floating.
The first factor is the generation of a large amount of IPA vapor due to long-time heating of the substrate W and / or the boiling of the IPA liquid film 111. When a large amount of IPA evaporation gas is generated and / or when the IPA liquid film 111 boils, the IPA evaporation gas film 112 breaks through the IPA liquid film 111 thereabove and blows out above the IPA liquid film 111. As a result, there is a risk of causing cracks 113 in the IPA liquid film 111.

この1つ目の要因に関し、処理例1では、基板高温化工程(S10)における液膜浮上温度TE1、および基板高温化工程(S10)の実行期間を、それぞれ、亀裂等113が生じないような範囲に設定することで対応している。併せて、基板高温化工程(S10)中も、液体のIPAの供給を続行することにより、基板高温化工程(S10)の全期間を通して、浮上しているIPAの液膜111を、亀裂等が生じない程度の厚みに維持している。   Regarding the first factor, in the processing example 1, the liquid film levitation temperature TE1 in the substrate temperature increasing step (S10) and the execution period of the substrate temperature increasing step (S10) are such that no cracks 113 occur. It corresponds by setting to the range. At the same time, by continuing the supply of the liquid IPA during the substrate temperature raising step (S10), the IPA liquid film 111 that has floated through the entire period of the substrate temperature raising step (S10) has cracks or the like. The thickness is maintained so as not to occur.

亀裂等113が生じる2つ目の要因は、基板Wの回転に伴う遠心力を受けることにより発生する、IPAの液膜111の***である。2つ目の要因に関して、処理例1では、基板高温化工程(S10)中において、基板Wの回転を停止させている。そのため、IPAの液膜に遠心力に起因する***が生じることを防止でき、これにより、亀裂等113の発生を防止できる。   The second factor causing the crack 113 or the like is splitting of the IPA liquid film 111 caused by receiving a centrifugal force accompanying the rotation of the substrate W. Regarding the second factor, in Processing Example 1, the rotation of the substrate W is stopped during the substrate temperature increasing step (S10). For this reason, it is possible to prevent the IPA liquid film from being split due to centrifugal force, thereby preventing the occurrence of cracks 113 and the like.

基板高温化工程(S10)に次いで、蒸発気体膜112の上方にあるIPAの液膜111を液塊状態のまま排除する有機溶剤排除工程(図12のステップS11)が実行される。
具体的には、ホットプレート6に基板Wが引き渡されてから予め定める時間が経過すると、制御装置3は、図13Gおよび図16に示すように、制御装置3は、伸縮駆動ユニット25を制御してホットプレート6を水平姿勢から傾斜姿勢に変更する。
Subsequent to the substrate temperature increasing step (S10), an organic solvent removing step (step S11 in FIG. 12) for removing the IPA liquid film 111 above the vaporized gas film 112 in a liquid mass state is performed.
Specifically, when a predetermined time elapses after the substrate W is delivered to the hot plate 6, the control device 3 controls the telescopic drive unit 25 as shown in FIGS. 13G and 16. The hot plate 6 is changed from the horizontal posture to the inclined posture.

図16を参照しながら詳述する。3つの伸縮ユニット24のうち所定の1つの伸縮ユニット224の長さをそのままとしながら、他の2つの伸縮ユニット225(図16では1つのみ図示)の長さを、それまでよりも長くする。このときの2つの伸縮ユニット225の伸び量は互いに等しい。これにより、ホットプレート6を傾斜姿勢に姿勢変更できる。ホットプレート6の傾斜姿勢では、基板対向面6aが水平面に対して傾斜している。このときの傾斜角度は、たとえば約1°である。すなわち、ホットプレート6の傾斜姿勢では、基板対向面6aが水平面に対してたとえば約1°傾斜し、これにより、ホットプレート6によって支持されている基板Wの上面も、水平面に対してたとえば約1°傾斜している。このとき、ホットプレート6の円周方向に関し、2つの伸縮ユニット225の配置位置のちょうど中間位置が最も高くなり、伸縮ユニット224の配置位置が最も低くなっている。   This will be described in detail with reference to FIG. While keeping the length of one predetermined expansion / contraction unit 224 out of the three expansion / contraction units 24, the length of the other two expansion / contraction units 225 (only one is shown in FIG. 16) is made longer than before. At this time, the extension amounts of the two expansion / contraction units 225 are equal to each other. Thereby, the posture of the hot plate 6 can be changed to the inclined posture. In the inclined posture of the hot plate 6, the substrate facing surface 6a is inclined with respect to the horizontal plane. The inclination angle at this time is, for example, about 1 °. That is, in the inclined posture of the hot plate 6, the substrate facing surface 6 a is inclined, for example, by about 1 ° with respect to the horizontal plane, whereby the upper surface of the substrate W supported by the hot plate 6 is also, for example, about 1 ° Inclined. At this time, with respect to the circumferential direction of the hot plate 6, the intermediate position between the two expansion units 225 is the highest, and the expansion unit 224 is the lowest.

また、基板Wが傾斜姿勢をなす状態で、図16に示す、最も長さの短い伸縮ユニット224とホットプレート6の円周方向に関して揃っている(最も長さの短い伸縮ユニット224に最も近い)固定ピン10(固定ピン210)の第1の上軸部72やテーパ面73(図6参照)が、傾斜している基板Wの周縁部の最も低い部分と当接し、これにより、基板対向面6aに沿う方向に関する基板Wの移動を阻止している。   Further, in a state where the substrate W is in an inclined posture, the expansion unit 224 having the shortest length and the circumferential direction of the hot plate 6 shown in FIG. 16 are aligned (closest to the expansion unit 224 having the shortest length). The first upper shaft portion 72 and the tapered surface 73 (see FIG. 6) of the fixing pin 10 (fixing pin 210) are in contact with the lowest portion of the peripheral edge portion of the inclined substrate W, whereby the substrate facing surface The movement of the substrate W in the direction along 6a is prevented.

多数個のエンボス61と基板Wの下面との間に生じる摩擦力により、基板Wがホットプレート6上で支持されている。基板Wおよびホットプレート6が水平姿勢をなす状態では、前記の摩擦力の働きにより基板Wは移動せず、静止状態にある。一方、基板Wが傾斜状態では、基板Wに自重が作用する。自重に伴って生じる基板対向面6aに沿う方向に関する力が、前記の摩擦力を上回ると、基板Wが、基板対向面6aに沿う方向に移動するおそれがある。しかしながら、基板Wおよびホットプレート6が傾斜姿勢をなす状態で、固定ピン210(ホットプレート6の円周方向に関して伸縮ユニット224と揃っている固定ピン10)が、傾斜している基板Wの周縁部の最も低い部分と当接し、これにより、ホットプレート6上に沿う方向に関する基板Wの移動が阻止されて、ホットプレート6上からの基板Wの滑落が防止される。ゆえに、ホットプレート6上からの基板Wの滑落を確実に防止しながら、基板Wおよびホットプレート6の双方を傾斜姿勢に保持できる。   The substrate W is supported on the hot plate 6 by the frictional force generated between the large number of embosses 61 and the lower surface of the substrate W. In a state where the substrate W and the hot plate 6 are in a horizontal posture, the substrate W does not move due to the action of the frictional force and is in a stationary state. On the other hand, when the substrate W is tilted, its own weight acts on the substrate W. If the force in the direction along the substrate facing surface 6a generated with its own weight exceeds the frictional force, the substrate W may move in the direction along the substrate facing surface 6a. However, in the state where the substrate W and the hot plate 6 are inclined, the fixing pin 210 (the fixing pin 10 aligned with the expansion / contraction unit 224 in the circumferential direction of the hot plate 6) is the peripheral portion of the inclined substrate W. This prevents the substrate W from moving in the direction along the hot plate 6 and prevents the substrate W from sliding off from the hot plate 6. Therefore, it is possible to hold both the substrate W and the hot plate 6 in an inclined posture while reliably preventing the substrate W from sliding off from the hot plate 6.

また、基板Wを支持するための固定ピン210により、ホットプレート6上からの基板Wの滑落の防止が達成されているので、滑落防止部材を固定ピン210とは別の部材で設ける場合と比較して、部品点数を低減できると共にコストダウンを図ることができる。
基板高温化工程(S10)の終了時点において、前述のように、基板Wの上面とIPAの液膜111との間に生じる摩擦力の大きさは略零である。そのため、IPAの液膜111は基板Wの上面に沿って移動し易い。有機溶剤排除工程(S11)では、基板Wの上面が水平面に対して傾斜するので、IPAの液膜111は自重を受けて、傾斜している基板Wの周縁部の最も低い部分に向けて、基板Wの上面に沿って移動する。IPAの液膜111の移動は、液塊状態を維持しながら(すなわち、多数の小滴に***することなく)行われ、これにより、IPAの液膜111が基板Wの上方から排除される。基板Wの上方からIPAの液膜111が全て排除された後、制御装置3は、伸縮ユニット24を制御してホットプレート6を傾斜姿勢から水平姿勢に戻す。また、制御装置3は、プレート昇降ユニット16を制御して、ホットプレート6を、上位置から下位置まで下降させる。ホットプレート6が上位置から下位置まで下降する途中で、基板Wの下面周縁部が固定ピン10のテーパ面73と当接するようになる。その後、ホットプレート6がさらに下降させられることにより、ホットプレート6から基板Wが離脱して、基板保持回転ユニット5の複数本の固定ピン10によって下方から支持される。可動ピン12は開状態にあり、そのため、基板Wは、固定ピン10によって下から支持されるのみで、固定ピン10や可動ピン12等に挟持されない。
Further, since the fixing pin 210 for supporting the substrate W prevents the substrate W from slipping off from the hot plate 6, it is compared with the case where the slipping prevention member is provided as a member different from the fixing pin 210. Thus, the number of parts can be reduced and the cost can be reduced.
As described above, the magnitude of the frictional force generated between the upper surface of the substrate W and the IPA liquid film 111 is substantially zero at the end of the substrate temperature increasing step (S10). For this reason, the IPA liquid film 111 easily moves along the upper surface of the substrate W. In the organic solvent removal step (S11), since the upper surface of the substrate W is inclined with respect to the horizontal plane, the IPA liquid film 111 receives its own weight and is directed toward the lowest portion of the peripheral edge of the inclined substrate W. It moves along the upper surface of the substrate W. The movement of the IPA liquid film 111 is performed while maintaining the liquid mass state (that is, without being divided into a large number of droplets), whereby the IPA liquid film 111 is removed from above the substrate W. After all of the IPA liquid film 111 is removed from above the substrate W, the control device 3 controls the extendable unit 24 to return the hot plate 6 from the inclined posture to the horizontal posture. Further, the control device 3 controls the plate lifting / lowering unit 16 to lower the hot plate 6 from the upper position to the lower position. While the hot plate 6 is lowered from the upper position to the lower position, the peripheral edge of the lower surface of the substrate W comes into contact with the tapered surface 73 of the fixing pin 10. Thereafter, when the hot plate 6 is further lowered, the substrate W is detached from the hot plate 6 and supported from below by the plurality of fixing pins 10 of the substrate holding and rotating unit 5. The movable pin 12 is in an open state, so that the substrate W is only supported from below by the fixed pin 10 and is not sandwiched between the fixed pin 10 and the movable pin 12 or the like.

また、制御装置3は、ロック部材(図示しない)を駆動して、蓋部材39と下カップ37との結合が解除する。そして、制御装置3は、図13Iに示すように、蓋昇降ユニット54を制御して、蓋部材39を開位置まで上昇させる。
ホットプレート6が下位置まで下降させられた後は、ホットプレート6と基板保持回転ユニット5に保持されている基板Wとの間の間隔が十分に大きいため、ホットプレート6からの熱(熱輻射、基板対向面6aと基板Wとの間の空間内の流体熱伝導および多数個のエンボス61を介した伝熱)が基板Wに十分に届かなくなる。これにより、ホットプレート6による基板Wの加熱が終了し、基板Wは、ほぼ常温になるまで降温する。
Further, the control device 3 drives a lock member (not shown) to release the coupling between the lid member 39 and the lower cup 37. Then, as shown in FIG. 13I, the control device 3 controls the lid lifting unit 54 to raise the lid member 39 to the open position.
After the hot plate 6 is lowered to the lower position, the distance between the hot plate 6 and the substrate W held by the substrate holding / rotating unit 5 is sufficiently large. , Fluid heat conduction in the space between the substrate facing surface 6a and the substrate W and heat transfer via the multiple embosses 61) do not reach the substrate W sufficiently. As a result, the heating of the substrate W by the hot plate 6 is completed, and the temperature of the substrate W is lowered until it reaches substantially room temperature.

これにより、1枚の基板Wに対する薬液処理が終了し、基板搬送ロボットCR(図1参照)によって、処理済みの基板Wがチャンバ4から搬出される(図12のステップS12)。以上により、基板Wの上面に液体のIPAを供給して、基板Wの上面を覆うIPAの液膜111を基板W上に形成することにより、微細パターン101の隙間に存在するリンス液が液体のIPAで置換される。IPAの液膜111が基板Wの上面の全域を覆っているので、基板Wの上面の全域において、微細パターン101の隙間に存在するリンス液を良好に置換できる。そして、IPAの液膜111の形成後に、基板Wの上面の温度を液膜浮上温度TE1に到達させる。これにより、基板Wの上面全域においてIPAの液膜111と基板Wの上面との間にIPAの蒸発気体膜112が形成されると共に、当該IPAの蒸発気体膜112の上方にIPAの液膜111が浮上する。この状態では、基板Wの上面とIPAの液膜111との間に生じる摩擦力の大きさは略零であり、そのため、IPAの液膜111は、基板Wの上面に沿って移動し易い。   As a result, the chemical processing for one substrate W is completed, and the processed substrate W is unloaded from the chamber 4 by the substrate transport robot CR (see FIG. 1) (step S12 in FIG. 12). As described above, the liquid IPA is supplied to the upper surface of the substrate W, and the IPA liquid film 111 covering the upper surface of the substrate W is formed on the substrate W, so that the rinse liquid present in the gaps of the fine pattern 101 is liquid. Replaced with IPA. Since the IPA liquid film 111 covers the entire upper surface of the substrate W, the rinsing liquid present in the gaps of the fine pattern 101 can be satisfactorily replaced over the entire upper surface of the substrate W. Then, after the formation of the IPA liquid film 111, the temperature of the upper surface of the substrate W is allowed to reach the liquid film floating temperature TE1. As a result, an IPA evaporation gas film 112 is formed between the IPA liquid film 111 and the upper surface of the substrate W over the entire upper surface of the substrate W, and the IPA liquid film 111 is disposed above the IPA evaporation gas film 112. Emerges. In this state, the magnitude of the frictional force generated between the upper surface of the substrate W and the IPA liquid film 111 is substantially zero. Therefore, the IPA liquid film 111 easily moves along the upper surface of the substrate W.

有機溶剤排除工程(S11)において、基板Wとホットプレート6との相対姿勢を一定に維持しながら、基板Wおよびホットプレート6を傾斜姿勢に姿勢変更させて、基板Wの上面を水平面に対して傾斜させる。これにより、浮上しているIPAの液膜111は自重を受けて、傾斜している基板Wの周縁部の最も低い部分に向けて、基板Wの上面に沿って移動し、基板Wの周縁部から排出される。IPAの液膜111の移動は、液塊状態を維持しながら(すなわち、多数の小滴に***することなく)行われ、これにより、IPAの液膜111を、基板Wの上方からスムーズかつ完全に排除できる。   In the organic solvent removal step (S11), while maintaining the relative posture between the substrate W and the hot plate 6 constant, the posture of the substrate W and the hot plate 6 is changed to the inclined posture, and the upper surface of the substrate W is set with respect to the horizontal plane. Tilt. Thus, the floating IPA liquid film 111 receives its own weight and moves along the upper surface of the substrate W toward the lowest portion of the peripheral edge of the inclined substrate W, and the peripheral edge of the substrate W Discharged from. The movement of the IPA liquid film 111 is performed while maintaining the liquid mass state (that is, without breaking into a large number of droplets), whereby the IPA liquid film 111 is smoothly and completely moved from above the substrate W. Can be eliminated.

そのため、IPAの液膜111の排除後の基板Wの上面には、IPAが小滴状に残留しない。すなわち、基板Wの上面に微細パターン101が形成される場合であっても、微細パターン101の間隙に液相のIPAが残留しない。したがって、微細パターン101が上面に形成された基板Wを処理する場合であっても、微細パターン101の倒壊を抑制または防止しつつ、基板Wの上面を良好に乾燥させることができる。   Therefore, IPA does not remain in the form of droplets on the upper surface of the substrate W after the removal of the IPA liquid film 111. That is, even when the fine pattern 101 is formed on the upper surface of the substrate W, the liquid phase IPA does not remain in the gap between the fine patterns 101. Therefore, even when processing the substrate W on which the fine pattern 101 is formed, the top surface of the substrate W can be satisfactorily dried while suppressing or preventing the collapse of the fine pattern 101.

また、有機溶剤置換工程(S9)に並行してパドル速度v1で基板Wが回転させられる。このような基板Wの減速に伴って、基板W上の液体のIPAに作用する遠心力が零または小さくなり、液体のIPAが基板Wの周縁部から排出されず基板Wの上面に滞留するようになる。その結果、基板Wの上面に、パドル状態のIPAの液膜111が保持される。基板Wの上面に保持されるIPAの液膜111に含まれるIPAによって、基板Wの上面のリンス液が置換されるので、これにより、基板Wの上面においてリンス液をより一層良好に有機溶剤で置換できる。   In parallel with the organic solvent replacement step (S9), the substrate W is rotated at the paddle speed v1. As the substrate W is decelerated, the centrifugal force acting on the liquid IPA on the substrate W becomes zero or small, so that the liquid IPA is not discharged from the peripheral portion of the substrate W and stays on the upper surface of the substrate W. become. As a result, the IPA liquid film 111 in the paddle state is held on the upper surface of the substrate W. Since the rinsing liquid on the upper surface of the substrate W is replaced by the IPA contained in the IPA liquid film 111 held on the upper surface of the substrate W, the rinsing liquid on the upper surface of the substrate W can be further improved with an organic solvent. Can be replaced.

また、パドル工程(S92)に先立って、第1の高速回転工程(S91)が実行される。第1の高速回転工程(S91)では、基板Wが第1の回転速度で回転させられ、これにより、基板W上の液体のIPAが、基板Wの回転による遠心力を受けて基板Wの周縁部に向けて拡がる。そのため、基板Wの上面の全域に液体のIPAを行き渡らせることができる。したがって、第1の高速回転工程(S91)に次いで実行されるパドル工程(S92)では、基板Wの上面に、基板Wの上面の全域を覆うパドル状態のIPAの液膜111を保持することができ、これにより、基板Wの上面の全域において、基板Wの上面のリンス液を、液体のIPAによって良好に置換することができる。   Prior to the paddle process (S92), the first high-speed rotation process (S91) is performed. In the first high-speed rotation step (S91), the substrate W is rotated at the first rotation speed, so that the IPA of the liquid on the substrate W receives the centrifugal force due to the rotation of the substrate W and receives the peripheral edge of the substrate W. Expand towards the club. Therefore, the liquid IPA can be spread over the entire upper surface of the substrate W. Therefore, in the paddle process (S92) executed after the first high-speed rotation process (S91), the IPA liquid film 111 in the paddle state covering the entire upper surface of the substrate W is held on the upper surface of the substrate W. Thus, the rinsing liquid on the upper surface of the substrate W can be satisfactorily replaced with the liquid IPA over the entire upper surface of the substrate W.

また、基板Wが回転停止している状態で基板高温化工程(S8)が実行される。仮に、基板高温化工程(S8)中に基板Wが回転していると、基板Wの周縁部の回転速度が速くなって当該周縁部が冷却される結果、基板Wの上面の周縁部の温度が、液膜浮上温度TE1まで到達しないおそれがある。この場合、基板Wの周縁部において、IPAの液膜111が良好に浮上しないおそれがある。   Further, the substrate temperature increasing step (S8) is performed in a state where the rotation of the substrate W is stopped. If the substrate W is rotating during the substrate temperature increasing step (S8), the rotation speed of the peripheral portion of the substrate W is increased and the peripheral portion is cooled. As a result, the temperature of the peripheral portion of the upper surface of the substrate W is increased. However, there is a possibility that the liquid film floating temperature TE1 may not be reached. In this case, the IPA liquid film 111 may not float well at the peripheral edge of the substrate W.

これに対し、処理例1では、基板Wが回転停止している状態で基板高温化工程(S10)が実行されるので、基板Wの上面の周縁部を、液膜浮上温度TE1まで昇温させることができる。これにより、基板Wの上面の全域でIPAの液膜111を浮上させることができる。
また、ホットプレート6は、基板Wを下方から加熱しながら、当該基板Wを下方から接触支持する。このホットプレート6を水平姿勢から傾斜姿勢に姿勢変更させることにより、ホットプレート6によって基板Wを良好に保持しながら、当該基板Wの上面を水平面に対して傾斜させることができる。これにより、ホットプレート6によって基板Wを加熱しながら、当該基板Wの上面を水平面に対して傾斜させることができる。
On the other hand, in the processing example 1, since the substrate temperature increasing step (S10) is performed in a state where the rotation of the substrate W is stopped, the peripheral edge portion of the upper surface of the substrate W is raised to the liquid film floating temperature TE1. be able to. Thus, the IPA liquid film 111 can be floated over the entire upper surface of the substrate W.
Further, the hot plate 6 supports the substrate W from below while heating the substrate W from below. By changing the posture of the hot plate 6 from the horizontal posture to the inclined posture, the upper surface of the substrate W can be inclined with respect to the horizontal plane while the substrate W is favorably held by the hot plate 6. Thereby, the upper surface of the substrate W can be inclined with respect to the horizontal plane while the substrate W is heated by the hot plate 6.

また、ホットプレート6の周縁部が、複数の伸縮ユニット24によって下方から支持されている。複数の伸縮ユニット24の長さを互いに等しくすることにより、ホットプレート6が水平姿勢に保たれる。また、複数の伸縮ユニット24のうち少なくとも1つの伸縮ユニット24の長さを、それ以外の伸縮ユニット24と異ならせることにより、ホットプレート6が傾斜姿勢に保たれる。これにより、簡単な構成で、ホットプレート6を水平姿勢と傾斜姿勢との間で姿勢変更させることができる。   Further, the peripheral edge portion of the hot plate 6 is supported from below by a plurality of extendable units 24. By making the lengths of the plurality of expansion / contraction units 24 equal to each other, the hot plate 6 is maintained in a horizontal posture. Further, by making the length of at least one expansion / contraction unit 24 out of the plurality of expansion / contraction units 24 different from the other expansion / contraction units 24, the hot plate 6 is maintained in an inclined posture. Thereby, the posture of the hot plate 6 can be changed between a horizontal posture and an inclined posture with a simple configuration.

図18は、本発明に係る第2処理例の最終リンス工程(S8)を説明するための模式図である。
基板処理装置1において実行される第2処理例が、前述の第1処理例と相違する点は、最終リンス工程(S8)および有機溶剤置換工程(S9)において、ホットプレート6により基板Wの上面を温めている点である。一連の工程の流れは、図11に示す第1処理例の場合と同等である。
FIG. 18 is a schematic diagram for explaining the final rinsing step (S8) of the second processing example according to the present invention.
The second processing example executed in the substrate processing apparatus 1 is different from the first processing example described above in that the upper surface of the substrate W is heated by the hot plate 6 in the final rinsing step (S8) and the organic solvent replacement step (S9). It is the point which is warming. The flow of the series of steps is the same as that in the first processing example shown in FIG.

この場合、最終リンス工程(S8)に先立って、または最終リンス工程(S8)中において、制御装置3は、プレート昇降ユニット16を制御して、ホットプレート6を、下位置(図13A等に示す位置)から中間位置(図18に示す位置。少なくともホットプレート6の基板対向面6aが基板保持回転ユニッ5 ト5に保持される基板Wの下面よりも下方となる高さ位置。)まで上昇させる。そのため、最終リンス工程(S8)および有機溶剤置換工程(S9)に亘って、ホットプレート6を中間位置に配置されている。   In this case, prior to the final rinse step (S8) or during the final rinse step (S8), the control device 3 controls the plate lifting / lowering unit 16 so that the hot plate 6 is in the lower position (shown in FIG. 13A and the like). Position) to an intermediate position (position shown in FIG. 18; at least a height position at which the substrate facing surface 6a of the hot plate 6 is below the lower surface of the substrate W held by the substrate holding rotary unit 5). . Therefore, the hot plate 6 is disposed at an intermediate position over the final rinsing step (S8) and the organic solvent replacement step (S9).

ホットプレート6が中間位置に位置する状態において、ヒータ15が発熱状態であると、基板対向面6aからの熱が、熱輻射により、基板保持回転ユニット5に保持されている基板Wに与えられる。この状態では、ホットプレート6と基板Wとが間隔を隔てて配置されているため、このとき基板Wに与えられる熱量は、ホットプレート6上に基板Wが載置されている場合よりも小さい。   If the heater 15 is in the heat generation state with the hot plate 6 positioned at the intermediate position, the heat from the substrate facing surface 6a is applied to the substrate W held by the substrate holding / rotating unit 5 by thermal radiation. In this state, since the hot plate 6 and the substrate W are spaced apart, the amount of heat given to the substrate W at this time is smaller than when the substrate W is placed on the hot plate 6.

第2処理例の最終リンス工程(S8)では、ホットプレート6による基板Wの加熱により、基板Wの上面が、予め定める事前加熱温度(第2の温度)TE2まで昇温させられる。事前加熱温度TE2は、IPAの沸点(82.4℃)よりも低く常温よりも高い所定の温度(たとえば約40℃〜約80℃)に設定されている。
基板Wの上面の温度が事前加熱温度TE2に達した後、基板Wの上面の温度(微細パターン101(図14C等参照)の上面、より詳しくは、各構造体102の上端面102Aの温度)は、事前加熱温度TE2に保持される。このとき、基板Wの上面の全域において、事前加熱温度TE2に保持される。すなわち、基板Wの上面が事前加熱温度TE2になるように、ホットプレート6の中間位置の高さが設定されている。
In the final rinsing step (S8) of the second processing example, the upper surface of the substrate W is heated to a predetermined preheating temperature (second temperature) TE2 by heating the substrate W by the hot plate 6. The preheating temperature TE2 is set to a predetermined temperature (for example, about 40 ° C. to about 80 ° C.) lower than the boiling point of IPA (82.4 ° C.) and higher than normal temperature.
After the temperature of the upper surface of the substrate W reaches the preheating temperature TE2, the temperature of the upper surface of the substrate W (the upper surface of the fine pattern 101 (see FIG. 14C and the like), more specifically, the temperature of the upper end surface 102A of each structure 102). Is maintained at the preheating temperature TE2. At this time, the entire area of the upper surface of the substrate W is maintained at the preheating temperature TE2. That is, the height of the intermediate position of the hot plate 6 is set so that the upper surface of the substrate W becomes the preheating temperature TE2.

これにより、第2処理例の最終リンス工程(S8)および有機溶剤置換工程(S9)では、基板Wの上面が事前加熱温度TE2に温められている。そのため、基板Wの上面に接触している液体のIPAの拡散係数が上昇し、これにより、IPAの置換効率を高めることができる。その結果、有機溶剤置換工程(S9)の実行期間を短縮することが可能である。   Thus, in the final rinsing step (S8) and the organic solvent replacement step (S9) of the second processing example, the upper surface of the substrate W is warmed to the preheating temperature TE2. For this reason, the diffusion coefficient of the IPA of the liquid that is in contact with the upper surface of the substrate W is increased, whereby the replacement efficiency of the IPA can be increased. As a result, it is possible to shorten the execution period of the organic solvent replacement step (S9).

また、基板Wの上面が温められている状態で基板高温化工程(S10)を開始するので、基板Wの上面が液膜浮上温度TE1まで昇温するのに要する時間を短縮でき、その結果、基板高温化工程(S10)の実行期間を短縮することが可能である。
そして、有機溶剤置換工程(S9)の実行期間が終了すると、制御装置3は、プレート昇降ユニット16を制御して、ホットプレート6を、中間位置(図18に示す位置)から上位置(図13G等に示す位置)まで上昇させる。これにより、基板保持回転ユニット5から基板Wが離脱して、ホットプレート6に基板Wが引き渡され、次いで、基板高温化工程(S10)が実行される。
In addition, since the substrate temperature increasing step (S10) is started in a state where the upper surface of the substrate W is warmed, the time required for the upper surface of the substrate W to rise to the liquid film floating temperature TE1 can be shortened. It is possible to shorten the execution period of the substrate temperature increasing step (S10).
When the execution period of the organic solvent replacement step (S9) ends, the control device 3 controls the plate lifting unit 16 to move the hot plate 6 from the intermediate position (position shown in FIG. 18) to the upper position (FIG. 13G). Etc.). Thereby, the substrate W is detached from the substrate holding and rotating unit 5, and the substrate W is delivered to the hot plate 6, and then the substrate temperature increasing step (S10) is performed.

なお、第2処理例では、ホットプレート6による基板Wの加熱を最終リンス工程(S8)から開始しているが、有機溶剤置換工程(S9)から基板Wの加熱を開始するようにしてもよい。
図19は、本発明に係る第3処理例における、IPAの吐出流量の変化、および基板Wの回転速度の変化を示す図である。
In the second processing example, the heating of the substrate W by the hot plate 6 is started from the final rinse step (S8). However, the heating of the substrate W may be started from the organic solvent replacement step (S9). .
FIG. 19 is a diagram showing a change in the discharge flow rate of IPA and a change in the rotation speed of the substrate W in the third processing example according to the present invention.

基板処理装置1において実行される第3処理例が、前述の第1処理例と相違する点は、有機溶剤置換工程(S9)において、パドル工程(S92)の終了後、基板高温化工程(S10)の開始に先立って、第2の高速回転工程(ステップS93。図19参照)が実行される点である。第2の高速回転工程(S92)では、有機溶剤置換工程(S9)に並行して、基板Wが、パドル工程(S92)における基板Wの回転速度よりも速い速度で回転させられる。   The third processing example executed in the substrate processing apparatus 1 is different from the first processing example described above in that in the organic solvent replacement step (S9), after the paddle step (S92) is completed, the substrate temperature increasing step (S10). The second high-speed rotation step (step S93; see FIG. 19) is executed prior to the start of). In the second high-speed rotation step (S92), in parallel with the organic solvent replacement step (S9), the substrate W is rotated at a speed higher than the rotation speed of the substrate W in the paddle step (S92).

具体的には、パドル工程(S92)の終了後、制御装置3は、基板Wの回転を、パドル速度v1から高速回転速度v3(たとえば800rpm)に加速させ、所定の高速回転時間t3(たとえば約5秒間)の間、基板Wを、高速回転速度v3で回転させる。基板Wの高速回転により、基板W上のIPAの液膜111に基板Wの回転による遠心力が作用してIPAの液膜111が押し広げられ、IPAの液膜111の厚みが薄膜化(たとえば0.5mm)される。   Specifically, after completion of the paddle process (S92), the control device 3 accelerates the rotation of the substrate W from the paddle speed v1 to the high-speed rotation speed v3 (for example, 800 rpm), and a predetermined high-speed rotation time t3 (for example, about For 5 seconds), the substrate W is rotated at the high-speed rotation speed v3. Due to the high-speed rotation of the substrate W, the centrifugal force due to the rotation of the substrate W acts on the IPA liquid film 111 on the substrate W to spread the IPA liquid film 111, and the thickness of the IPA liquid film 111 is reduced (for example, 0.5 mm).

パドル工程(S92)では、基板W上の液体のIPAに作用する遠心力が零または小さいため、IPAの液膜111の厚みが厚い(たとえば1mm)。この厚みのまま基板高温化工程(S10)に移行すると、基板Wの上方に浮上するIPAの液膜111の厚みが厚くなり、液膜排除工程(S11)においてIPAの液膜111を排除するために長期間を要するおそれがある。   In the paddle process (S92), since the centrifugal force acting on the liquid IPA on the substrate W is zero or small, the IPA liquid film 111 is thick (for example, 1 mm). If the process proceeds to the substrate high temperature step (S10) with this thickness, the thickness of the IPA liquid film 111 that floats above the substrate W increases, and the IPA liquid film 111 is excluded in the liquid film removal step (S11). May take a long time.

これに対し、処理例3では、基板高温化工程(S10)に先立って第2の高速回転工程(S9)が実行されるので、基板高温化工程(S10)において、基板Wの上方に浮上するIPAの液膜111の厚みが薄く(たとえば0.5mm)なり、これにより、液膜排除工程(S11)の実行期間(IPAの液膜111を排除するために要する期間)を短縮できる。   On the other hand, in the processing example 3, since the second high-speed rotation step (S9) is executed prior to the substrate high temperature step (S10), the substrate floats above the substrate W in the substrate high temperature step (S10). The thickness of the IPA liquid film 111 is reduced (for example, 0.5 mm), whereby the execution period of the liquid film removal step (S11) (the period required for removing the IPA liquid film 111) can be shortened.

なお、処理例3では、第2の高速回転工程(S93)における基板Wの回転速度を、第1の高速回転工程(S91)における基板Wの回転速度(高速回転速度v3)と同等としているが、これは一例に過ぎず、第1の高速回転工程(S91)における基板Wの回転速度と異なる速度に設定できる。
また、第1〜第3処理例において、下カップ37および蓋部材39の内部空間が密閉された状態で最終リンス工程(S8)が実行されるものとして説明したが、下カップ37および蓋部材39の内部空間が開放されている(蓋部材39が開位置にある)状態で最終リンス工程(S8)が実行されてもよい。リンス液上配管44のリンス液吐出口47からのリンス液を基板Wの上面に供給してもよいし、リンス液ノズル28を基板Wの上面に対向して配置させ、リンス液ノズル28からのリンス液を基板Wの上面に供給してもよい。この場合、最終リンス工程(S8)の後、下カップ37および蓋部材39の内部空間が密閉状態にされる。
In Processing Example 3, the rotation speed of the substrate W in the second high-speed rotation process (S93) is equal to the rotation speed (high-speed rotation speed v3) of the substrate W in the first high-speed rotation process (S91). This is only an example, and can be set to a speed different from the rotation speed of the substrate W in the first high-speed rotation step (S91).
In the first to third processing examples, it has been described that the final rinsing step (S8) is performed in a state where the inner space of the lower cup 37 and the lid member 39 is sealed. The final rinsing step (S8) may be performed in a state where the internal space is open (the lid member 39 is in the open position). The rinsing liquid from the rinsing liquid discharge port 47 of the rinsing liquid upper pipe 44 may be supplied to the upper surface of the substrate W, or the rinsing liquid nozzle 28 is disposed to face the upper surface of the substrate W, and the rinsing liquid nozzle 28 A rinse liquid may be supplied to the upper surface of the substrate W. In this case, the internal space of the lower cup 37 and the lid member 39 is sealed after the final rinsing step (S8).

また、第1〜第3処理例において、第1薬液工程(S2,S6)を複数回(2回)繰り返しているが、第1薬液工程(S2,S6)は1回のみであってもよい。
また、第1〜第3処理例の第1および第2薬液工程(S2,S4,S6)ならびに第1〜第3のリンス工程(S3,S5,S7)において、基板Wの上下両面処理を例に挙げて説明したが、これらの工程(S2〜S7)において、基板Wの上面(パターン形成面)のみを処理するものであってもよい。
In the first to third processing examples, the first chemical liquid process (S2, S6) is repeated a plurality of times (twice), but the first chemical liquid process (S2, S6) may be performed only once. .
Further, in the first and second chemical liquid steps (S2, S4, S6) and the first to third rinse steps (S3, S5, S7) of the first to third processing examples, the upper and lower side processing of the substrate W is taken as an example. However, in these steps (S2 to S7), only the upper surface (pattern forming surface) of the substrate W may be processed.

また、第1〜第3処理例において、第3のリンス工程(S7)を省略してもよい。
以上、この発明の一実施形態について説明したが、本発明は他の形態で実施することできる。
たとえば、図20に示すように、多数個のエンボス61が、基板対向面6aの全域ではなく、基板対向面6aの周縁部にのみ配置されていてもよい。図20では、基板対向面6aの周縁部において、回転軸線A1を中心とする第4の仮想円69上に、多数個のエンボス161が等間隔で配置されている。
In the first to third processing examples, the third rinsing step (S7) may be omitted.
As mentioned above, although one Embodiment of this invention was described, this invention can be implemented with another form.
For example, as shown in FIG. 20, a large number of embosses 61 may be arranged not only on the entire area of the substrate facing surface 6a but only on the peripheral edge of the substrate facing surface 6a. In FIG. 20, a large number of embossments 161 are arranged at equal intervals on a fourth virtual circle 69 centered on the rotation axis A1 at the peripheral edge of the substrate facing surface 6a.

また、球体66の一部により構成されるエンボス61に代えて、図21に示すように、ホットプレート6と一体に設けられたエンボス161が採用されていてもよい。
また、前述の実施形態では、各伸縮ユニット24の配置位置は、たとえば固定ピン10と、ホットプレート6の円周方向に関して揃っているとして説明したが、ホットプレート6の円周方向に関してずれていてもよい。この場合、基板Wが傾斜姿勢をなす状態で、最も長さの短い伸縮ユニット224に最も近い固定ピン10(固定ピン210)が、傾斜している基板Wの周縁部の低位側の部分と当接することにより、ホットプレート6上からの基板Wの滑落が防止されていてもよい。
Further, in place of the emboss 61 constituted by a part of the sphere 66, as shown in FIG. 21, an emboss 161 provided integrally with the hot plate 6 may be employed.
Further, in the above-described embodiment, it has been described that the arrangement positions of the respective expansion and contraction units 24 are aligned with respect to the circumferential direction of the fixing pin 10 and the hot plate 6, for example, but are shifted with respect to the circumferential direction of the hot plate 6. Also good. In this case, in a state where the substrate W is inclined, the fixed pin 10 (fixed pin 210) closest to the shortest telescopic unit 224 is in contact with the lower portion of the peripheral edge of the inclined substrate W. The contact of the substrate W from the hot plate 6 may be prevented by contacting.

また、前述の実施形態では、ホットプレート6を昇降させることにより、ホットプレート6と基板保持回転ユニット5との間で基板Wを受け渡す構成を例に挙げて説明したが、基板保持回転ユニット5を昇降させることにより、また、ホットプレート6と基板保持回転ユニット5との双方を昇降させることにより、ホットプレート6と基板Wとを受け渡すようにしてもよい。   In the above-described embodiment, the configuration in which the substrate W is transferred between the hot plate 6 and the substrate holding and rotating unit 5 by moving the hot plate 6 up and down has been described as an example. Alternatively, the hot plate 6 and the substrate W may be transferred by raising and lowering both the hot plate 6 and the substrate holding and rotating unit 5.

また、固定ピン210とは別の部材で滑落防止部材を設け、この滑落防止部材が、傾斜姿勢で基板Wの低位側の周縁部に係合することにより、ホットプレート6上からの基板Wの滑落の防止を図るようにしてもよい。また、基板Wおよびホットプレート6が傾斜姿勢をなす状態における、前記の傾斜角度が十分に小さい場合や、エンボス61と基板Wの下面との間に生じる接触摩擦力の大きさが十分に大きい場合には、基板Wが、基板対向面6aに沿う方向に移動しない。したがって、この場合には、固定ピン210、他の滑落防止部材等による基板Wの滑落の防止を図らなくてもよい。例えば、エンボス61(161)の先端を接触摩擦力が高い部材で構成すると、エンボス61(161)のみによって、基板Wの周縁部を固定ピン210等で支えなくても、傾斜した基板Wの滑落を防止することができるようになる。   Further, a slip-preventing member is provided as a member different from the fixing pin 210, and this slip-preventing member is engaged with the lower peripheral portion of the substrate W in an inclined posture, whereby the substrate W from above the hot plate 6 is placed. You may make it aim at prevention of sliding. Further, when the tilt angle is sufficiently small in a state where the substrate W and the hot plate 6 are tilted, or when the magnitude of the contact friction force generated between the emboss 61 and the lower surface of the substrate W is sufficiently large. The substrate W does not move in the direction along the substrate facing surface 6a. Therefore, in this case, it is not necessary to prevent the substrate W from slipping by the fixing pins 210, other slip-preventing members, or the like. For example, when the tip of the emboss 61 (161) is made of a member having a high contact frictional force, the inclined substrate W slides down only by the emboss 61 (161) without supporting the peripheral edge of the substrate W with the fixing pin 210 or the like. Can be prevented.

また、前述の実施形態では、基板高温化工程(S10)において、ホットプレート6に基板Wが載置された状態で基板Wを加熱するものとして説明したが、基板高温化工程(S10)において、基板保持回転ユニット5に保持されている基板Wの下面にホットプレート6を近接配置して基板Wを加熱するようにしてもよい。この場合、ホットプレート6と基板Wとの間隔を変化させることにより、基板Wに与えられる熱量を調整できる。   In the above-described embodiment, it has been described that the substrate W is heated in a state where the substrate W is placed on the hot plate 6 in the substrate temperature increasing step (S10). However, in the substrate temperature increasing step (S10), The substrate W may be heated by disposing the hot plate 6 close to the lower surface of the substrate W held by the substrate holding / rotating unit 5. In this case, the amount of heat given to the substrate W can be adjusted by changing the distance between the hot plate 6 and the substrate W.

また、前述の実施形態では、プレート昇降機構16を用いてホットプレート6を昇降させることにより基板Wの加熱温度を調整したが、ホットプレート6の発熱量が少なくとも2段階(ON状態とOFF状態)で調整できる場合には、プレート昇降機構16によらずに基板Wの加熱温度を調整することが可能である。
この場合、基板高温化工程(S10)に並行して基板Wを回転させることが可能である。基板高温化工程(S10)における基板Wの回転は、基板高温化工程(S10)の一部の期間で実行されてもよいし、全期間に亘って実行されてもよい。但し、この場合の基板Wの回転速度は、基板Wの上面の周縁部が冷却されない程度の低速(たとえば約10rpm〜約100rpm程度)であることが望ましい。基板Wの回転速度が低速である場合、基板高温化工程(S10)においてIPAの液膜111に小さな遠心力しか作用しないため、IPAの液膜111への亀裂等113の発生を、より確実に防止できる。
In the above-described embodiment, the heating temperature of the substrate W is adjusted by moving the hot plate 6 up and down using the plate lifting mechanism 16, but the amount of heat generated by the hot plate 6 is at least two levels (ON state and OFF state). If it is possible to adjust the heating temperature of the substrate W, it is possible to adjust the heating temperature of the substrate W without using the plate lifting mechanism 16.
In this case, the substrate W can be rotated in parallel with the substrate high temperature process (S10). The rotation of the substrate W in the substrate temperature increasing step (S10) may be performed during a part of the substrate temperature increasing step (S10) or may be performed over the entire period. However, the rotation speed of the substrate W in this case is desirably a low speed (for example, about 10 rpm to about 100 rpm) such that the peripheral edge of the upper surface of the substrate W is not cooled. When the rotation speed of the substrate W is low, only a small centrifugal force acts on the IPA liquid film 111 in the substrate temperature increasing step (S10). Can be prevented.

前述の実施形態では、第1および第2薬液として、それぞれフッ酸およびSC1を例示したが、洗浄処理、エッチング処理等では、第1または第2薬液として、硫酸、酢酸、硝酸、塩酸、フッ酸、アンモニア水、過酸化水素水、有機酸(たとえばクエン酸、蓚酸など)、有機アルカリ(たとえば、TMAH:テトラメチルアンモニウムハイドロオキサイドなど)、界面活性剤、腐食防止剤のうちの少なくとも1つを含む液を採用できる。   In the above-described embodiment, hydrofluoric acid and SC1 are exemplified as the first and second chemical solutions, respectively. However, in the cleaning process and the etching process, sulfuric acid, acetic acid, nitric acid, hydrochloric acid, and hydrofluoric acid are used as the first or second chemical liquid. , Ammonia water, hydrogen peroxide solution, organic acid (for example, citric acid, oxalic acid, etc.), organic alkali (for example, TMAH: tetramethylammonium hydroxide, etc.), surfactant, and corrosion inhibitor Liquid can be used.

また、複数種類(2種類)の薬液を用いるのではなく、1種類の薬液のみを用いて基板Wに処理を施すものであってもよい。
また、リンス液より低い表面張力を有する有機溶剤としてIPAを例に挙げて説明したが、IPA以外に、たとえば、メタノール、エタノール、アセトン、およびHFE(ハイドロフルオロエーテル)などを採用できる。
Further, instead of using a plurality of types (two types) of chemical solutions, the substrate W may be processed using only one type of chemical solution.
In addition, IPA has been described as an example of an organic solvent having a surface tension lower than that of the rinsing liquid. However, in addition to IPA, for example, methanol, ethanol, acetone, HFE (hydrofluoroether), and the like can be employed.

また、リンス液としてDIWを用いる場合を例に挙げて説明したが、リンス液は、DIWに限らず、炭酸水、電解イオン水、オゾン水、希釈濃度(たとえば、10〜100ppm程度)の塩酸水、還元水(水素水)などをリンス液として採用することもできる。
また、前述した実施形態の薬液処理(エッチング処理、洗浄処理等)は、大気圧の下で実行したが、処理雰囲気の圧力はこれに限られるものではない。たとえば、蓋部材39と下カップ37とで区画される密閉空間の雰囲気を所定の圧力調整手段を用いて加減圧することにより、大気圧よりも高い高圧雰囲気または大気圧よりも低い減圧雰囲気とした上で各実施形態のエッチング処理、洗浄処理等を実行してもよい。
Moreover, although the case where DIW was used as an example of the rinsing liquid was described as an example, the rinsing liquid is not limited to DIW, but carbonated water, electrolytic ion water, ozone water, hydrochloric acid water having a diluted concentration (for example, about 10 to 100 ppm). Further, reduced water (hydrogen water) or the like can be used as the rinse liquid.
Moreover, although the chemical | medical solution process (etching process, washing | cleaning process, etc.) of embodiment mentioned above was performed under atmospheric pressure, the pressure of process atmosphere is not restricted to this. For example, the atmosphere of the sealed space defined by the lid member 39 and the lower cup 37 is pressurized and depressurized using a predetermined pressure adjusting means, thereby obtaining a high-pressure atmosphere higher than atmospheric pressure or a reduced-pressure atmosphere lower than atmospheric pressure. The etching process and the cleaning process of each embodiment may be performed on the above.

その他、特許請求の範囲に記載された事項の範囲で種々の設計変更を施すことが可能である。   In addition, various design changes can be made within the scope of matters described in the claims.

1 基板処理装置
5 基板保持回転ユニット(基板保持手段)
6 ホットプレート
6a 基板対向面
8 有機溶剤供給ユニット(処理液供給手段)
17 支持部材
17a 支持面
24 伸縮ユニット(伸縮手段)
25 伸縮駆動ユニット(伸縮駆動手段)
61 エンボス
90 ホットプレート姿勢変更ユニット(ホットプレート姿勢変更手段)
111 IPAの液膜(有機溶剤の液膜)
112 IPAの蒸発気体膜(有機溶剤の蒸発気体膜)
161 エンボス
210 支持ピン
W 基板
DESCRIPTION OF SYMBOLS 1 Substrate processing apparatus 5 Substrate holding | maintenance rotation unit (substrate holding means)
6 Hot plate 6a Substrate facing surface 8 Organic solvent supply unit (treatment liquid supply means)
17 Supporting member 17a Supporting surface 24 Extending / contracting unit (contracting means)
25 Telescopic drive unit (Extensible drive means)
61 Emboss 90 Hot plate posture change unit (Hot plate posture change means)
111 IPA liquid film (organic solvent liquid film)
112 Evaporated gas film of IPA (evaporated gas film of organic solvent)
161 Emboss 210 Support pin W Substrate

Claims (11)

基板を水平姿勢に保持する基板保持手段と、
前記基板の上面に付着しているリンス液を前記リンス液よりも表面張力の低い液体の有機溶剤で置換すべく、前記有機溶剤を前記基板の上面に供給して、有機溶剤の液膜を形成する有機溶剤供給手段と、
前記基板を下方から加熱して前記有機溶剤の液膜を加熱するホットプレートであって、前記基板の上面を前記有機溶剤の沸点よりも高い所定の第1の温度に到達させ、これにより、前記基板の上面を覆うように形成される有機溶剤の液膜と前記基板の上面との間に、前記上面全域において有機溶剤の蒸発気体膜を形成させると共に、前記有機溶剤の蒸発気体膜の上方に前記有機溶剤の液膜を亀裂が生じないように浮上させるホットプレートと、
前記基板と前記ホットプレートとの相対姿勢を一定に維持しながら、前記基板および前記ホットプレートを、前記基板の上面を水平にして前記基板上に前記有機溶剤の液膜を保持させる水平姿勢と、前記基板の上面を水平面に対して傾斜させて前記ホットプレートにより加熱された前記有機溶剤の液膜を前記基板上から排除する傾斜姿勢との間で姿勢変更させる姿勢変更手段であって、浮上している前記有機溶剤の液膜を前記基板の上面の上方から排除するために、前記基板および前記ホットプレートを、前記水平姿勢から前記傾斜姿勢に姿勢変更させる姿勢変更手段とを含む、基板処理装置。
Substrate holding means for holding the substrate in a horizontal position;
In order to replace the rinse liquid adhering to the upper surface of the substrate with a liquid organic solvent having a lower surface tension than the rinse liquid, the organic solvent is supplied to the upper surface of the substrate to form a liquid film of the organic solvent. An organic solvent supply means,
A hot plate that heats the substrate from below and heats the liquid film of the organic solvent, the upper surface of the substrate reaching a predetermined first temperature higher than the boiling point of the organic solvent, An organic solvent evaporative gas film is formed over the entire upper surface between the organic solvent liquid film formed to cover the upper surface of the substrate and the upper surface of the substrate, and above the organic solvent evaporative gas film. A hot plate that floats the liquid film of the organic solvent so as not to cause cracks ;
While maintaining the relative posture of the substrate and the hot plate constant, the substrate and the hot plate are horizontally oriented to hold the liquid film of the organic solvent on the substrate with the upper surface of the substrate horizontal. A posture changing means for changing the posture between an inclined posture in which the upper surface of the substrate is inclined with respect to a horizontal plane and the liquid film of the organic solvent heated by the hot plate is removed from the substrate; A substrate processing apparatus comprising: a posture changing means for changing the posture of the substrate and the hot plate from the horizontal posture to the inclined posture in order to remove the liquid film of the organic solvent from above the upper surface of the substrate. .
前記ホットプレートは、前記基板の下面に接触して当該基板を支持するものであり、
前記姿勢変更手段は、前記ホットプレートを、前記基板を支持しつつ、前記水平姿勢と前記傾斜姿勢との間で姿勢変更させるホットプレート姿勢変更手段を含む、請求項1に記載の基板処理装置。
The hot plate is to contact the lower surface of the substrate and support the substrate,
The substrate processing apparatus according to claim 1, wherein the attitude changing unit includes a hot plate attitude changing unit that changes the attitude of the hot plate between the horizontal attitude and the inclined attitude while supporting the substrate.
前記ホットプレート姿勢変更手段は、
水平な支持面を有する支持部材と、
前記支持面上に配置され、前記支持面に交差する方向に伸縮可能に設けられて前記ホットプレートの周縁部を下方から支持する複数の伸縮手段と、
少なくとも1つの前記伸縮手段の長さをそれ以外の前記伸縮手段と異ならせるように、前記伸縮手段を伸縮させる伸縮駆動手段とを含む、請求項2に記載の基板処理装置。
The hot plate posture changing means includes
A support member having a horizontal support surface;
A plurality of expansion / contraction means disposed on the support surface and provided so as to be expandable / contractible in a direction intersecting the support surface, and supporting a peripheral edge of the hot plate from below;
The substrate processing apparatus according to claim 2, further comprising: an expansion / contraction driving unit that expands / contracts the expansion / contraction unit such that the length of at least one expansion / contraction unit differs from the other expansion / contraction unit.
前記基板が前記傾斜姿勢をなす状態で、傾斜している基板の低位側の周縁部と当接して、前記ホットプレート上からの前記基板の滑落を防止する滑落防止部材をさらに含む、請求項2または3に記載の基板処理装置。   The anti-skid member for preventing the substrate from sliding off from the hot plate by contacting the peripheral edge of the inclined substrate with the substrate in the inclined posture. Or the substrate processing apparatus of 3. 前記基板の周縁部と当接して前記基板を支持する支持ピンを有し、前記ホットプレートとの間で基板が受渡し可能に設けられた基板保持手段をさらに含み、
前記支持ピンが前記滑落防止部材として機能している、請求項4に記載の基板処理装置。
A support pin for supporting the substrate in contact with a peripheral portion of the substrate, further including a substrate holding means provided so that the substrate can be delivered to and from the hot plate;
The substrate processing apparatus according to claim 4, wherein the support pin functions as the slip-preventing member.
前記ホットプレートは、
前記基板の下面に対向する基板対向面と、
前記基板対向面に設けられた配置された複数のエンボスとを含み、
前記複数のエンボスは、前記基板の下面に当接して、当該基板を、前記基板対向面と所定の隙間を隔てた状態に支持する、請求項4または5に記載の基板処理装置。
The hot plate is
A substrate facing surface facing the lower surface of the substrate;
And a plurality of embossments arranged on the substrate facing surface,
The substrate processing apparatus according to claim 4, wherein the plurality of embosses are in contact with a lower surface of the substrate and support the substrate in a state of being separated from the substrate facing surface by a predetermined gap.
前記複数のエンボスが前記滑落防止部材として機能している、請求項6に記載の基板処理装置。   The substrate processing apparatus according to claim 6, wherein the plurality of embosses function as the slip-preventing member. 前記複数のエンボスは、前記基板対向面の全域に分散配置されている、請求項6または7に記載の基板処理装置。   The substrate processing apparatus according to claim 6, wherein the plurality of embosses are distributed over the entire surface of the substrate facing surface. 前記複数のエンボスは、前記基板対向面の周縁部にのみ配置されている、請求項6または7に記載の基板処理装置。   The substrate processing apparatus according to claim 6, wherein the plurality of embosses are arranged only at a peripheral portion of the substrate facing surface. 前記姿勢変更手段は、前記ホットプレートによる前記液膜の加熱により、前記基板の上面の上方空間に前記有機溶剤の蒸発気体膜が形成された後に、前記ホットプレートを、前記水平姿勢から前記傾斜姿勢に傾斜させる、請求項1〜9のいずれか一項に記載の基板処理装置。 The posture changing means is configured to heat the liquid film by the hot plate, and after the evaporated gas film of the organic solvent is formed in the upper space of the upper surface of the substrate, the hot plate is moved from the horizontal posture to the inclined posture. The substrate processing apparatus according to any one of claims 1 to 9, wherein the substrate processing apparatus is tilted in a vertical direction. 水平姿勢に保持されている基板の上面に付着しているリンス液よりも表面張力の低い液体の有機溶剤を前記基板の上面に供給して、前記リンス液を前記有機溶剤で置換する有機溶剤置換工程と、
前記有機溶剤置換工程の開始後、ホットプレートによって前記基板を下方から加熱して、前記基板の上面を前記有機溶剤の沸点よりも高い所定の第1の温度に到達させ、これにより、前記基板の上面を覆うように形成される有機溶剤の液膜と前記基板の上面との間に、前記上面全域において有機溶剤の蒸発気体膜を形成させると共に、前記有機溶剤の蒸発気体膜の上方に前記有機溶剤の液膜を亀裂が生じないように浮上させる基板高温化工程と、
前記基板を加熱しながら、前記基板と前記ホットプレートとの相対姿勢を一定に維持しながら、前記基板および前記ホットプレートを、前記基板の上面が水平面に対して傾斜する傾斜姿勢に姿勢変更させることにより、浮上している前記有機溶剤の液膜を、前記基板の上面の上方から排除する有機溶剤排除工程とを含む、基板処理方法。
Organic solvent replacement in which a liquid organic solvent having a lower surface tension than the rinsing liquid adhering to the upper surface of the substrate held in a horizontal posture is supplied to the upper surface of the substrate, and the rinsing liquid is replaced with the organic solvent. Process,
After the start of the organic solvent replacement step, the substrate is heated from below by a hot plate so that the upper surface of the substrate reaches a predetermined first temperature higher than the boiling point of the organic solvent, thereby An organic solvent evaporative gas film is formed over the entire upper surface between the organic solvent liquid film formed to cover the upper surface and the upper surface of the substrate, and the organic solvent evaporates above the organic solvent evaporative gas film. A substrate high-temperature process for floating the liquid film of the solvent so as not to cause cracks ;
While the substrate is heated , the posture of the substrate and the hot plate is changed to an inclined posture in which the upper surface of the substrate is inclined with respect to a horizontal plane while maintaining a relative posture between the substrate and the hot plate constant. The organic solvent exclusion process which excludes the liquid film of the said organic solvent which floats from above the upper surface of the said board | substrate.
JP2014037293A 2014-02-27 2014-02-27 Substrate processing apparatus and substrate processing method Active JP6270268B2 (en)

Priority Applications (15)

Application Number Priority Date Filing Date Title
JP2014037293A JP6270268B2 (en) 2014-02-27 2014-02-27 Substrate processing apparatus and substrate processing method
TW107115285A TWI667722B (en) 2014-02-27 2015-02-26 Substrate processing apparatus
TW107115286A TWI654703B (en) 2014-02-27 2015-02-26 Substrate processing device
US14/632,500 US9728443B2 (en) 2014-02-27 2015-02-26 Substrate processing apparatus and substrate processing method
CN201710741035.4A CN107393851B (en) 2014-02-27 2015-02-26 Substrate processing apparatus and substrate processing method
CN201810131309.2A CN108198748B (en) 2014-02-27 2015-02-26 Substrate processing apparatus
CN201510087911.7A CN104882359B (en) 2014-02-27 2015-02-26 Substrate board treatment and substrate processing method using same
KR1020150027580A KR102267508B1 (en) 2014-02-27 2015-02-26 Substrate processing apparatus and substrate processing method
TW107115284A TWI661502B (en) 2014-02-27 2015-02-26 Substrate processing apparatus
TW104106198A TWI626701B (en) 2014-02-27 2015-02-26 Substrate processing apparatus and substrate processing method
CN201810131287.XA CN108155133B (en) 2014-02-27 2015-02-26 Substrate processing apparatus
US15/642,928 US10825713B2 (en) 2014-02-27 2017-07-06 Substrate processing apparatus and substrate processing method
KR1020210077363A KR102306469B1 (en) 2014-02-27 2021-06-15 Substrate processing apparatus and substrate processing method
KR1020210077366A KR102384737B1 (en) 2014-02-27 2021-06-15 Substrate processing apparatus and substrate processing method
KR1020210077364A KR102384735B1 (en) 2014-02-27 2021-06-15 Substrate processing apparatus and substrate processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014037293A JP6270268B2 (en) 2014-02-27 2014-02-27 Substrate processing apparatus and substrate processing method

Publications (2)

Publication Number Publication Date
JP2015162597A JP2015162597A (en) 2015-09-07
JP6270268B2 true JP6270268B2 (en) 2018-01-31

Family

ID=54185492

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014037293A Active JP6270268B2 (en) 2014-02-27 2014-02-27 Substrate processing apparatus and substrate processing method

Country Status (1)

Country Link
JP (1) JP6270268B2 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6674679B2 (en) * 2015-09-29 2020-04-01 株式会社Screenホールディングス Substrate holding / rotating apparatus, substrate processing apparatus having the same, and substrate processing method
JP6660628B2 (en) * 2015-09-29 2020-03-11 株式会社Screenホールディングス Substrate holding / rotating apparatus, substrate processing apparatus having the same, and substrate processing method
JP6845696B2 (en) * 2016-02-25 2021-03-24 芝浦メカトロニクス株式会社 Substrate processing equipment, substrate processing method and substrate manufacturing method
JP6653608B2 (en) * 2016-03-29 2020-02-26 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
JP6710608B2 (en) * 2016-08-30 2020-06-17 株式会社Screenホールディングス Substrate processing method
JP6770886B2 (en) 2016-12-28 2020-10-21 株式会社Screenホールディングス Substrate processing equipment and substrate processing method
JP6916003B2 (en) * 2017-02-24 2021-08-11 株式会社Screenホールディングス Board processing method and board processing equipment
JP7064339B2 (en) * 2018-01-31 2022-05-10 株式会社Screenホールディングス Board processing method and board processing equipment
KR102162260B1 (en) * 2018-10-22 2020-10-06 세메스 주식회사 Guide pin, unit for supporting photo mask with the guide pin, and apparatus for cleaning photo mask with the guide pin

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10284360A (en) * 1997-04-02 1998-10-23 Hitachi Ltd Substrate temperature control equipment and method
US7011715B2 (en) * 2003-04-03 2006-03-14 Applied Materials, Inc. Rotational thermophoretic drying
KR100696378B1 (en) * 2005-04-13 2007-03-19 삼성전자주식회사 Apparatus and method for cleaning a semiconductor substrate
JPWO2007083358A1 (en) * 2006-01-17 2009-06-11 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
JP2008016660A (en) * 2006-07-06 2008-01-24 Dainippon Screen Mfg Co Ltd Method for treating substrate and substrate treating apparatus
JP5080954B2 (en) * 2007-12-17 2012-11-21 日本発條株式会社 Heater unit and manufacturing method thereof

Also Published As

Publication number Publication date
JP2015162597A (en) 2015-09-07

Similar Documents

Publication Publication Date Title
JP6304592B2 (en) Substrate processing method and substrate processing apparatus
JP6270268B2 (en) Substrate processing apparatus and substrate processing method
KR102384735B1 (en) Substrate processing apparatus and substrate processing method
US11289324B2 (en) Substrate treatment method and substrate treatment apparatus
JP6270270B2 (en) Substrate processing method and substrate processing apparatus
JP6513852B2 (en) Substrate processing method and substrate processing apparatus
JP2019057628A (en) Substrate processing device and substrate processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20161220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170928

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171207

R150 Certificate of patent or registration of utility model

Ref document number: 6270268

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250