JP5880627B2 - Raw material supply apparatus and semiconductor manufacturing apparatus - Google Patents

Raw material supply apparatus and semiconductor manufacturing apparatus Download PDF

Info

Publication number
JP5880627B2
JP5880627B2 JP2014124663A JP2014124663A JP5880627B2 JP 5880627 B2 JP5880627 B2 JP 5880627B2 JP 2014124663 A JP2014124663 A JP 2014124663A JP 2014124663 A JP2014124663 A JP 2014124663A JP 5880627 B2 JP5880627 B2 JP 5880627B2
Authority
JP
Japan
Prior art keywords
valve
raw material
port
liquid
flow path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014124663A
Other languages
Japanese (ja)
Other versions
JP2014179658A5 (en
JP2014179658A (en
Inventor
有 和村
有 和村
古屋 治彦
治彦 古屋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2014124663A priority Critical patent/JP5880627B2/en
Publication of JP2014179658A publication Critical patent/JP2014179658A/en
Publication of JP2014179658A5 publication Critical patent/JP2014179658A5/en
Application granted granted Critical
Publication of JP5880627B2 publication Critical patent/JP5880627B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)

Description

本発明は、液体材料を供給するための原料供給装置及びこの原料供給装置を備えた半導体製造装置に関する。 The present invention relates to a raw material supply apparatus for supplying a liquid material and a semiconductor manufacturing apparatus including the raw material supply apparatus.

半導体製造装置における成膜処理に用いられる処理ガスとしては、金属有機化合物などの液体原料を気化器にて気化したガスを用いる場合がある。成膜プロセスとしては、液体原料である例えばTEMAZ(テトラキスエチルメチルアミノジルコニウム)、TEMAH(テトラキスエチルメチルアミノハフニウム)、Sr(THD)(ストロンチウムビステトラメチルヘプタンジオナト)などを用いて、半導体ウエハ(以下「ウエハ」と言う)に対して高誘電率膜などを成膜する場合などが挙げられる。この装置では、成膜処理の行われる反応容器(反応管)内を真空排気する真空ポンプや液体材料の貯留部である原料タンクは、装置のフットプリントをできるだけ小さくするため、例えば気化器や反応管の下方側(作業者が作業する高さ位置または地下)に設けられる。そして、原料供給管及びバルブなどを備えた原料供給装置によって、上方側に向かって前記液体タンクから気化器に液体材料が供給される。 As a processing gas used for a film forming process in a semiconductor manufacturing apparatus, a gas obtained by vaporizing a liquid raw material such as a metal organic compound in a vaporizer may be used. As the film forming process, a liquid wafer such as TEMAZ (tetrakisethylmethylaminozirconium), TEMAH (tetrakisethylmethylaminohafnium), Sr (THD) 2 (strontium bistetramethylheptanedionate) or the like is used. For example, a high dielectric constant film or the like is formed on (hereinafter referred to as “wafer”). In this apparatus, a vacuum pump that evacuates the reaction vessel (reaction tube) in which film formation is performed and a raw material tank that is a storage part of a liquid material have a device footprint as small as possible, for example, a vaporizer or reaction It is provided on the lower side of the pipe (at the height or underground where the worker works). Then, the liquid material is supplied from the liquid tank to the vaporizer toward the upper side by a raw material supply apparatus including a raw material supply pipe and a valve.

ここで、気化器のメンテナンスを行う場合や液体タンクを取り替える場合など、気化器や液体タンクを装置から取り外すことがある。この時、液体材料が大気に触れると、ジエチルアミン((C2H5)2NH)、ジメチルアミン((CH3)2NH)ガスなどの有毒ガスが発生したり、あるいは大気中の水分と液体材料との反応によって生成した生成物により原料供給管内が閉塞したりするおそれがある。そのため、気化器や液体タンクを装置から取り外す時には、原料供給管内から液体材料を予め除去している。具体的には、例えば下方側(液体タンク側)から窒素(N2)ガスなどの不活性ガスを原料供給管内にパージして液体材料を重力に逆らって上方側に押し出し、気化器側における原料供給管を介して、反応管の手前側(原料供給管側)に設けられたVENT配管より排出する。その後、例えばオクタンなどの洗浄液を下方側から原料供給管内に通流させて原料供給管及び気化器の内部を清浄化して、再度不活性ガスによって前記VENT配管から洗浄液を排出した後、気化器を介して原料供給管内を真空引きして乾燥させる。   Here, the vaporizer and the liquid tank may be removed from the apparatus when performing maintenance of the vaporizer or when replacing the liquid tank. At this time, when the liquid material comes into contact with the atmosphere, a toxic gas such as diethylamine ((C2H5) 2NH) or dimethylamine ((CH3) 2NH) gas is generated, or it is generated by a reaction between moisture in the atmosphere and the liquid material. There is a possibility that the inside of the raw material supply pipe may be blocked by the product. Therefore, when removing the vaporizer and the liquid tank from the apparatus, the liquid material is previously removed from the raw material supply pipe. Specifically, for example, an inert gas such as nitrogen (N2) gas is purged into the raw material supply pipe from the lower side (liquid tank side) to push the liquid material upward against gravity and supply the raw material on the vaporizer side. It is discharged from a VENT pipe provided on the front side (raw material supply pipe side) of the reaction tube through the tube. After that, for example, a cleaning liquid such as octane is allowed to flow from the lower side into the raw material supply pipe to clean the inside of the raw material supply pipe and the vaporizer. After the cleaning liquid is again discharged from the VENT pipe with an inert gas, the vaporizer is Then, the inside of the raw material supply pipe is evacuated and dried.

しかしながら、既述の液体材料の比重が水などよりも大きい(重い)ので、ガスによって下方側から押し出そうとしても、液体材料が原料供給管内に留まって当該液体材料内をガスの気泡が上昇しようとして、いわばバブリング状態となってしまう。そのため、ガス圧を上げても原料供給管内から液体材料を除去しにくい。また、このような液体材料は水などよりも蒸気圧が低いので、例えば洗浄液を供給する前に原料供給管内を真空引きしても揮発しにくい。更に、原料供給管の内部が開放されていないので、原料供給管内から液体材料が除去しきれたことを直接確認できない。   However, since the specific gravity of the liquid material described above is larger (heavy) than water, etc., even if it is pushed out from the lower side by gas, the liquid material stays in the raw material supply pipe, and gas bubbles rise in the liquid material. Attempting to do so results in a bubbling state. Therefore, it is difficult to remove the liquid material from the raw material supply pipe even if the gas pressure is increased. Further, since such a liquid material has a vapor pressure lower than that of water or the like, for example, even if the inside of the raw material supply pipe is evacuated before supplying the cleaning liquid, it is difficult to volatilize. Furthermore, since the inside of the raw material supply pipe is not opened, it cannot be directly confirmed that the liquid material has been completely removed from the raw material supply pipe.

原料供給管内に洗浄液を供給する時に液体材料が残っていると、当該洗浄液に僅かに含まれる水分と液体材料とが反応し、例えば原料供給管に介設されたバルブのダイヤフラム(弁体)の表面に反応生成物が付着(固着)してしまうこともある。この場合には、ダイヤフラムやバルブを頻繁に交換する必要があるため、装置のランニングコストが嵩んでしまう。   If the liquid material remains when supplying the cleaning liquid into the raw material supply pipe, the water slightly contained in the cleaning liquid reacts with the liquid material. For example, a valve diaphragm installed in the raw material supply pipe The reaction product may adhere (adhere) to the surface. In this case, since the diaphragm and the valve need to be frequently replaced, the running cost of the apparatus increases.

そこで、気化器や液体タンクを装置から取り外す時には、原料供給管内に残っている液体材料の量が僅か数十cc程度であっても、液体材料が排出される時間よりも長めに液抜き時間(ガスの供給時間)を確保するために、例えば数日間に亘ってガスを流し続けて原料供給管内の液体材料を取り除くようにしている。従って、原料供給管内の液抜きに要する時間の分だけ装置の稼働時間(成膜時間)が短くなってしまうし、窒素ガスの費用も嵩んでしまう。更に、このようにガスを長期間に亘って原料供給管内に供給しても、依然として液体材料が原料供給管内に残ってしまうことがある。また、洗浄液についても、同様に下方側から上方側に向かってガスにより押し出すことになるため、原料供給管内から排出しにくい。尚、原料供給管に対して上方側(気化器側)からガスにより液体材料を液体タンクに押し戻そうとすると、原料供給管の内壁面を介して液体タンク内の液体材料が汚染されてしまうおそれがある。
特許文献1、2には、上方側から下方側に向かって液体が流れるように原料供給管やバルブを配置する技術について記載されているが、既述の課題には触れられていない。
Therefore, when removing the vaporizer or the liquid tank from the apparatus, even if the amount of the liquid material remaining in the raw material supply pipe is only about several tens of cc, the liquid draining time ( In order to secure the gas supply time), for example, the gas is kept flowing for several days to remove the liquid material in the raw material supply pipe. Therefore, the operation time (film formation time) of the apparatus is shortened by the time required for draining the liquid in the raw material supply pipe, and the cost of nitrogen gas is increased. Further, even when the gas is supplied into the raw material supply pipe for a long time as described above, the liquid material may still remain in the raw material supply pipe. Similarly, the cleaning liquid is also pushed out from the lower side to the upper side by the gas, so that it is difficult to discharge from the raw material supply pipe. If the liquid material is pushed back into the liquid tank by the gas from the upper side (vaporizer side) with respect to the raw material supply pipe, the liquid material in the liquid tank is contaminated through the inner wall surface of the raw material supply pipe. There is a fear.
Patent Documents 1 and 2 describe a technique for arranging a raw material supply pipe and a valve so that a liquid flows from the upper side to the lower side, but the above-described problems are not touched.

特開2005−129782号公報Japanese Patent Laid-Open No. 2005-129784 特開平10−227368号公報Japanese Patent Laid-Open No. 10-227368

本発明はこのような事情に鑑みてなされたものであり、その目的は、液体原料を気化器にて気化させ、気化されたガスを半導体製造用の反応容器内に供給するための原料供給装置において、気化器の上流側の流路から液体材料を容易に排出できる技術を提供することにある。他の目的はこの原料供給装置を用いた半導体製造装置を提供することにある。 The present invention has been made in view of such circumstances, and an object thereof is to provide a raw material supply apparatus for vaporizing a liquid raw material in a vaporizer and supplying the vaporized gas into a reaction vessel for semiconductor production. Is to provide a technique capable of easily discharging the liquid material from the flow path on the upstream side of the vaporizer . Another object is to provide a semiconductor manufacturing apparatus using this raw material supply apparatus.

本発明は、液体原料を気化器にて気化させ、気化されたガスを半導体製造用の反応容器内に供給するための原料供給装置において、
(1)液体原料を供給するための原料供給路と洗浄液を供給するための洗浄液供給路と、パージガスを供給するためのガス供給路と、一端側が気化器へ接続された流路の他端側と、が接続され、プロセス終了後に液体原料を含む流体を流路から排出するための液抜き機構を備え、
(2)前記液抜き機構は、上部側に伸びる流路のポートである上部ポートと、中央ポートと、下部側に伸びる流路のポートである下部ポートと、が上からこの順番に並ぶ三方弁と、上部側に伸びる流路のポートである上部ポートと、下部側に伸びる流路のポートである下部ポートと、が上からこの順番に並ぶ二方弁と、を組み合わせて構成され、
(3)前記液抜き機構は、三方弁からなるガス供給バルブと三方弁からなる洗浄液供給バルブと三方弁からなる下段側補助バルブとから構成された一方のバルブ連接構造部と、前記一方のバルブ連接構造部の横に並べて設けられ、二方弁からなる上段側補助バルブと三方弁からなる原料供給バルブと三方弁からなる原料排出バルブと、を備え、
(4)前記一方のバルブ連接構造部において、前記ガス供給バルブの中央ポートに前記ガス供給路が接続され、前記ガス供給バルブの下部ポートに前記洗浄液供給バルブの上部ポートが接続され、前記洗浄液供給路が前記洗浄液供給バルブの中央ポートに接続され、前記洗浄液供給バルブの下部ポートに前記下段側補助バルブの上部ポートが接続され、一端側が気化器へ接続された流路の他端側が前記下段側補助バルブの中央ポートに接続され、排液路が前記下段側補助バルブの下部ポートに接続され、
(5)前記他方のバルブ連接構造部において、前記ガス供給バルブの上部ポートに前記上段側補助バルブの上部ポートが接続され、前記上段側補助バルブの下部ポートに前記原料供給バルブの上部ポートが接続され、前記原料供給路が前記原料供給バルブの中央ポートに接続され、前記原料供給バルブの下部ポートに前記原料排出バルブの上部ポートが接続され、一端側が気化器へ接続された流路の他端側が前記原料排出バルブの中央ポートに接続され、排液路が前記原料排出バルブの下部ポートに接続されたことを特徴とする。
The present invention provides a raw material supply apparatus for vaporizing a liquid raw material with a vaporizer and supplying the vaporized gas into a reaction vessel for semiconductor production.
(1) A raw material supply path for supplying a liquid raw material, a cleaning liquid supply path for supplying a cleaning liquid, a gas supply path for supplying a purge gas, and the other end of a flow path having one end connected to the vaporizer And a liquid draining mechanism for discharging the fluid containing the liquid raw material from the flow path after completion of the process,
(2) The liquid draining mechanism is a three-way valve in which an upper port that is a port of a flow path extending to the upper side, a central port, and a lower port that is a port of a flow path extending to the lower side are arranged in this order from the top And a two-way valve in which an upper port which is a port of a flow path extending to the upper side and a lower port which is a port of a flow path extending to the lower side are arranged in this order from above,
(3) The liquid draining mechanism includes one valve connecting structure portion including a gas supply valve including a three-way valve, a cleaning liquid supply valve including a three-way valve, and a lower auxiliary valve including a three-way valve, and the one valve Provided side by side with the connecting structure, and includes an upper auxiliary valve composed of a two-way valve, a material supply valve composed of a three-way valve, and a material discharge valve composed of a three-way valve,
(4) In the one valve connecting structure, the gas supply path is connected to a central port of the gas supply valve, an upper port of the cleaning liquid supply valve is connected to a lower port of the gas supply valve, and the cleaning liquid supply The passage is connected to the central port of the cleaning liquid supply valve, the upper port of the lower auxiliary valve is connected to the lower port of the cleaning liquid supply valve, and the other end of the flow path whose one end is connected to the vaporizer is the lower stage Connected to the central port of the auxiliary valve, the drainage path is connected to the lower port of the lower auxiliary valve,
(5) In the other valve connection structure, the upper port of the upper auxiliary valve is connected to the upper port of the gas supply valve, and the upper port of the raw material supply valve is connected to the lower port of the upper auxiliary valve The other end of the flow path in which the raw material supply path is connected to the central port of the raw material supply valve, the upper port of the raw material discharge valve is connected to the lower port of the raw material supply valve, and one end side is connected to the vaporizer The side is connected to the central port of the raw material discharge valve, and the drainage path is connected to the lower port of the raw material discharge valve .

本発明の半導体製造装置は、前記記載の原料供給装置と、
この原料供給装置から供給される液体材料を気化するための気化器と、
この気化器において液体材料を気化して得られた処理ガスを、内部に収納した基板に対して供給するための半導体製造用の反応容器と、を備えたことを特徴とする。
The semiconductor manufacturing apparatus of the present invention includes the raw material supply apparatus described above,
A vaporizer for vaporizing the liquid material supplied from the raw material supply device;
And a reaction vessel for manufacturing a semiconductor for supplying a processing gas obtained by vaporizing a liquid material in the vaporizer to a substrate housed therein.

本発明は、液体原料を供給するための原料供給路と洗浄液を供給するための洗浄液供給路と、パージガスを供給するためのガス供給路と、一端側が気化器へ接続された流路の他端側と、が接続され、プロセス終了後に液体原料を含む流体を流路から排出するための液抜き機構を設け、この液抜き機構に、バルブの配置を工夫したバルブ連接構造部を採用しているため、気化器に供給される原料の供給路から原料を容易に排出することに寄与できる。 The present invention includes a raw material supply path for supplying a liquid raw material, a cleaning liquid supply path for supplying a cleaning liquid, a gas supply path for supplying a purge gas, and the other end of a flow path having one end connected to a vaporizer Is provided with a liquid draining mechanism for discharging the fluid containing the liquid raw material from the flow path after the process is completed, and this liquid draining mechanism employs a valve connection structure with a devised arrangement of valves. Therefore, it can contribute to easily discharging the raw material from the raw material supply path supplied to the vaporizer.

本発明の原料供給装置を備えた縦型熱処理装置の一例を示す縦断面図である。It is a longitudinal cross-sectional view which shows an example of the vertical heat processing apparatus provided with the raw material supply apparatus of this invention. 前記原料供給装置における第1の液抜き機構を示す縦断面図である。It is a longitudinal cross-sectional view which shows the 1st liquid draining mechanism in the said raw material supply apparatus. 前記第1の液抜き機構を模式的に示す模式図である。It is a schematic diagram which shows typically the said 1st liquid draining mechanism. 前記原料供給装置に用いられる三方弁を模式的に示す断面図である。It is sectional drawing which shows typically the three-way valve used for the said raw material supply apparatus. 前記三方弁の流路が開閉する様子を示す模式図である。It is a schematic diagram which shows a mode that the flow path of the said three-way valve opens and closes. 前記三方弁の流路が開閉する様子を示す模式図である。It is a schematic diagram which shows a mode that the flow path of the said three-way valve opens and closes. 前記原料供給装置における第2の液抜き機構を示す縦断面図である。It is a longitudinal cross-sectional view which shows the 2nd liquid draining mechanism in the said raw material supply apparatus. 前記第2の液抜き機構を模式的に示す模式図である。It is a schematic diagram which shows typically the said 2nd liquid draining mechanism. 前記原料供給装置における第3の液抜き機構を示す縦断面図である。It is a longitudinal cross-sectional view which shows the 3rd liquid draining mechanism in the said raw material supply apparatus. 前記第3の液抜き機構を模式的に示す模式図である。It is a schematic diagram which shows typically the said 3rd liquid removal mechanism. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における液抜き工程を示すフロー図である。It is a flowchart which shows the liquid draining process in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記原料供給装置における作用を示す模式図である。It is a schematic diagram which shows the effect | action in the said raw material supply apparatus. 前記縦型熱処理装置において得られる特性図である。It is a characteristic view obtained in the vertical heat treatment apparatus. 本発明と従来例とにおいてバルブのダイヤフラムを示す撮像写真である。It is an imaging photograph which shows the diaphragm of a valve | bulb in this invention and a prior art example.

本発明の原料供給装置を適用した縦型熱処理装置の実施の形態の一例について、図1〜図10を参照して説明する。この縦型熱処理装置は、成膜種を含む液体材料例えばTEMAZ(テトラキスエチルメチルアミノジルコニウム)を気化するための気化器(ベーパライザ)11と、この気化器11において液体材料の気化によって得られた処理ガスをウエハWに供給して成膜処理を行うための反応管(反応容器)12と、を備えた成膜装置として構成されている。そして、原料供給装置13によって、気化器11及び反応管12よりも下方に設けられた原料貯留部14から、原料供給管(立ち上がり管路)15を介して気化器11に液体材料を供給している。この原料供給装置13は、後述するように、例えば気化器11のメンテナンスや原料貯留部14の交換などを行う時において原料供給管15内の液抜き(液体材料の除去)を行う場合には、原料供給管15から液体材料を容易にかつ速やかに排出できるように構成されている。   An example of an embodiment of a vertical heat treatment apparatus to which the raw material supply apparatus of the present invention is applied will be described with reference to FIGS. The vertical heat treatment apparatus includes a vaporizer (vaporizer) 11 for vaporizing a liquid material containing a film-forming species, such as TEMAZ (tetrakisethylmethylaminozirconium), and a treatment obtained by vaporizing the liquid material in the vaporizer 11. The film forming apparatus includes a reaction tube (reaction vessel) 12 for supplying a gas to the wafer W to perform a film forming process. Then, the raw material supply device 13 supplies the liquid material from the raw material reservoir 14 provided below the vaporizer 11 and the reaction tube 12 to the vaporizer 11 via the raw material supply pipe (rising line) 15. Yes. As will be described later, this raw material supply device 13 is, for example, when performing drainage (removal of liquid material) in the raw material supply pipe 15 when performing maintenance of the vaporizer 11 or replacement of the raw material storage unit 14, The liquid material can be easily and quickly discharged from the raw material supply pipe 15.

先ず、反応管12及び気化器11について簡単に説明する。反応管12は、図1に示すように、概略円筒形状に形成された石英によって構成されており、下端面が炉口として開口すると共に、上端面の中央部に、当該反応管12内の雰囲気を真空排気するための排気ポート12aが形成されている。また、反応管12は、ウエハWを棚状に積載したウエハボート21を下方側から気密に挿入できるように、下端面が床面レベルから例えば2m程度高い高さ位置となるようにベースプレート22に支持されている。反応管12の下端部のフランジ部には、処理ガスを反応管12内に供給するためのガスインジェクター23の一端側が気密に挿入されている。反応管12の外側には、当該反応管12内のウエハWを加熱するためのヒータ24が周方向に亘って設けられている。排気ポート12aから伸びる排気管25は、バタフライバルブ26などの圧力調整部を介して、反応管12の下方側例えば床面レベルよりも下方(地下)に設けられた真空排気装置である真空ポンプ27に接続されている。図1中28はウエハボート21を鉛直軸回りに回転させるためのモータである。尚、反応管12や気化器11については、図1では簡略化して描画している。   First, the reaction tube 12 and the vaporizer 11 will be briefly described. As shown in FIG. 1, the reaction tube 12 is made of quartz formed in a substantially cylindrical shape. The lower end surface opens as a furnace port, and the atmosphere in the reaction tube 12 is formed at the center of the upper end surface. An exhaust port 12a for evacuating is formed. In addition, the reaction tube 12 is placed on the base plate 22 so that the lower end surface is at a height of about 2 m, for example, from the floor level so that the wafer boat 21 loaded with wafers W in a shelf shape can be inserted airtightly from below. It is supported. One end side of a gas injector 23 for supplying a processing gas into the reaction tube 12 is inserted into the flange portion at the lower end of the reaction tube 12 in an airtight manner. Outside the reaction tube 12, a heater 24 for heating the wafer W in the reaction tube 12 is provided in the circumferential direction. An exhaust pipe 25 extending from the exhaust port 12a is connected to a vacuum pump 27, which is a vacuum exhaust apparatus provided below the reaction pipe 12, for example, below the floor level (underground) via a pressure adjusting unit such as a butterfly valve 26. It is connected to the. In FIG. 1, reference numeral 28 denotes a motor for rotating the wafer boat 21 around the vertical axis. Note that the reaction tube 12 and the vaporizer 11 are drawn in a simplified manner in FIG.

反応管12の側方側には、当該反応管12に隣接して概略円筒形状の気化器(ベーパライザ)11が設けられており、この気化器11の上端面には、当該気化器11内に液体材料や洗浄液を吐出(噴霧)するためのノズル(二流体ノズル)31が配置されている。このノズル31には、液体材料を供給する液体供給管41と、清浄ガス例えば窒素(N2)ガスを液体材料のキャリアガスとして供給するためのガス供給管42と、が外方側(上方側)から夫々バルブVを介して接続されている。この液体供給管41において、気化器11よりも上流側(原料貯留部14側)には、流量調整部(リキッドマスフローコントローラ)41aが介設されている。   On the side of the reaction tube 12, a substantially cylindrical vaporizer (vaporizer) 11 is provided adjacent to the reaction tube 12, and an upper end surface of the vaporizer 11 is provided in the vaporizer 11. A nozzle (two-fluid nozzle) 31 for discharging (spraying) the liquid material and the cleaning liquid is disposed. The nozzle 31 has a liquid supply pipe 41 for supplying a liquid material, and a gas supply pipe 42 for supplying a clean gas such as nitrogen (N2) gas as a carrier gas for the liquid material. Are connected to each other via a valve V. In the liquid supply pipe 41, a flow rate adjustment unit (liquid mass flow controller) 41 a is interposed on the upstream side (raw material storage unit 14 side) of the vaporizer 11.

気化器11の内壁面には、当該気化器11内に吐出される液体材料を気化させるための図示しないヒータが埋設されており、従って気化器11の内部領域は加熱室11aをなしている。また、気化器11の側面における下方側には、気化器11内にて液体材料の気化によって得られた処理ガスを取り出すための取り出しポート32が形成されており、この取り出しポート32から伸びる気体原料供給管35には、既述のガスインジェクター23の基端側が接続されている。この気体原料供給管35には、既述の排気管25に接続されるVENT配管36がバルブVを介して接続されている。気化器11内には、ノズル31に対向するように、内部に図示しないヒータの埋設された概略円筒形状の熱交換部33が設けられている。図1中、34は気化器11内において気化せずに当該気化器11の床面に落下した液滴を図示しない排出部に排出するためのドレイン口であり、Vはバルブである。また、図1中16aは流量調整部である。既述の気化器(ベーパライザ)11と、液体供給管41及びガス供給管42に各々設けられた気化器11側のバルブVと、流量調整部41aとにより気化システム30が構成されている。、   A heater (not shown) for vaporizing the liquid material discharged into the vaporizer 11 is embedded in the inner wall surface of the vaporizer 11, and thus the internal region of the vaporizer 11 forms a heating chamber 11 a. A take-out port 32 for taking out the processing gas obtained by vaporizing the liquid material in the vaporizer 11 is formed on the lower side of the side surface of the vaporizer 11, and a gas material extending from the take-out port 32 is formed. The supply pipe 35 is connected to the proximal end side of the gas injector 23 described above. A VENT pipe 36 connected to the above-described exhaust pipe 25 is connected to the gaseous material supply pipe 35 via a valve V. In the vaporizer 11, a substantially cylindrical heat exchanging portion 33 in which a heater (not shown) is embedded is provided so as to face the nozzle 31. In FIG. 1, 34 is a drain port for discharging droplets that have not been vaporized in the vaporizer 11 and dropped onto the floor surface of the vaporizer 11 to a discharge unit (not shown), and V is a valve. Moreover, 16a in FIG. 1 is a flow volume adjustment part. The vaporizer (vaporizer) 11, the valve V on the vaporizer 11 side provided in the liquid supply pipe 41 and the gas supply pipe 42, and the flow rate adjusting unit 41a constitute the vaporization system 30. ,

続いて、既述の原料供給装置13について詳述する。ここで、液体材料の貯留された原料貯留部14は、装置のフットプリントをできるだけ小さくするため、真空ポンプ27と同様に反応管12や気化器11の下方側例えば地下に設けられている。従って、原料供給装置13は、以下に説明するように、地下から上方側の気化器11に向かって送液できるように構成されている。この原料貯留部14には、既述の原料供給管15の下方側に接続される供給管14aが設けられており、この供給管14aの下端は、原料供給管15内の液面よりも下方側にて開口している。また、前記地下には、装置のメンテナンスなどを行う時において原料供給管15内の液抜きを容易にかつ速やかに行うために、当該原料供給管15内に供給される既述の清浄ガス(パージ用ガス)及びオクタンなどの洗浄液が夫々貯留されたガス貯留部16及び洗浄液貯留部(供給部)17が設けられている。そして、既述の供給管14aの内部に洗浄液を供給するために、洗浄液の貯留された補助貯槽17aが原料貯留部14に隣接して設けられている。図1中7は原料供給管15内の液面よりも上方側にHe(ヘリウム)ガスなどを供給して、液体材料を供給管14aに向かって圧送するための送液用ガスラインであり、8は補助貯槽17aから供給管14aに洗浄液を供給する補助洗浄液供給管である。また、図1中9はガス貯留部16から供給管14aに清浄ガスを供給する補助ガス供給管であり、図1中10は、前記清浄ガスに含まれる水分を除去するためのフィルターである。   Subsequently, the above-described raw material supply apparatus 13 will be described in detail. Here, the raw material storage part 14 in which the liquid material is stored is provided below the reaction tube 12 and the vaporizer 11, for example, underground, in the same manner as the vacuum pump 27 in order to make the footprint of the apparatus as small as possible. Therefore, as will be described below, the raw material supply device 13 is configured to be able to send liquid from the underground toward the vaporizer 11 on the upper side. The raw material reservoir 14 is provided with a supply pipe 14 a connected to the lower side of the raw material supply pipe 15 described above, and the lower end of the supply pipe 14 a is below the liquid level in the raw material supply pipe 15. Open on the side. In addition, the above-described clean gas (purge) supplied into the raw material supply pipe 15 is provided in the basement in order to easily and quickly drain the liquid from the raw material supply pipe 15 when performing maintenance of the apparatus. Gas storage section 16 and cleaning liquid storage section (supply section) 17 in which cleaning liquids such as gas and octane are stored. An auxiliary storage tank 17a in which the cleaning liquid is stored is provided adjacent to the raw material storage section 14 in order to supply the cleaning liquid to the supply pipe 14a described above. In FIG. 1, reference numeral 7 denotes a liquid supply gas line for supplying He (helium) gas or the like above the liquid level in the raw material supply pipe 15 to pressure-feed the liquid material toward the supply pipe 14a. Reference numeral 8 denotes an auxiliary cleaning liquid supply pipe for supplying a cleaning liquid from the auxiliary storage tank 17a to the supply pipe 14a. Further, 9 in FIG. 1 is an auxiliary gas supply pipe for supplying clean gas from the gas storage section 16 to the supply pipe 14a, and 10 in FIG. 1 is a filter for removing moisture contained in the clean gas.

原料供給装置13には、複数のバルブ18が組み合わされた液抜き機構50が複数箇所例えば4箇所に設けられている。この液抜き機構50は、図1に示すように、原料供給管15における原料貯留部14側及び気化器11側と、洗浄液貯留部17から気化器11に洗浄液を供給する洗浄液供給管(洗浄流体供給管)19における当該洗浄液貯留部17側と、液体材料や洗浄液の排出先である排液部60から上方側(気化器11側)に向かって伸びる第1の原料排出管61と、に各々設けられている。これら液抜き機構50について、原料貯留部14側と、気化器11側と、排液部60側と、を夫々「第1の液抜き機構50a」、「第2の液抜き機構50b」及び「第3の液抜き機構50c」と呼ぶことにする。また、洗浄液供給管19に設けられた液抜き機構50についても、前記第1の液抜き機構50aと同じ構成であるため、「第1の液抜き機構50a」と呼ぶことにする。尚、図1では、これら液抜き機構50のバルブ18については簡略化して模式的に(大きく)描画している。また、実際には第1の液抜き機構50aが床面付近に設けられているため、原料貯留部14と第1の液抜き機構50aとの間の供給管14aは、第1の液抜き機構50aから第2の液抜き機構50bに向かって伸びる原料供給管15よりも極めて短いが、図1ではこれら供給管14a及び原料供給管15の長さ寸法についても模式的に示している。   The raw material supply device 13 is provided with a liquid draining mechanism 50 in which a plurality of valves 18 are combined in a plurality of places, for example, four places. As shown in FIG. 1, the liquid draining mechanism 50 includes a cleaning liquid supply pipe (cleaning fluid) for supplying a cleaning liquid to the vaporizer 11 from the raw material storage section 14 side and the vaporizer 11 side in the raw material supply pipe 15 and the cleaning liquid storage section 17. Supply pipe) 19 and the first raw material discharge pipe 61 extending from the drainage section 60, which is a discharge destination of the liquid material and the cleaning liquid, toward the upper side (vaporizer 11 side). Is provided. About these liquid draining mechanisms 50, the raw material storage part 14 side, the vaporizer 11 side, and the drainage part 60 side are respectively referred to as "first liquid draining mechanism 50a", "second liquid draining mechanism 50b", and " This will be referred to as a “third liquid draining mechanism 50c”. Further, the liquid draining mechanism 50 provided in the cleaning liquid supply pipe 19 has the same configuration as the first liquid draining mechanism 50a, and therefore will be referred to as a “first liquid draining mechanism 50a”. In FIG. 1, the valve 18 of the liquid draining mechanism 50 is simplified and schematically (largely) drawn. In addition, since the first liquid draining mechanism 50a is actually provided near the floor surface, the supply pipe 14a between the raw material reservoir 14 and the first liquid draining mechanism 50a is connected to the first liquid draining mechanism. Although it is extremely shorter than the raw material supply pipe 15 extending from 50a toward the second liquid draining mechanism 50b, FIG. 1 also schematically shows the lengths of the supply pipe 14a and the raw material supply pipe 15.

これら液抜き機構50に用いられるバルブ18としては、上下方向に伸びる流路53が開閉自在に構成された二方弁18aと、上下に伸びる流路53及び当該流路53に対して側方側から接続された別の流路(バイパス流路54)が形成された三方弁18bと、が設けられている。三方弁18bは、流路53及びバイパス流路54を互いに連通させる開放状態と、流路53の下側の開口端及びバイパス流路54の開口端のいずれか一方を閉止する閉止状態と、を切り替えられるように構成されている。これら二方弁18a及び三方弁18bは、後述の制御部1からの指示によって開閉自在な電磁弁として構成されている。   The valve 18 used in these liquid draining mechanisms 50 includes a two-way valve 18 a in which a channel 53 extending in the vertical direction is configured to be openable and closable, a channel 53 extending in the vertical direction, and a side of the channel 53. And a three-way valve 18b in which another flow path (bypass flow path 54) connected from is formed. The three-way valve 18b has an open state in which the flow path 53 and the bypass flow path 54 are in communication with each other, and a closed state in which one of the lower open end of the flow path 53 and the open end of the bypass flow path 54 is closed. It is configured to be switched. The two-way valve 18a and the three-way valve 18b are configured as electromagnetic valves that can be opened and closed in accordance with instructions from the control unit 1 described later.

そして、第1の液抜き機構50aの各々には、2つの二方弁18a及び1つの三方弁18bが設けられており、第2の液抜き機構50bには、1つの二方弁18a及び5つの三方弁18bが設けられている。また、第3の液抜き機構50cには、1つの二方弁18a及び2つの三方弁18bが設けられている。尚、液抜き機構50以外の部分におけるバルブについては、既述のように「V」の符号を付して当該液抜き機構50を構成するバルブ18(後述のバルブ71〜82)と区別している。   Each of the first liquid draining mechanisms 50a is provided with two two-way valves 18a and one three-way valve 18b, and the second liquid draining mechanism 50b has one two-way valves 18a and 5b. Two three-way valves 18b are provided. The third liquid draining mechanism 50c is provided with one two-way valve 18a and two three-way valves 18b. Note that valves other than the liquid draining mechanism 50 are distinguished from the valves 18 (valves 71 to 82 described later) constituting the liquid draining mechanism 50 by attaching the symbol “V” as described above. .

続いて、各々の液抜き機構50における各バルブ18の配置レイアウトについて詳述する。始めに、第1の液抜き機構50aについて説明する。第1の液抜き機構50aでは、図2に示すように、上方側から下方側に向かって、二方弁18aからなるバルブ71、三方弁18bからなる第1の原料供給バルブ72及び二方弁18aからなる第1の原料排出バルブ73がこの順番で配置されている。これらバルブ71の上端及び第1の原料排出バルブ73の下端には、原料供給管15の一端側(下端側)及び第1の原料排出管61が夫々接続されている。尚、図3は、第1の液抜き機構50aを模式的に示している。   Subsequently, the layout of each valve 18 in each liquid draining mechanism 50 will be described in detail. First, the first liquid draining mechanism 50a will be described. In the first liquid draining mechanism 50a, as shown in FIG. 2, from the upper side to the lower side, the valve 71 including the two-way valve 18a, the first raw material supply valve 72 including the three-way valve 18b, and the two-way valve A first material discharge valve 73 made of 18a is arranged in this order. One end side (lower end side) of the raw material supply pipe 15 and the first raw material discharge pipe 61 are connected to the upper end of the valve 71 and the lower end of the first raw material discharge valve 73, respectively. FIG. 3 schematically shows the first liquid draining mechanism 50a.

第1の原料供給バルブ72には、図4に模式的に示すように、当該第1の原料供給バルブ72の弁室51内を介して概略上下方向に伸びる流路53が形成されており、この流路53の上端及び下端に夫々既述のバルブ71及び第1の原料排出バルブ73が接続されている。また、この弁室51内には、バイパス流路54の一端側が弁体52によって開閉自在に開口しており、このバイパス流路54の他端側は、下方側に向かって屈曲して、第1の原料排出バルブ73よりも下方位置において供給管14aに接続されている。従って、第1の原料供給バルブ72は、閉止状態(前記バイパス流路54の開口端を弁体52によって塞いだ状態)では、図5に示すように供給管14aからの液体材料の供給が停止し、開放状態では、図6に示すように弁室51内に液体材料が供給される。そして、第1の原料供給バルブ72と共にバルブ71及び第1の原料排出バルブ73を開閉させることによって、後述するように、気化器11側(第2の液抜き機構50b側)への液体材料の供給や、気化器11からの液体材料の排出が行われる。尚、これらバルブ71の上端、第1の原料排出バルブ73の下端及びバイパス流路54の下端における開口端は、互いに同じ方向(図2では左側)を向くように配置されている。   As schematically shown in FIG. 4, the first raw material supply valve 72 is formed with a flow path 53 extending in a generally vertical direction through the inside of the valve chamber 51 of the first raw material supply valve 72. The valve 71 and the first raw material discharge valve 73 described above are connected to the upper end and the lower end of the flow path 53, respectively. Further, in the valve chamber 51, one end side of the bypass flow path 54 is opened by a valve body 52 so as to be freely opened and closed, and the other end side of the bypass flow path 54 is bent downward, It is connected to the supply pipe 14 a at a position below the one raw material discharge valve 73. Therefore, when the first raw material supply valve 72 is in a closed state (a state where the opening end of the bypass flow path 54 is closed by the valve body 52), the supply of the liquid material from the supply pipe 14a is stopped as shown in FIG. In the open state, the liquid material is supplied into the valve chamber 51 as shown in FIG. Then, by opening and closing the valve 71 and the first raw material discharge valve 73 together with the first raw material supply valve 72, the liquid material to the vaporizer 11 side (second liquid draining mechanism 50b side) as described later. Supply and discharge of the liquid material from the vaporizer 11 are performed. Note that the upper ends of these valves 71, the lower ends of the first raw material discharge valves 73, and the opening ends at the lower ends of the bypass passages 54 are arranged so as to face in the same direction (left side in FIG. 2).

この第1の液抜き機構50aは、洗浄液供給管19における洗浄液貯留部17側にも設けられており、バルブ71の上端及び第1の原料供給バルブ72のバイパス流路54には、夫々洗浄液供給管19及び洗浄液貯留部17から伸びる供給管19aが接続されている。第1の原料排出バルブ73の下端には、既述の第1の原料排出管61が接続されている。   The first liquid draining mechanism 50a is also provided on the cleaning liquid reservoir 17 side of the cleaning liquid supply pipe 19, and supplies the cleaning liquid to the upper end of the valve 71 and the bypass flow path 54 of the first raw material supply valve 72, respectively. A supply pipe 19a extending from the pipe 19 and the cleaning liquid reservoir 17 is connected. The aforementioned first raw material discharge pipe 61 is connected to the lower end of the first raw material discharge valve 73.

次いで、第2の液抜き機構50bについて図7及び図8を参照して説明する。この第2の液抜き機構50bは、原料供給管15に対して上方側から清浄ガスを供給して、液体材料や洗浄液を下方側に排出できるように構成されている。即ち、第2の液抜き機構50bには、原料供給管15及び洗浄液供給管19と、ガス貯留部16から伸びるガス供給管43と、が接続されており、これら原料供給管15及び洗浄液供給管19の開口端よりも上方側にガス供給管43の開口端が位置するように、5つの三方弁18b及び1つの二方弁18aが配置されている。   Next, the second liquid draining mechanism 50b will be described with reference to FIGS. The second liquid draining mechanism 50b is configured to supply clean gas from the upper side to the raw material supply pipe 15 and discharge the liquid material and the cleaning liquid to the lower side. That is, the raw material supply pipe 15 and the cleaning liquid supply pipe 19 and the gas supply pipe 43 extending from the gas storage section 16 are connected to the second liquid draining mechanism 50b. Five three-way valves 18b and one two-way valve 18a are arranged so that the opening ends of the gas supply pipe 43 are positioned above the opening ends of the nineteen.

具体的には、第2の液抜き機構50bは、既述の第1の原料供給バルブ72と同様に、上下に伸びる流路53と、この流路53に対して側方側から接続されたバイパス流路54と、が形成された三方弁18bからなる第2の原料供給バルブ74を備えている。この第2の原料供給バルブ74のバイパス流路54には、原料供給管15の他端側(上端側)が接続され、第2の原料供給バルブ74の流路53の上端には、二方弁18aからなる洗浄流体供給バルブ75の下端が接続されている。第2の原料供給バルブ74の流路53の下端には、三方弁18bからなる第2の原料排出バルブ76における流路53の上端が接続されている。第2の原料排出バルブ76におけるバイパス流路54には、既述の液体供給管41が吐出バルブVを介して接続されており、第2の原料排出バルブ76の流路53の下端には、第3の液抜き機構50cに向かって伸びる第2の原料排出管62が接続されている。   Specifically, the second liquid draining mechanism 50b is connected to the flow path 53 extending vertically and from the lateral side to the flow path 53 in the same manner as the first raw material supply valve 72 described above. And a second raw material supply valve 74 including a three-way valve 18b in which a bypass channel 54 is formed. The other end side (upper end side) of the raw material supply pipe 15 is connected to the bypass flow path 54 of the second raw material supply valve 74, and two ends are connected to the upper end of the flow path 53 of the second raw material supply valve 74. The lower end of the cleaning fluid supply valve 75 comprising the valve 18a is connected. The upper end of the flow path 53 in the second raw material discharge valve 76 composed of the three-way valve 18b is connected to the lower end of the flow path 53 of the second raw material supply valve 74. The aforementioned liquid supply pipe 41 is connected to the bypass flow path 54 in the second raw material discharge valve 76 via the discharge valve V, and at the lower end of the flow path 53 of the second raw material discharge valve 76, A second raw material discharge pipe 62 extending toward the third liquid draining mechanism 50c is connected.

洗浄流体供給バルブ75の上端には、三方弁18bからなるガス供給バルブ77の流路53の一端側(上端側)が配管(洗浄流体供給管)63を介して接続されており、当該一端側が上方を向くように、前記配管63の両端が下方に向かって各々屈曲している。ガス供給バルブ77の流路53の他端側(下方側)には、三方弁18bからなる洗浄液供給バルブ78における流路53の上端が接続され、ガス供給バルブ77のバイパス流路54にはガス供給管43が接続されている。洗浄液供給バルブ78の流路53の下端には、三方弁18bからなるバルブ79における流路53の上端が接続され、洗浄液供給バルブ78のバイパス流路54には、洗浄液供給管19が接続されている。バルブ79の流路53の下端には、洗浄液排出管を兼用する既述の第2の原料排出管62が接続され、バルブ79のバイパス流路54には、液体供給管41から分岐して伸びる分岐管44が接続されている。これらバルブ74、77、78の各々は、開放状態では各々の流路53及びバイパス流路54が互いに連通し、閉止状態では各々のバイパス流路54が閉止するように構成されている。また、バルブ76、79の各々は、開放状態では各々の流路53及びバイパス流路54が互いに連通し、閉止状態では下方に向かう流路53が閉止する(流路53の上端とバイパス流路54とが連通する)ように構成されている。図8は、図7における第2の液抜き機構50bを模式的に示している。尚、図7において、第2の液抜き機構50bについて図1とは左右を逆に描画している。   One end side (upper end side) of the flow path 53 of the gas supply valve 77 including the three-way valve 18b is connected to the upper end of the cleaning fluid supply valve 75 via a pipe (cleaning fluid supply pipe) 63, and the one end side is connected to the upper end of the cleaning fluid supply valve 75. Both ends of the pipe 63 are bent downward so as to face upward. The other end side (lower side) of the flow path 53 of the gas supply valve 77 is connected to the upper end of the flow path 53 in the cleaning liquid supply valve 78 including the three-way valve 18b. A supply pipe 43 is connected. The upper end of the flow path 53 in the valve 79 including the three-way valve 18 b is connected to the lower end of the flow path 53 of the cleaning liquid supply valve 78, and the cleaning liquid supply pipe 19 is connected to the bypass flow path 54 of the cleaning liquid supply valve 78. Yes. The above-described second raw material discharge pipe 62 that also serves as a cleaning liquid discharge pipe is connected to the lower end of the flow path 53 of the valve 79, and the bypass flow path 54 of the valve 79 extends from the liquid supply pipe 41. A branch pipe 44 is connected. Each of these valves 74, 77, and 78 is configured such that each flow channel 53 and bypass flow channel 54 communicate with each other in the open state, and each bypass flow channel 54 is closed in the closed state. Further, each of the valves 76 and 79 communicates with each other in the open state, and the flow path 53 directed downward is closed in the closed state (the upper end of the flow path 53 and the bypass flow path). 54). FIG. 8 schematically shows the second liquid draining mechanism 50b in FIG. In FIG. 7, the second liquid draining mechanism 50 b is drawn in the opposite direction from FIG. 1.

続いて、第3の液抜き機構50cについて説明する。第3の液抜き機構50cは、三方弁18bからなる第1の流体排出バルブ80、三方弁18bからなる排液吸入バルブ81及び二方弁18aからなる第2の流体排出バルブ82が上方側から下方側に向かってこの順番で設けられており、各々のバルブ80〜82の流路53が上下方向に伸びるように形成されている。第1の流体排出バルブ80の上端には、第1の原料排出管61が接続されており、第1の流体排出バルブ80のバイパス流路54には、第2の液抜き機構50bから下方側に向かう第2の原料排出管62が接続されている。また、排液吸入バルブ81のバイパス流路54には、バタフライバルブなどの圧力調整部55を介して排気機構である真空ポンプ56が接続されている。第2の流体排出バルブ82の下端には、排液部60が接続されている。これらバルブ80、81の各々は、開放状態では流路53及びバイパス流路54が互いに連通し、閉止状態ではバイパス流路54が閉止されるように構成されている。図10は、第3の液抜き機構50cを模式的に示している。   Subsequently, the third liquid draining mechanism 50c will be described. In the third drainage mechanism 50c, a first fluid discharge valve 80 including a three-way valve 18b, a drainage suction valve 81 including a three-way valve 18b, and a second fluid discharge valve 82 including a two-way valve 18a are provided from above. They are provided in this order toward the lower side, and the flow paths 53 of the respective valves 80 to 82 are formed so as to extend in the vertical direction. A first raw material discharge pipe 61 is connected to the upper end of the first fluid discharge valve 80, and the bypass channel 54 of the first fluid discharge valve 80 is connected to the lower side from the second liquid draining mechanism 50 b. The 2nd raw material discharge pipe 62 which goes to is connected. Further, a vacuum pump 56 as an exhaust mechanism is connected to the bypass flow path 54 of the drainage suction valve 81 through a pressure adjusting unit 55 such as a butterfly valve. A drainage unit 60 is connected to the lower end of the second fluid discharge valve 82. Each of these valves 80 and 81 is configured such that the flow path 53 and the bypass flow path 54 communicate with each other in the open state, and the bypass flow path 54 is closed in the closed state. FIG. 10 schematically shows the third liquid draining mechanism 50c.

この第3の液抜き機構50cと既述の第1の液抜き機構50aとの間における第1の原料排出管61には、バルブVが介設されており、このバルブVよりも第3の液抜き機構50c側及び第1の液抜き機構50a側には、当該第1の原料排出管61内の液体を排出するドレイン管64、64の一端側がバルブVを介して各々接続されている。これらドレイン管64、64の他端側は、ドレインタンク65に各々接続されている。また、これらドレイン管64、64よりも第3の液抜き機構50c側における第1の原料排出管61には、当該第1の原料排出管61内の圧力を測定するための圧力検出部66が設けられている。後述するように、この圧力検出部66の検出値により、原料供給管15、洗浄液供給管19、第1の原料排出管61及び第2の原料排出管62の内部の液抜きが完了したか否か(あるいはこれら原料供給管15、洗浄液供給管19、第1の原料排出管61及び第2の原料排出管62の各々の内部が個別に液抜きされたか否か)が制御部1にて判断される。尚、以上の液体材料や洗浄液あるいは排液などの液体は、実際には例えば反応管12や気化器11の下方側(地下)などに一箇所に纏められて配置されているが、既述の図1では個別に描画している。   A valve V is provided in the first raw material discharge pipe 61 between the third liquid draining mechanism 50c and the first liquid draining mechanism 50a described above. One end sides of drain pipes 64 and 64 for discharging the liquid in the first raw material discharge pipe 61 are connected to the liquid discharge mechanism 50c side and the first liquid discharge mechanism 50a side through a valve V, respectively. The other ends of the drain pipes 64 are connected to the drain tank 65, respectively. In addition, a pressure detector 66 for measuring the pressure in the first raw material discharge pipe 61 is provided in the first raw material discharge pipe 61 on the third liquid draining mechanism 50c side from the drain pipes 64 and 64. Is provided. As will be described later, whether or not the drainage of the raw material supply pipe 15, the cleaning liquid supply pipe 19, the first raw material discharge pipe 61, and the second raw material discharge pipe 62 has been completed based on the detection value of the pressure detection unit 66. (Or whether the inside of each of the raw material supply pipe 15, the cleaning liquid supply pipe 19, the first raw material discharge pipe 61 and the second raw material discharge pipe 62 has been individually drained) is determined by the control unit 1. Is done. Note that the liquid material, the liquid such as the cleaning liquid or the drainage liquid is actually arranged in one place on the lower side (underground) of the reaction tube 12 or the vaporizer 11, for example. In FIG. 1, drawing is performed individually.

この縦型熱処理装置には、各バルブ18(V)の開閉動作を行うための信号を出力するバルブ開閉装置5と、このバルブ開閉装置5を含む装置全体の動作のコントロールを行うためのコンピュータからなる制御部1とが設けられている。この制御部1のメモリ内には、液体材料を気化器11において気化させて得られた処理ガスを反応管12内に供給し、ウエハWに対して成膜処理を行うための成膜プログラムと、装置(気化器11)のメンテナンスを行う場合や原料貯留部14を取り替える場合など、原料供給管15から液抜きを行うための液抜きプログラムとが格納されている。これらプログラムは、ハードディスク、コンパクトディスク、光磁気ディスク、メモリカード、フレキシブルディスクなどの記憶媒体である記憶部2から制御部1内にインストールされる。   This vertical heat treatment apparatus includes a valve opening / closing device 5 that outputs a signal for performing an opening / closing operation of each valve 18 (V), and a computer for controlling the operation of the entire apparatus including the valve opening / closing device 5. And a control unit 1 is provided. In the memory of the control unit 1, a film forming program for supplying a processing gas obtained by vaporizing a liquid material in the vaporizer 11 into the reaction tube 12 and performing a film forming process on the wafer W, and A liquid draining program for draining liquid from the raw material supply pipe 15 is stored when performing maintenance of the apparatus (vaporizer 11) or when replacing the raw material storage unit 14. These programs are installed in the control unit 1 from the storage unit 2 which is a storage medium such as a hard disk, a compact disk, a magneto-optical disk, a memory card, and a flexible disk.

次に、上述実施の形態の作用について説明する。先ず、反応管12内においてウエハWに対して成膜処理を行う場合について説明する。始めに、反応管12の下方側に空のウエハボート21を位置させると共に、図示しない搬送アームによって複数枚のウエハWをウエハボート21に棚状に積載する。次いで、ウエハボート21を反応管12内に気密に挿入して、真空ポンプ27によって反応管12内を真空引きして処理圧力に設定すると共に、ウエハボート21を回転させながらウエハWを加熱する。また、気化器11内において液体材料が気化するように、当該気化器11内を加熱する。   Next, the operation of the above embodiment will be described. First, the case where the film forming process is performed on the wafer W in the reaction tube 12 will be described. First, an empty wafer boat 21 is positioned below the reaction tube 12, and a plurality of wafers W are stacked on the wafer boat 21 in a shelf shape by a transfer arm (not shown). Next, the wafer boat 21 is inserted into the reaction tube 12 in an airtight manner, the inside of the reaction tube 12 is evacuated by the vacuum pump 27 to set the processing pressure, and the wafer W is heated while rotating the wafer boat 21. Further, the inside of the vaporizer 11 is heated so that the liquid material is vaporized in the vaporizer 11.

続いて、図11に示すように、ガス貯留部16から気化器11の加熱室11aに清浄ガスをキャリアガスとして供給すると共に、送液用ガスライン7から原料貯留部14内にガスを供給して、第1の液抜き機構50a及び第2の液抜き機構50bを介して、原料供給管15によって液体材料をノズル31に供給する。即ち、第1の液抜き機構50aでは、図12に示すように、バルブ71及び第1の原料供給バルブ72が開放状態に設定されると共に、第1の原料排出バルブ73が閉止状態に設定される。従って、液体材料は、図12に太線で示したように、バイパス流路54、第1の原料供給バルブ72と第1の原料排出バルブ73との間における流路53及び第1の原料供給バルブ72からバルブ71の上端までに至る流路53に満たされた状態で、当該バルブ71の上端から第2の液抜き機構50bに向かって上昇していく。尚、図12においては、各バルブ71〜73の開放状態及び閉止状態について、丸印を付して「開」または「閉」として示しており、また図11及び図12において、流体(清浄ガスや液体材料)が通流している箇所を太線で描画している。また、図11は装置を簡略化して示している。以降の各図についても同様である。   Subsequently, as shown in FIG. 11, clean gas is supplied as a carrier gas from the gas storage unit 16 to the heating chamber 11 a of the vaporizer 11, and gas is supplied from the liquid supply gas line 7 into the raw material storage unit 14. Then, the liquid material is supplied to the nozzle 31 by the raw material supply pipe 15 via the first liquid draining mechanism 50a and the second liquid draining mechanism 50b. That is, in the first liquid draining mechanism 50a, as shown in FIG. 12, the valve 71 and the first raw material supply valve 72 are set in an open state, and the first raw material discharge valve 73 is set in a closed state. The Therefore, as shown by a thick line in FIG. 12, the liquid material includes the bypass channel 54, the channel 53 and the first material supply valve between the first material supply valve 72 and the first material discharge valve 73. In a state where the flow path 53 extending from 72 to the upper end of the valve 71 is filled, the flow rises from the upper end of the valve 71 toward the second liquid draining mechanism 50b. In FIG. 12, the open and closed states of the valves 71 to 73 are indicated by circles as “open” or “closed”, and in FIGS. 11 and 12, the fluid (clean gas) The part where the liquid material is flowing is drawn with a thick line. FIG. 11 shows a simplified apparatus. The same applies to the subsequent drawings.

第2の液抜き機構50bでは、図13に示すように、第2の原料供給バルブ74が開放状態に設定され、洗浄流体供給バルブ75及び第2の原料排出バルブ76が閉止状態に設定される。第1の液抜き機構50aからこの第2の液抜き機構50bに供給される液体材料は、第2の原料供給バルブ74から第2の原料排出バルブ76に向かって下降して、当該第2の原料排出バルブ76のバイパス流路54及び吐出バルブVを介してノズル31に向かって液体供給管41内を通流していく。こうしてノズル31から液体材料が気化器11内に噴霧されると、気化器11の内壁面に設けられた図示しないヒータなどの熱によって液体材料が気化して、キャリアガスと共に取り出しポート32及びガスインジェクター23を介して反応管12内に通流していく。そして、ウエハWの表面に処理ガスが接触すると、処理ガスが熱分解して、例えばZrO(酸化ジルコニウム膜)からなる薄膜がウエハWの表面に成膜される。   In the second liquid draining mechanism 50b, as shown in FIG. 13, the second raw material supply valve 74 is set in an open state, and the cleaning fluid supply valve 75 and the second raw material discharge valve 76 are set in a closed state. . The liquid material supplied from the first liquid draining mechanism 50a to the second liquid draining mechanism 50b descends from the second raw material supply valve 74 toward the second raw material discharge valve 76, and the second It flows through the liquid supply pipe 41 toward the nozzle 31 through the bypass flow path 54 and the discharge valve V of the raw material discharge valve 76. When the liquid material is sprayed into the vaporizer 11 from the nozzle 31 in this way, the liquid material is vaporized by the heat of a heater (not shown) provided on the inner wall surface of the vaporizer 11, and is taken out together with the carrier gas and the extraction port 32 and the gas injector. It flows into the reaction tube 12 through 23. When the processing gas comes into contact with the surface of the wafer W, the processing gas is thermally decomposed, and a thin film made of, for example, ZrO (zirconium oxide film) is formed on the surface of the wafer W.

次いで、成膜処理が終了すると、気化器11への液体材料を停止して、即ち例えば第2の原料供給バルブ74を閉じると共に、気化器11と反応管12との間のバルブVを閉じて、反応管12内を真空引きして処理ガスを排出する。続いて、図示しないパージガス供給源から反応管12内に不活性ガスを供給して、当該反応管12内を大気雰囲気に戻す。そして、ウエハボート21を下降させて、図示しない搬送アームによってウエハボート21からウエハWを搬出する。こうしてバッチ処理(ウエハボート21へのウエハWの搬入、成膜処理及びウエハボート21からのウエハWの取り出し)を例えば複数回行った後、気化器11のメンテナンスや成膜種を切り替える(原料貯留部14を他の材料に交換する)場合など、以下に説明する液体材料の液抜きが行われる。この液抜き方法について、図14のフローチャートに基づいて以下に詳述する。   Next, when the film forming process is completed, the liquid material to the vaporizer 11 is stopped, that is, for example, the second raw material supply valve 74 is closed and the valve V between the vaporizer 11 and the reaction tube 12 is closed. Then, the inside of the reaction tube 12 is evacuated to discharge the processing gas. Subsequently, an inert gas is supplied into the reaction tube 12 from a purge gas supply source (not shown), and the inside of the reaction tube 12 is returned to the atmosphere. Then, the wafer boat 21 is lowered and the wafer W is unloaded from the wafer boat 21 by a transfer arm (not shown). Thus, after performing batch processing (loading wafer W into wafer boat 21, film formation processing and taking out wafer W from wafer boat 21) a plurality of times, for example, maintenance of vaporizer 11 and film formation species are switched (raw material storage). In the case where the part 14 is replaced with another material), the liquid material described below is drained. This liquid draining method will be described in detail below based on the flowchart of FIG.

<気化器の液抜き>
先ず、図15に示すように、第2の液抜き機構50b及び気化器11から液体原料を洗浄液により排出する。即ち、第2の原料供給バルブ74を閉止することにより、第2の液抜き機構50bへの液体原料の供給を停止して(ステップS1)、気化器11と反応管12との間のバルブVを閉止すると共に、VENT配管36のバルブVを開放する。また、図16に示すように、洗浄流体供給バルブ75及び洗浄液供給バルブ78を各々開放して、第2の原料排出バルブ76、ガス供給バルブ77及びバルブ79を各々閉止する。第2の液抜き機構50b内に残っていた液体原料は、洗浄液供給管19から供給される洗浄液によりノズル31側へと押し出されて、この洗浄液と共に、ガス供給管42から供給される清浄ガス(キャリアガス)により気化器11に霧化される。これら液体原料及び洗浄液の気化により生成した混合ガスは、既述のVENT配管36を介して真空ポンプ27に向かって排気されていく。
<Vaporizer drainage>
First, as shown in FIG. 15, the liquid material is discharged from the second liquid draining mechanism 50 b and the vaporizer 11 with the cleaning liquid. That is, by closing the second raw material supply valve 74, supply of the liquid raw material to the second liquid draining mechanism 50b is stopped (step S1), and the valve V between the vaporizer 11 and the reaction tube 12 is stopped. Is closed and the valve V of the VENT pipe 36 is opened. Further, as shown in FIG. 16, the cleaning fluid supply valve 75 and the cleaning liquid supply valve 78 are opened, and the second raw material discharge valve 76, the gas supply valve 77, and the valve 79 are closed. The liquid raw material remaining in the second liquid draining mechanism 50b is pushed out to the nozzle 31 side by the cleaning liquid supplied from the cleaning liquid supply pipe 19, and along with this cleaning liquid, the clean gas ( Atomized in the vaporizer 11 by the carrier gas). The mixed gas generated by vaporization of the liquid raw material and the cleaning liquid is exhausted toward the vacuum pump 27 via the VENT pipe 36 described above.

続いて、図17及び図18に示すように、洗浄液供給バルブ78を閉止してガス供給バルブ77を開放し、ガス供給管43から供給される清浄ガスにより、第2の液抜き機構50bや気化器11内に残っている洗浄液をVENT配管36を介して真空ポンプ27に排出する。そして、図19及び図20に示すように、ノズル31の近傍におけるガス供給管42のバルブV及びガス供給バルブ77を閉止して、第2の液抜き機構50b内及び気化器11内を真空引きする。この時、洗浄流体供給バルブ75については開放していても良い。次いで、この第2の液抜き機構50b及び気化器11への清浄ガスの供給(図17及び図18)と、第2の液抜き機構50b及び気化器11内の真空引き(図19及び図20)と、を例えば4回程度繰り返す。こうして気化器11の内部と当該気化器11の近傍(気化器11の第2の液抜き機構50b側及び反応管12側)とから、洗浄液(あるいは洗浄液と液体原料の混合流体)が除去される。   Subsequently, as shown in FIGS. 17 and 18, the cleaning liquid supply valve 78 is closed and the gas supply valve 77 is opened, and the second liquid draining mechanism 50 b and vaporization are performed by the clean gas supplied from the gas supply pipe 43. The cleaning liquid remaining in the vessel 11 is discharged to the vacuum pump 27 via the VENT pipe 36. Then, as shown in FIGS. 19 and 20, the valve V and the gas supply valve 77 of the gas supply pipe 42 in the vicinity of the nozzle 31 are closed, and the inside of the second liquid draining mechanism 50b and the inside of the vaporizer 11 are evacuated. To do. At this time, the cleaning fluid supply valve 75 may be opened. Next, the supply of clean gas to the second liquid draining mechanism 50b and the vaporizer 11 (FIGS. 17 and 18), and the evacuation of the second liquid draining mechanism 50b and the vaporizer 11 (FIGS. 19 and 20). ) And 4 times, for example. Thus, the cleaning liquid (or a mixed fluid of the cleaning liquid and the liquid raw material) is removed from the inside of the vaporizer 11 and the vicinity of the vaporizer 11 (the second liquid draining mechanism 50b side and the reaction tube 12 side of the vaporizer 11). .

次いで、気化器11内の液抜きが完了したか否かを確認する。具体的には、図21及び図22に示すように、VENT配管36のバルブVを閉止すると共に、第2の原料排出バルブ76及びバルブ79を各々開放する。また、ドレイン管64、64間における第1の原料排出管61のバルブVと、第3の液抜き機構50c側におけるドレイン管64のバルブVとを閉止する。そして、第3の液抜き機構50cにおいて、図23に示すように、第1の流体排出バルブ80及び排液吸入バルブ81を各々開放して、第2の流体排出バルブ82を閉止すると、第2の液抜き機構50bから第3の液抜き機構50cに至る第2の原料排出管62の内部を介して、第2の液抜き機構50b及び気化器11の内部が真空引きされる。こうして図24に示すように、排液吸入バルブ81を閉じると共に、圧力検出部66の第1の原料排出管61側に設けられた図示しないバルブを開放し、この圧力検出部66の検出値を読み取る。この時、前記検出値が時間の経過と共に上昇していく(真空度が悪くなっていく)場合には、例えば気化器11内に洗浄液が残っていることになり、前記検出値が上昇せずに落ち着く場合には、気化器11内の液抜きが完了したことが分かる(ステップS2)。以上の工程によって気化器11内及び当該気化器11の近傍には液体原料や洗浄液が残っていないので、液体供給管41における流量調整部41aよりも第2の液抜き機構50b側のバルブ(ハンドバルブ)Vを閉じた後、例えば気化器11を取り外したとしても、反応管12内及び第2の液抜き機構50b側の気密が保たれたままとなり、また液体原料や洗浄液が外部に流出しない。   Next, it is confirmed whether or not the drainage of the vaporizer 11 is completed. Specifically, as shown in FIGS. 21 and 22, the valve V of the VENT pipe 36 is closed, and the second raw material discharge valve 76 and the valve 79 are opened. Further, the valve V of the first raw material discharge pipe 61 between the drain pipes 64 and 64 and the valve V of the drain pipe 64 on the third liquid draining mechanism 50c side are closed. Then, in the third drainage mechanism 50c, as shown in FIG. 23, when the first fluid discharge valve 80 and the drainage suction valve 81 are opened and the second fluid discharge valve 82 is closed, the second fluid discharge valve 80 is closed. The inside of the second drainage mechanism 50b and the vaporizer 11 is evacuated through the inside of the second raw material discharge pipe 62 from the drainage mechanism 50b to the third drainage mechanism 50c. Thus, as shown in FIG. 24, the drainage suction valve 81 is closed and a valve (not shown) provided on the first raw material discharge pipe 61 side of the pressure detection unit 66 is opened. read. At this time, if the detected value increases with the passage of time (the degree of vacuum becomes worse), for example, the cleaning liquid remains in the vaporizer 11, and the detected value does not increase. When it settles down, it turns out that the liquid draining in the vaporizer 11 was completed (step S2). Since the liquid raw material and the cleaning liquid do not remain in the vaporizer 11 and in the vicinity of the vaporizer 11 by the above steps, the valve (hand) on the second liquid draining mechanism 50b side of the liquid supply pipe 41 with respect to the flow rate adjustment unit 41a. After the valve (V) is closed, for example, even if the vaporizer 11 is removed, the air tightness in the reaction tube 12 and the second liquid draining mechanism 50b remains maintained, and the liquid raw material and the cleaning liquid do not flow outside. .

<原料供給管の液抜き>
ここで、原料供給管15には、図25に示すように、液体原料が残っているので、以下のように当該原料供給管15から液抜きを行う。先ず、供給管14aのバルブVを閉じて、次いで図26に示すように、第2の液抜き機構50bにおける第2の原料排出バルブ76を開放する。また、第3の液抜き機構50cにおいて、図27に示すように、第1の流体排出バルブ80及び第2の流体排出バルブ82を各々開放すると共に、排液吸入バルブ81を閉止状態に設定する。
<Draining of raw material supply pipe>
Here, as shown in FIG. 25, since the liquid raw material remains in the raw material supply pipe 15, the liquid is drained from the raw material supply pipe 15 as follows. First, the valve V of the supply pipe 14a is closed, and then, as shown in FIG. 26, the second raw material discharge valve 76 in the second liquid draining mechanism 50b is opened. Further, in the third drainage mechanism 50c, as shown in FIG. 27, the first fluid discharge valve 80 and the second fluid discharge valve 82 are opened, and the drainage suction valve 81 is set in a closed state. .

次いで、図28及び図29に示すように、ガス供給管43から第2の液抜き機構50bに向かって清浄ガスを供給すると共に、第2の液抜き機構50bにおける第2の原料供給バルブ74、ガス供給バルブ77及び洗浄流体供給バルブ75を各々開放し、洗浄液供給バルブ78、バルブ79及び第2の原料排出バルブ76を各々閉止する。ガス供給バルブ77に供給された清浄ガスは、このガス供給バルブ77の下方の流路(洗浄液供給バルブ78、バルブ79及び分岐管44における吐出バルブV)が閉じられているので、当該ガス供給バルブ77の上方側の配管63を介して洗浄流体供給バルブ75の上端側に回り込み、この洗浄流体供給バルブ75を介して第2の原料供給バルブ74に向かって通流して行く。そして、第2の原料排出バルブ76及び液体供給管41における吐出バルブVが閉じられているので、また第2の原料供給バルブ74が開放されているので、第2の原料供給バルブ74に上方側から到達した清浄ガスは、この第2の原料供給バルブ74のバイパス流路54を介して原料供給管15内を下方側(第1の液抜き機構50a側)に通流して行く。従って、例えば第2の原料供給バルブ74のバイパス流路54及び原料供給管15に満たされていた液体材料は、この清浄ガスによっていわば下方側に押し戻されて行く。   Next, as shown in FIGS. 28 and 29, while supplying clean gas from the gas supply pipe 43 toward the second liquid draining mechanism 50b, the second raw material supply valve 74 in the second liquid draining mechanism 50b, The gas supply valve 77 and the cleaning fluid supply valve 75 are opened, and the cleaning liquid supply valve 78, the valve 79, and the second raw material discharge valve 76 are closed. The clean gas supplied to the gas supply valve 77 is closed because the flow path below the gas supply valve 77 (the discharge valve V in the cleaning liquid supply valve 78, the valve 79, and the branch pipe 44) is closed. The cleaning fluid supply valve 75 is circulated to the upper end side of the cleaning fluid supply valve 75 through the pipe 63 above the 77, and flows toward the second raw material supply valve 74 through the cleaning fluid supply valve 75. Since the second raw material discharge valve 76 and the discharge valve V in the liquid supply pipe 41 are closed, and since the second raw material supply valve 74 is opened, the second raw material supply valve 74 has an upper side. The clean gas that has arrived from the flow passes through the raw material supply pipe 15 to the lower side (the first liquid draining mechanism 50a side) through the bypass flow path 54 of the second raw material supply valve 74. Therefore, for example, the liquid material filled in the bypass flow path 54 and the raw material supply pipe 15 of the second raw material supply valve 74 is pushed back downward by the clean gas.

そして、第1の液抜き機構50aにおいて、図30に示すように、バルブ71及び第1の原料排出バルブ73を各々開放すると共に、第1の原料供給バルブ72を閉止しておくと、既述のように原料供給管15から下降する液体材料は、清浄ガスの圧力により、これらバルブ71〜73の流路53を介して下方側の第1の原料排出管61に排出されていく。ここで、第1の原料供給バルブ72を閉止しているので、当該第1の原料供給バルブ72のバイパス流路54には、液体材料が留まり、いわば液溜まりが形成される。   Then, in the first liquid draining mechanism 50a, as shown in FIG. 30, when the valve 71 and the first raw material discharge valve 73 are opened and the first raw material supply valve 72 is closed, as described above. Thus, the liquid material descending from the raw material supply pipe 15 is discharged to the first raw material discharge pipe 61 on the lower side through the flow path 53 of these valves 71 to 73 due to the pressure of the clean gas. Here, since the first raw material supply valve 72 is closed, the liquid material stays in the bypass flow path 54 of the first raw material supply valve 72, so to speak, a liquid reservoir is formed.

第3の液抜き機構50cでは、既述のように第2の流体排出バルブ82が開放され、第1の流体排出バルブ80及び排液吸入バルブ81が各々閉止されているので、第1の液抜き機構50aから第1の原料排出管61に押し出された液体材料は、図31に示すように、これらバルブ80〜82の流路53を介して排液部60に排出される。こうして第2の液抜き機構50bと第1の液抜き機構50aとの間における原料供給管15から、重力に逆らわずに上方側から下方側に液体材料が速やかに液抜きされる。   In the third liquid draining mechanism 50c, as described above, the second fluid discharge valve 82 is opened, and the first fluid discharge valve 80 and the drainage suction valve 81 are closed. As shown in FIG. 31, the liquid material pushed out from the extraction mechanism 50 a to the first raw material discharge pipe 61 is discharged to the drainage part 60 through the flow path 53 of these valves 80 to 82. In this way, the liquid material is quickly drained from the upper side to the lower side from the raw material supply pipe 15 between the second liquid draining mechanism 50b and the first liquid draining mechanism 50a without against gravity.

続いて、図32に示すように、洗浄液貯留部17から第1の液抜き機構50aを介して第2の液抜き機構50bに洗浄液を供給する。即ち、この第1の液抜き機構50aでは、既述の図12と同様にバルブ71〜73の開閉状態が設定される。また、第2の液抜き機構50bでは、図33に示すように、洗浄液供給バルブ78を開放すると共にガス供給バルブ77を閉止する。洗浄液は、洗浄液供給バルブ78から上方側のガス供給バルブ77及び洗浄流体供給バルブ75を回り込んで、第2の原料供給バルブ74に到達する。そして、洗浄液は、原料供給管15を介して既述の図30及び図31に示した経路で排液部60に排出される。そのため、原料供給管15の内壁面などに液体材料が付着していたとしても、洗浄液によって当該内壁面が清浄化される。   Subsequently, as shown in FIG. 32, the cleaning liquid is supplied from the cleaning liquid reservoir 17 to the second liquid draining mechanism 50b via the first liquid draining mechanism 50a. That is, in the first liquid draining mechanism 50a, the open / close states of the valves 71 to 73 are set in the same manner as in FIG. In the second liquid draining mechanism 50b, as shown in FIG. 33, the cleaning liquid supply valve 78 is opened and the gas supply valve 77 is closed. The cleaning liquid reaches the second raw material supply valve 74 from the cleaning liquid supply valve 78 through the upper gas supply valve 77 and the cleaning fluid supply valve 75. Then, the cleaning liquid is discharged to the drainage unit 60 through the raw material supply pipe 15 through the path shown in FIGS. 30 and 31 described above. Therefore, even if a liquid material adheres to the inner wall surface of the raw material supply pipe 15, the inner wall surface is cleaned by the cleaning liquid.

次いで、図34に示すように、第1の液抜き機構50a及び第2の液抜き機構50bから洗浄液を排出する。即ち、洗浄液の供給を停止(供給管19aのバルブVを閉止)した後、第2の液抜き機構50bにおけるガス供給バルブ77を開放して、第2の液抜き機構50bに清浄ガスを供給する。この清浄ガスは、図35に示すように、原料供給管15及び洗浄液供給管19を下方に向かって通流するので、これら原料供給管15及び洗浄液供給管19内の洗浄液が排液部60に排出される。ここで、図36に示すように、原料供給管15の第1の液抜き機構50aにおいて、第1の原料供給バルブ72を閉止状態に保っているので、当該第1の原料供給バルブ72のバイパス流路54には、液体材料が残ったままになる。   Next, as shown in FIG. 34, the cleaning liquid is discharged from the first liquid draining mechanism 50a and the second liquid draining mechanism 50b. That is, after the supply of the cleaning liquid is stopped (the valve V of the supply pipe 19a is closed), the gas supply valve 77 in the second liquid draining mechanism 50b is opened, and the clean gas is supplied to the second liquid draining mechanism 50b. . As shown in FIG. 35, the clean gas flows downward through the raw material supply pipe 15 and the cleaning liquid supply pipe 19, so that the cleaning liquid in the raw material supply pipe 15 and the cleaning liquid supply pipe 19 flows into the drain 60. Discharged. Here, as shown in FIG. 36, in the first liquid draining mechanism 50a of the raw material supply pipe 15, the first raw material supply valve 72 is kept closed, so that the bypass of the first raw material supply valve 72 is bypassed. The liquid material remains in the flow path 54.

尚、第2の原料供給バルブ74及び洗浄液供給バルブ78の一方のバルブを始めに開放し、続いて当該一方のバルブを閉止すると共に他方のバルブを開放して、これら原料供給管15及び洗浄液供給管19に対して順番に清浄ガスを供給しても良い。また、装置から液体材料の液抜きだけを行う(洗浄液については装置に残す)場合には、洗浄液供給管19については液抜きしなくても良い。従って、洗浄液供給管19に洗浄液を残したままにする場合には、以降の工程においても、当該洗浄液供給管19内の真空引きは行われずに洗浄液供給管19には洗浄液が満たされたままとなる。   It should be noted that one of the second raw material supply valve 74 and the cleaning liquid supply valve 78 is opened first, and then the one valve is closed and the other valve is opened to supply the raw material supply pipe 15 and the cleaning liquid supply. Clean gas may be supplied to the tube 19 in order. Further, when only the liquid material is drained from the apparatus (the cleaning liquid is left in the apparatus), the cleaning liquid supply pipe 19 may not be drained. Therefore, when the cleaning liquid is left in the cleaning liquid supply pipe 19, the cleaning liquid supply pipe 19 remains filled with the cleaning liquid without evacuating the cleaning liquid supply pipe 19 in the subsequent steps. Become.

その後、図37に示すように、各々の液抜き機構50内を真空引きする。具体的には、図38に示すように、第2の液抜き機構50bにおいて、バルブ74、75、76、78、バルブ79を開放して、ガス供給バルブ77を閉止する。また、図39に示すように、第3の液抜き機構50cにおいて、バルブ80、81を開放して、第2の流体排出バルブ82を閉止する。そのため、例えば原料供給管15、洗浄液供給管19及び原料排出管61、62の内部に洗浄液が僅かに残っていたとしても、排液吸入バルブ81のバイパス流路54に接続された真空ポンプ56によって、第1の液抜き機構50a及び第2の液抜き機構50bを介して、当該内部が真空状態となって洗浄液が揮発する。これら原料供給管15、洗浄液供給管19及び原料排出管61、62から洗浄液が液抜きされた(揮発した)か否かは、圧力検出部66によって検出される。即ち、圧力検出部66の第1の原料排出管61側に設けられた図示しないバルブを開放し、この圧力検出部66の検出値を読み取る。そして、例えば排液吸入バルブ81を閉止して、前記検出値が時間の経過と共に上昇していく(真空度が悪くなっていく)場合には、例えば原料供給管15内に洗浄液が残っていることになり、前記検出値が上昇せずに落ち着く場合には、洗浄液が排出されたことになる。こうして第1の液抜き機構50a、第2の液抜き機構50b及び第3の液抜き機構50cの間における原料供給管15、洗浄液供給管19及び原料排出管61、62の内部からの液抜きが完了すると共に、当該内部が清浄化される(ステップS3)。   Thereafter, as shown in FIG. 37, each liquid draining mechanism 50 is evacuated. Specifically, as shown in FIG. 38, in the second liquid draining mechanism 50b, the valves 74, 75, 76, 78 and 79 are opened, and the gas supply valve 77 is closed. As shown in FIG. 39, in the third liquid draining mechanism 50c, the valves 80 and 81 are opened, and the second fluid discharge valve 82 is closed. Therefore, for example, even if a slight amount of cleaning liquid remains inside the raw material supply pipe 15, the cleaning liquid supply pipe 19 and the raw material discharge pipes 61 and 62, the vacuum pump 56 connected to the bypass flow path 54 of the drainage suction valve 81 The inside becomes a vacuum state through the first liquid draining mechanism 50a and the second liquid draining mechanism 50b, and the cleaning liquid volatilizes. The pressure detector 66 detects whether or not the cleaning liquid has been drained (vaporized) from the raw material supply pipe 15, the cleaning liquid supply pipe 19, and the raw material discharge pipes 61 and 62. That is, a valve (not shown) provided on the first raw material discharge pipe 61 side of the pressure detection unit 66 is opened, and the detection value of the pressure detection unit 66 is read. For example, when the drainage suction valve 81 is closed and the detection value increases with the passage of time (the degree of vacuum becomes worse), for example, the cleaning liquid remains in the raw material supply pipe 15. In other words, when the detected value is settled without increasing, the cleaning liquid is discharged. In this way, liquid drainage from the inside of the raw material supply pipe 15, the cleaning liquid supply pipe 19 and the raw material discharge pipes 61 and 62 between the first liquid draining mechanism 50a, the second liquid draining mechanism 50b, and the third liquid draining mechanism 50c is performed. Upon completion, the interior is cleaned (step S3).

<原料貯留部側の液抜き>
次に、第1の液抜き機構50aよりも原料貯留部14側の供給管14a内の液抜き及び清浄化を行う(ステップS4)。即ち、図40及び図41に示すように、第1の液抜き機構50aにおけるバルブ73を閉止すると共に、第1の原料供給バルブ72開放する。また、既述の図29のように、第2の液抜き機構50bの各バルブ18(74〜79)の開閉状態を設定する。そして、原料貯留部14側の供給管14aのバルブVを開放すると、第1の原料供給バルブ72のバイパス流路54に残っていた液体材料は、清浄ガスの圧力によって、当該原料貯留部14側に押し戻されて行く。
<Liquid draining on the raw material storage side>
Next, drainage and cleaning in the supply pipe 14a closer to the raw material reservoir 14 than the first drainage mechanism 50a is performed (step S4). That is, as shown in FIGS. 40 and 41, the valve 73 in the first liquid draining mechanism 50a is closed and the first raw material supply valve 72 is opened. Further, as shown in FIG. 29 described above, the open / close state of each valve 18 (74 to 79) of the second liquid draining mechanism 50b is set. And if the valve | bulb V of the supply pipe | tube 14a by the side of the raw material storage part 14 is open | released, the liquid material which remained in the bypass flow path 54 of the 1st raw material supply valve 72 will be the said raw material storage part 14 side by the pressure of clean gas. Pushed back to.

そして、供給管14aのバルブVよりも原料貯留部14側に液体材料を押し戻した後、当該バルブVを閉じる。また、第1の液抜き機構50aにおいて、バルブ71を閉止して、第1の原料排出バルブ73を開放する。次いで、図42に示すように、第1の液抜き機構50a側におけるドレイン管64のバルブVを開放して、補助貯槽17aから、供給管14a、第1の原料排出管61及びドレイン管64を介してドレインタンク65に洗浄液を供給する。この洗浄液により、第1の原料供給バルブ72のバイパス流路54内が清浄化される。そして、図43に示すように、ドレイン管64、64の2つのバルブVのうち真空ポンプ56側の一方のバルブVを開放して、他方(第1の液抜き機構50a側)のバルブVを閉じる。また、ドレイン管64、64間における第1の原料排出管61に介設されたバルブVを閉じる。こうして真空ポンプ56を介してドレインタンク65内の液面よりも上方側の領域を真空状態にした後、図44に示すように、既述の図42のように各バルブVを切り替えて、ガス貯留部16から供給管14a、第1の原料排出管61及びドレイン管64を介してドレインタンク65に清浄ガスを供給する。この清浄ガスによって、図45に示すように、例えば第1の原料供給バルブ72のバイパス流路54内の洗浄液が排出される。その後、ドレイン管64のバルブVを閉じて、第1の原料排出管61のバルブVを開放する。そして、図46に示す供給管14a、第1の液抜き機構50a、第1の原料排出管61への清浄ガスの供給と、図47に示すこれら供給管14a、第1の液抜き機構50a、第1の原料排出管61の真空引きと、を複数回繰り返すと、供給管14aの内部が清浄化される。この場合においても、第1の原料排出管61からの液抜きが完了したか否かは、圧力検出部66において検出される検出値によって判断される。   Then, after the liquid material is pushed back to the raw material reservoir 14 side of the valve V of the supply pipe 14a, the valve V is closed. In the first liquid draining mechanism 50a, the valve 71 is closed and the first raw material discharge valve 73 is opened. Next, as shown in FIG. 42, the valve V of the drain pipe 64 on the first liquid draining mechanism 50a side is opened, and the supply pipe 14a, the first raw material discharge pipe 61, and the drain pipe 64 are connected from the auxiliary storage tank 17a. Then, the cleaning liquid is supplied to the drain tank 65. With this cleaning liquid, the inside of the bypass flow path 54 of the first raw material supply valve 72 is cleaned. 43, one valve V on the vacuum pump 56 side of the two valves V of the drain pipes 64 and 64 is opened, and the valve V on the other side (first liquid draining mechanism 50a side) is opened. close up. Further, the valve V interposed in the first raw material discharge pipe 61 between the drain pipes 64 and 64 is closed. Thus, after the region above the liquid level in the drain tank 65 is evacuated via the vacuum pump 56, as shown in FIG. 44, the valves V are switched as shown in FIG. A clean gas is supplied from the reservoir 16 to the drain tank 65 through the supply pipe 14 a, the first raw material discharge pipe 61 and the drain pipe 64. With this clean gas, as shown in FIG. 45, for example, the cleaning liquid in the bypass flow path 54 of the first raw material supply valve 72 is discharged. Thereafter, the valve V of the drain pipe 64 is closed, and the valve V of the first raw material discharge pipe 61 is opened. Then, the supply of the clean gas to the supply pipe 14a, the first liquid draining mechanism 50a, and the first raw material discharge pipe 61 shown in FIG. 46, and the supply pipe 14a and the first liquid draining mechanism 50a shown in FIG. When the evacuation of the first raw material discharge pipe 61 is repeated a plurality of times, the inside of the supply pipe 14a is cleaned. Also in this case, whether or not the drainage from the first raw material discharge pipe 61 is completed is determined by the detection value detected by the pressure detection unit 66.

その後、図48に示すように、補助貯槽17aから供給管14a及び原料供給管15を介して第2の液抜き機構50bへ洗浄液を供給して第2の原料排出管62から洗浄液を排出する工程と、これら供給管14a、原料供給管15及び第2の原料排出管62内の真空引きを行う工程と、を複数回繰り返すことにより、以上の一連の液抜き工程が完了する。しかる後、原料供給管15や気化器11を装置から取り外し、気化器11などのメンテナンスを行ったり、あるいは別の液体材料を供給するための原料貯留部14及び気化器11が装置に取り付けられたりすることになる。尚、第2の液抜き機構50bにおいて、バルブ79や第2の原料排出バルブ76よりも吐出バルブV側に液体材料や洗浄液が残っている場合には、当該吐出バルブV側に洗浄液や清浄ガスが供給され、気化器11を介してVENT配管36に排出される。   Thereafter, as shown in FIG. 48, the step of supplying the cleaning liquid from the auxiliary storage tank 17a to the second liquid draining mechanism 50b through the supply pipe 14a and the raw material supply pipe 15 and discharging the cleaning liquid from the second raw material discharge pipe 62. The above-described series of liquid draining steps is completed by repeating the process of evacuating the supply pipe 14a, the raw material supply pipe 15 and the second raw material discharge pipe 62 a plurality of times. Thereafter, the raw material supply pipe 15 and the vaporizer 11 are removed from the apparatus and maintenance of the vaporizer 11 or the like is performed, or the raw material reservoir 14 and the vaporizer 11 for supplying another liquid material are attached to the apparatus. Will do. In the second drainage mechanism 50b, when liquid material or cleaning liquid remains on the discharge valve V side from the valve 79 or the second raw material discharge valve 76, the cleaning liquid or clean gas is on the discharge valve V side. Is supplied to the VENT pipe 36 via the vaporizer 11.

上述の実施の形態によれば、原料貯留部14から原料供給管15によって上方側の気化器11に液体材料を供給するにあたり、原料供給管15の下方側に第1の原料排出管61を設けると共に、原料供給管15の上方側から清浄ガス及び洗浄液を下方側に向かって供給している。そして、液体材料や洗浄液の夫々供給される第2の原料供給バルブ74及び洗浄液供給バルブ78よりも上方側に、清浄ガスの供給されるガス供給バルブ77を配置している。また、各液抜き機構50a、50b、50cにおいて、液溜まりが形成されないように、あるいは液溜まりが極力少なくなるように、各バルブ18を配置している。そのため、液体材料や洗浄液が重力に逆らわずに上方側から下方側に排出されるので、液体材料が既述のように水よりも比重が大きく揮発しにくいTEMAZなどであっても、当該原料供給管15から液体材料を速やかに且つ容易に排出できる。即ち、原料供給管15に対して下方側から上方側に向かって清浄ガスを供給して液抜きを行っていた従来の手法では、液抜きに数日程度必要であったが、更には洗浄が不十分となる(洗浄度が低い)場合もあったが、本発明では、当該液抜きに要する時間を半分程度に短縮でき、また液抜きした部位の清浄度を極めて高めることができる。   According to the above-described embodiment, when the liquid material is supplied from the raw material reservoir 14 to the upper vaporizer 11 by the raw material supply pipe 15, the first raw material discharge pipe 61 is provided below the raw material supply pipe 15. At the same time, clean gas and cleaning liquid are supplied downward from the upper side of the raw material supply pipe 15. A gas supply valve 77 for supplying clean gas is disposed above the second raw material supply valve 74 and the cleaning liquid supply valve 78 for supplying the liquid material and the cleaning liquid, respectively. Further, in each of the liquid draining mechanisms 50a, 50b, 50c, each valve 18 is arranged so that no liquid pool is formed or the liquid pool is minimized. Therefore, since the liquid material and the cleaning liquid are discharged from the upper side to the lower side without resisting gravity, even if the liquid material is TEMAZ or the like having a specific gravity larger than that of water and hardly volatilizing as described above, the raw material supply The liquid material can be quickly and easily discharged from the tube 15. That is, in the conventional method in which the cleaning gas is supplied to the raw material supply pipe 15 from the lower side to the upper side and the liquid is drained, it takes several days to drain the liquid. Although it may be insufficient (the degree of cleaning is low), in the present invention, the time required for draining the liquid can be shortened to about half, and the cleanliness of the site from which the liquid has been drained can be extremely increased.

そのため、装置の稼働時間(成膜時間)を長く取ることができる。また、原料供給管15を取り外した時に、液体材料が大気に接触しにくくなるので、あるいは接触しないので、ジエチルアミン((C2H5)2NH)、ジメチルアミン((CH3)2NH)などの有毒ガスの発生を抑えることができる。また、液体材料と大気中の水分との反応による反応生成物の生成を抑えることができるので、当該反応生成物による原料供給管15内の閉塞を抑制できる。従って、バルブ18の弁体52への反応生成物の付着を抑えることができ、そのためバルブ18のロングライフ化を図ることができる。また、清浄ガスの使用量も抑えることができる。
また、原料供給管15から液抜きを行った時に、第1の液抜き機構50aの液体材料が残る部位が第1の原料供給バルブ72のバイパス流路54だけとなるようにバルブ18を配置しているので、当該部位からの液抜きや清浄化を容易に且つ速やかに行うことができる。
また、気化器11のメンテナンスを行うために既述のステップS1の液抜きを行う場合、従来の手法では液抜きに十数時間程度必要であったが、本発明では数時間程度に短縮できる。
Therefore, the operation time (film formation time) of the apparatus can be increased. In addition, when the raw material supply pipe 15 is removed, the liquid material becomes difficult to come into contact with the air or does not come into contact therewith, so that toxic gases such as diethylamine ((C2H5) 2NH) and dimethylamine ((CH3) 2NH) are generated. Can be suppressed. Moreover, since the production | generation of the reaction product by reaction with a liquid material and the water | moisture content in air | atmosphere can be suppressed, obstruction | occlusion in the raw material supply pipe | tube 15 by the said reaction product can be suppressed. Therefore, it is possible to suppress the reaction product from adhering to the valve body 52 of the valve 18, and therefore, the valve 18 can have a long life. Also, the amount of clean gas used can be reduced.
Further, the valve 18 is arranged so that when the liquid is drained from the raw material supply pipe 15, the portion where the liquid material of the first liquid draining mechanism 50 a remains is only the bypass flow path 54 of the first raw material supply valve 72. Therefore, it is possible to easily and quickly remove the liquid from the part and clean it.
Further, in the case where the liquid removal in the above-described step S1 is performed in order to perform the maintenance of the vaporizer 11, the conventional method required about ten and several hours for the liquid removal, but in the present invention, it can be shortened to several hours.

以上のように液抜き機構50を設けるにあたり、各液抜き機構50ではバルブ18を概略上下方向に配置しているので、また第1の液抜き機構50aではバイパス流路54が第1の原料排出バルブ73の下方にて開口する三方弁18bを用いているので、バルブ18を水平方向に並べる場合に比べて、液体材料の広がる(接触する)領域を少なくすることができる。そのため、液抜きや洗浄に要する時間を短縮できるし、各々の液抜き機構50のフットプリントを最小限に留めることができる。また、これら液抜き機構50のフットプリントを小さく抑えた分、既述のように圧力検出部66やフィルター10を設けるスペースを確保することができ、液抜きの終点検出や清浄ガスからの水分の除去(原料供給管15内での反応生成物の生成の抑制)を行うことができる。従って、例えば気化器11を取り外した場合、当該気化器11内部から残留液(液体原料や洗浄液)が漏れ出すことがない。また、第1の原料排出管61や第2の原料排出管62から液体材料及び洗浄液を排出するにあたって、真空ポンプ56によって直接真空引きせずに、排液部60に一度排出しているので、当該真空ポンプ56の劣化(負荷)を抑えてロングライフ化を図ることができる。   In providing the liquid draining mechanism 50 as described above, the valve 18 is arranged in a substantially vertical direction in each liquid draining mechanism 50. In addition, in the first liquid draining mechanism 50a, the bypass channel 54 discharges the first raw material. Since the three-way valve 18b that opens below the valve 73 is used, the area where the liquid material spreads (contacts) can be reduced as compared with the case where the valves 18 are arranged in the horizontal direction. Therefore, the time required for draining and cleaning can be shortened, and the footprint of each draining mechanism 50 can be minimized. Further, as described above, a space for providing the pressure detection unit 66 and the filter 10 can be secured as much as the footprint of the liquid draining mechanism 50 is kept small. Removal (suppression of reaction product generation in the raw material supply pipe 15) can be performed. Therefore, for example, when the vaporizer 11 is removed, the residual liquid (liquid raw material or cleaning liquid) does not leak from the vaporizer 11. Further, when the liquid material and the cleaning liquid are discharged from the first raw material discharge pipe 61 and the second raw material discharge pipe 62, the vacuum pump 56 does not directly evacuate the liquid material and the cleaning liquid. The deterioration (load) of the vacuum pump 56 can be suppressed and a long life can be achieved.

また、例えば第2の液抜き機構50bにおいて、1つのバルブ18を介して液体材料と洗浄液とが対向しないようにしている。即ち、液体材料の供給される第2の原料供給バルブ74と、洗浄液の供給される洗浄液供給バルブ78との間に、洗浄流体供給バルブ75及びガス供給バルブ77を介設している。そのため、例えば洗浄液供給バルブ78を介して洗浄液が液体材料側に僅かに漏出しても、当該洗浄液に含まれる水分と液体材料の反応を抑えることができ、バルブ18内部への反応生成物の付着を抑制できる。   Further, for example, in the second liquid draining mechanism 50b, the liquid material and the cleaning liquid are prevented from facing each other through one valve 18. That is, the cleaning fluid supply valve 75 and the gas supply valve 77 are interposed between the second raw material supply valve 74 to which the liquid material is supplied and the cleaning liquid supply valve 78 to which the cleaning liquid is supplied. Therefore, for example, even if the cleaning liquid slightly leaks to the liquid material side through the cleaning liquid supply valve 78, the reaction between the moisture contained in the cleaning liquid and the liquid material can be suppressed, and the reaction product adheres to the inside of the valve 18. Can be suppressed.

更に、第2の液抜き機構50bに第2の原料排出バルブ76を設けて、第2の液抜き機構50bにおける液体材料を第3の液抜き機構50cに排出しているので、当該液体材料をノズル31を介して気化器11側に排出する場合に比べて、液抜きを速やかに行うことができる。また、既述の図45において第1の原料供給バルブ72のバイパス流路54から洗浄液を排出するにあたって、当該第1の原料供給バルブ72の上方側に近接するバルブ71を閉止しているので、原料供給管15側への洗浄液の流出を抑えることができる。更に、例えば従来の下方側から上方側に液体材料を排出するバルブ構成では、原料供給管15内や洗浄液供給管19内に残る洗浄液を揮発させるために、これら供給管15、19の外側にヒータを巻回している場合もあったが、本発明ではこのようなヒータを設けずに省スペース化を図ることもできる。   Further, the second drainage mechanism 50b is provided with a second raw material discharge valve 76, and the liquid material in the second drainage mechanism 50b is discharged to the third drainage mechanism 50c. Compared with the case of discharging to the vaporizer 11 side through the nozzle 31, the liquid can be drained quickly. In addition, in discharging the cleaning liquid from the bypass flow path 54 of the first raw material supply valve 72 in FIG. 45 described above, the valve 71 close to the upper side of the first raw material supply valve 72 is closed. The outflow of the cleaning liquid to the raw material supply pipe 15 side can be suppressed. Further, for example, in the conventional valve configuration for discharging the liquid material from the lower side to the upper side, a heater is provided outside the supply pipes 15 and 19 in order to volatilize the cleaning liquid remaining in the raw material supply pipe 15 and the cleaning liquid supply pipe 19. However, in the present invention, it is possible to save space without providing such a heater.

ここで、図49は、配管やバルブが従来の接続構成の場合(原料供給管15に対して下方側から清浄ガスを供給する構成)と、本発明の構成とにおいて、実際に気化器11において気化した液体材料を用いて反応管12で各ウエハWに対して成膜処理を行った時に、反応管12内の上部、中部及び下部の各々のウエハWに付着するパーティクルの数量について測定した結果を示している。図49から、パーティクルの数量は、本発明では従来とほぼ同程度となっていた。即ち、原料供給装置13を設けても、ウエハWの特性には悪影響が見られないことが分かった。尚、図49において、従来及び本発明について同じプロセス条件に設定しており、詳細なプロセス条件は省略する。   Here, FIG. 49 shows the actual configuration of the vaporizer 11 in the case where the pipes and valves have a conventional connection configuration (configuration in which clean gas is supplied from the lower side to the raw material supply pipe 15) and in the configuration of the present invention. The result of measuring the number of particles adhering to each of the upper, middle, and lower wafers W in the reaction tube 12 when film formation is performed on each wafer W in the reaction tube 12 using the vaporized liquid material. Is shown. From FIG. 49, the number of particles in the present invention is almost the same as the conventional one. That is, it has been found that even if the raw material supply device 13 is provided, there is no adverse effect on the characteristics of the wafer W. In FIG. 49, the same process conditions are set for the prior art and the present invention, and detailed process conditions are omitted.

また、従来の構成におけるバルブと、本発明の構成におけるバルブと、を夫々の構成において長期間に亘って使用したところ、従来の構成では弁体(ダイヤフラム)の表面に白色の付着物が見られており、この付着物はZr−O系の化合物であった。一方、本発明の構成では、ダイヤフラム表面には付着物はほとんど見られなかった。図50は、本発明と従来例とにおいて使用後のダイヤフラムを実際に撮像した写真を示しており、本発明の写真には各バルブの名称を併記している。また、図50において、従来例では左側の写真は液体原料に触れるバルブのダイヤフラムであり、この左側のバルブから右側に向かって当該バルブから洗浄液の通流する流路側に離間したバルブのダイヤフラムを示している。従来例では、いずれのダイヤフラムにおいても白色の付着物が確認されたが、本発明ではいずれのバルブにおいても付着物がほとんど見られなかった。   In addition, when the valve in the conventional configuration and the valve in the configuration of the present invention are used over a long period of time in each configuration, white deposits are seen on the surface of the valve body (diaphragm) in the conventional configuration. This deposit was a Zr—O-based compound. On the other hand, in the configuration of the present invention, almost no deposits were seen on the diaphragm surface. FIG. 50 shows a photograph of an actual image of the diaphragm after use in the present invention and the conventional example, and the name of each valve is written together in the photograph of the present invention. In FIG. 50, in the conventional example, the left photograph shows a valve diaphragm that touches the liquid material, and shows the diaphragm of the valve spaced from the left valve toward the right side toward the flow path through which the cleaning liquid flows from the valve. ing. In the conventional example, white deposits were confirmed in any diaphragm, but in the present invention, deposits were hardly seen in any valve.

ここで、原料供給管15内から液体材料を清浄ガスにより排出したが、洗浄液によって排出しても良い。即ち、成膜処理を停止した後、原料供給管15に対して上方側から洗浄液を供給しても良い。この場合には、液体材料を洗浄液によって排出した後、清浄ガスによって洗浄液を排出し、その後更に原料供給管15内に洗浄液を供給しても良い。また、このように液体材料を洗浄液により排出する場合には、洗浄液を原料供給管15内に供給した後、気化器11及び反応管12内の雰囲気を介して原料供給管15内を真空排気して、当該原料供給管15内に残った洗浄液を揮発させるようにしても(清浄ガスを供給しなくても)良い。   Here, the liquid material is discharged from the raw material supply pipe 15 by the clean gas, but may be discharged by the cleaning liquid. That is, after stopping the film forming process, the cleaning liquid may be supplied to the raw material supply pipe 15 from above. In this case, after discharging the liquid material with the cleaning liquid, the cleaning liquid may be discharged with the clean gas, and then the cleaning liquid may be further supplied into the raw material supply pipe 15. Further, when discharging the liquid material with the cleaning liquid in this way, after supplying the cleaning liquid into the raw material supply pipe 15, the inside of the raw material supply pipe 15 is evacuated through the atmosphere in the vaporizer 11 and the reaction pipe 12. Thus, the cleaning liquid remaining in the raw material supply pipe 15 may be volatilized (clean gas may not be supplied).

第1の液抜き機構50aでは、バイパス流路54が第1の原料排出バルブ73よりも下方にて開口する三方弁18bを用いたが、第2の液抜き機構50b及び第3の液抜き機構50cのように、側方側において開口する三方弁18bを用いても良い。また、これら第2の液抜き機構50b及び第3の液抜き機構50cの三方弁18bについて、第1の液抜き機構50aと同じ構成の三方弁18bを用いても良い。   In the first liquid draining mechanism 50a, the three-way valve 18b in which the bypass channel 54 opens below the first raw material discharge valve 73 is used. However, the second liquid draining mechanism 50b and the third liquid draining mechanism are used. As in 50c, a three-way valve 18b that opens on the side may be used. Further, as the three-way valve 18b of the second and third liquid draining mechanisms 50b and 50c, a three-way valve 18b having the same configuration as the first liquid draining mechanism 50a may be used.

更に、既述の例において原料供給管15内の液抜きを行うにあたり、気化器11のメンテナンスや原料貯留部14を他の材料に交換する場合について説明したが、この原料貯留部14を同じ原料の貯留された原料貯留部14に交換する(入れ替える)場合においても、原料供給管15内の液抜きが行われる。この場合には、既述のように原料供給管15内からの液体材料の液抜き及び清浄化を行った後、第1の原料供給バルブ72のバイパス流路54内の液体材料を清浄ガスによって原料貯留部14に押し戻す。続いて、図42〜図47の工程を行った後、原料貯留部14が交換される。しかる後、大気雰囲気となっている供給管14aの内部を真空ポンプ56によって真空排気する。   Further, in the above-described example, when the liquid in the raw material supply pipe 15 is drained, the maintenance of the vaporizer 11 and the case where the raw material storage unit 14 is replaced with another material have been described. In the case of replacing (replacement) with the stored raw material storage unit 14, the liquid in the raw material supply pipe 15 is drained. In this case, after the liquid material is drained and cleaned from the raw material supply pipe 15 as described above, the liquid material in the bypass flow path 54 of the first raw material supply valve 72 is cleaned with the clean gas. Push back to raw material reservoir 14. Subsequently, after performing the steps of FIGS. 42 to 47, the raw material reservoir 14 is replaced. Thereafter, the inside of the supply pipe 14a in the atmospheric atmosphere is evacuated by the vacuum pump 56.

ここで、既述のステップS1の気化器11内の液抜きを行うにあたり、図15〜図24の手法に代えて、第2の原料供給バルブ74、洗浄液供給バルブ78及び液体供給管41における流量調整部41aよりもノズル31側のバルブVを各々閉止すると共に、ガス供給バルブ77、第2の原料排出バルブ76、第1の流体排出バルブ80及び排液吸入バルブ81を開放して、第2の原料排出管62を介して真空ポンプ56によって液体原料を清浄ガスと共に排出しても良い。
既述の例では、圧力検出部66を第1の原料排出管61に設けたが、この圧力検出部66は、第1の原料排出管61に代えて、原料供給管15及び第2の原料排出管62のいずれかに設けても良いし、あるいはこれら第1の原料排出管61、原料供給管15及び第2の原料排出管62の少なくとも1箇所に設けても良い。
Here, in performing the liquid drainage in the vaporizer 11 in the above-described step S1, the flow rates in the second raw material supply valve 74, the cleaning liquid supply valve 78, and the liquid supply pipe 41 are replaced with the method of FIGS. The valves V closer to the nozzle 31 than the adjustment unit 41a are closed, and the gas supply valve 77, the second raw material discharge valve 76, the first fluid discharge valve 80, and the drainage suction valve 81 are opened, and the second The liquid raw material may be discharged together with the clean gas by the vacuum pump 56 through the raw material discharge pipe 62.
In the example described above, the pressure detection unit 66 is provided in the first raw material discharge pipe 61, but this pressure detection unit 66 is replaced with the first raw material discharge pipe 61 and the raw material supply pipe 15 and the second raw material. It may be provided in any one of the discharge pipes 62, or may be provided in at least one of the first raw material discharge pipe 61, the raw material supply pipe 15, and the second raw material discharge pipe 62.

また、既述の例では液体材料としてTEMAZを用いたが、他の液体材料例えばTEMAH(テトラキスエチルメチルアミノハフニウム)、Sr(THD)(ストロンチウムビステトラメチルヘプタンジオナト)などであっても良い。洗浄液としては、オクタン以外にも、ヘキサンやアルコール系の液体を用いても良い。
また、気化器11及び原料供給装置13を各々2組ずつ設けて、互いに異なる液体材料をウエハWに交互に供給して積層膜を形成しても良い。更に、以上の例では、液抜き機構50を4箇所に設けたが、少なくとも第2の液抜き機構50bを設けておけば良い。
また、既述の例においては、制御部1を介して原料供給管15内の液抜きや清浄化を行ったが、作業者がマニュアル作業でこれら液抜きや清浄化を行っても良い。この場合には、作業者は、バルブ18(V)の開閉順序の記載された作業手順書などに基づいて、操作画面や操作パネルに配置された各バルブ18(V)に対応するバルブ開閉ボタン(図示せず)を押して、既述の各ステップを進行させることになる。
In the example described above, TEMAZ is used as the liquid material. However, other liquid materials such as TEMAH (tetrakisethylmethylaminohafnium), Sr (THD) 2 (strontium bistetramethylheptanedionate) and the like may be used. . As the cleaning liquid, in addition to octane, hexane or alcohol liquid may be used.
Alternatively, two sets of the vaporizer 11 and the raw material supply device 13 may be provided, and different liquid materials may be alternately supplied to the wafer W to form a laminated film. Furthermore, in the above example, the liquid draining mechanisms 50 are provided at four locations, but at least the second liquid draining mechanism 50b may be provided.
Further, in the example described above, the drainage and cleaning of the raw material supply pipe 15 are performed via the control unit 1, but the operator may perform draining and cleaning of these manually. In this case, the operator can open and close the valve opening / closing buttons corresponding to the valves 18 (V) arranged on the operation screen or the operation panel based on the work procedure manual describing the opening / closing order of the valves 18 (V). (Not shown) is pushed and each step described above is advanced.

W ウエハ
11 気化器
12 反応管
13 原料供給装置
14 原料貯留部
15 原料供給管
16 ガス貯留部
17 洗浄液貯留部
71〜82 バルブ
19 洗浄液供給管
50 液抜き機構
W Wafer 11 Vaporizer 12 Reaction tube 13 Raw material supply device 14 Raw material storage unit 15 Raw material supply tube 16 Gas storage unit 17 Cleaning liquid storage units 71 to 82 Valve 19 Cleaning liquid supply tube 50 Liquid removal mechanism

Claims (5)

液体原料を気化器にて気化させ、気化されたガスを半導体製造用の反応容器内に供給するための原料供給装置において、
(1)液体原料を供給するための原料供給路と洗浄液を供給するための洗浄液供給路と、パージガスを供給するためのガス供給路と、一端側が気化器へ接続された流路の他端側と、が接続され、プロセス終了後に液体原料を含む流体を流路から排出するための液抜き機構を備え、
(2)前記液抜き機構は、上部側に伸びる流路のポートである上部ポートと、中央ポートと、下部側に伸びる流路のポートである下部ポートと、が上からこの順番に並ぶ三方弁と、上部側に伸びる流路のポートである上部ポートと、下部側に伸びる流路のポートである下部ポートと、が上からこの順番に並ぶ二方弁と、を組み合わせて構成され、
(3)前記液抜き機構は、三方弁からなるガス供給バルブと三方弁からなる洗浄液供給バルブと三方弁からなる下段側補助バルブとから構成された一方のバルブ連接構造部と、前記一方のバルブ連接構造部の横に並べて設けられ、二方弁からなる上段側補助バルブと三方弁からなる原料供給バルブと三方弁からなる原料排出バルブと、を備え、
(4)前記一方のバルブ連接構造部において、前記ガス供給バルブの中央ポートに前記ガス供給路が接続され、前記ガス供給バルブの下部ポートに前記洗浄液供給バルブの上部ポートが接続され、前記洗浄液供給路が前記洗浄液供給バルブの中央ポートに接続され、前記洗浄液供給バルブの下部ポートに前記下段側補助バルブの上部ポートが接続され、一端側が気化器へ接続された流路の他端側が前記下段側補助バルブの中央ポートに接続され、排液路が前記下段側補助バルブの下部ポートに接続され、
(5)前記他方のバルブ連接構造部において、前記ガス供給バルブの上部ポートに前記上段側補助バルブの上部ポートが接続され、前記上段側補助バルブの下部ポートに前記原料供給バルブの上部ポートが接続され、前記原料供給路が前記原料供給バルブの中央ポートに接続され、前記原料供給バルブの下部ポートに前記原料排出バルブの上部ポートが接続され、一端側が気化器へ接続された流路の他端側が前記原料排出バルブの中央ポートに接続され、排液路が前記原料排出バルブの下部ポートに接続されたことを特徴とする原料供給装置。
In a raw material supply apparatus for vaporizing a liquid raw material in a vaporizer and supplying the vaporized gas into a reaction vessel for semiconductor production,
(1) A raw material supply path for supplying a liquid raw material, a cleaning liquid supply path for supplying a cleaning liquid, a gas supply path for supplying a purge gas, and the other end of a flow path having one end connected to the vaporizer And a liquid draining mechanism for discharging the fluid containing the liquid raw material from the flow path after completion of the process,
(2) The liquid draining mechanism is a three-way valve in which an upper port that is a port of a flow path extending to the upper side, a central port, and a lower port that is a port of a flow path extending to the lower side are arranged in this order from the top And a two-way valve in which an upper port which is a port of a flow path extending to the upper side and a lower port which is a port of a flow path extending to the lower side are arranged in this order from above,
(3) The liquid draining mechanism includes one valve connecting structure portion including a gas supply valve including a three-way valve, a cleaning liquid supply valve including a three-way valve, and a lower auxiliary valve including a three-way valve, and the one valve Provided side by side with the connecting structure, and includes an upper auxiliary valve composed of a two-way valve, a material supply valve composed of a three-way valve, and a material discharge valve composed of a three-way valve,
(4) In the one valve connecting structure, the gas supply path is connected to a central port of the gas supply valve, an upper port of the cleaning liquid supply valve is connected to a lower port of the gas supply valve, and the cleaning liquid supply The passage is connected to the central port of the cleaning liquid supply valve, the upper port of the lower auxiliary valve is connected to the lower port of the cleaning liquid supply valve, and the other end of the flow path whose one end is connected to the vaporizer is the lower stage Connected to the central port of the auxiliary valve, the drainage path is connected to the lower port of the lower auxiliary valve,
(5) In the other valve connection structure, the upper port of the upper auxiliary valve is connected to the upper port of the gas supply valve, and the upper port of the raw material supply valve is connected to the lower port of the upper auxiliary valve The other end of the flow path in which the raw material supply path is connected to the central port of the raw material supply valve, the upper port of the raw material discharge valve is connected to the lower port of the raw material supply valve, and one end side is connected to the vaporizer A raw material supply apparatus, wherein a side is connected to a central port of the raw material discharge valve, and a drainage path is connected to a lower port of the raw material discharge valve .
前記液抜き機構を上部側の液抜き機構と呼ぶとすると、上部側の液抜き機構の下方側に下部側の液抜き機構が設けられ、
前記下部側の液抜き機構は、上部側に伸びる流路のポートである上部ポートと、中央ポートと、下部側に伸びる流路のポートである下部ポートと、が上からこの順番に並ぶ三方弁と、上部側に伸びる流路のポートである上部ポートと、下部側に伸びる流路のポートである下部ポートと、が上からこの順番に並ぶ二方弁と、を組み合わせて構成され、
前記下部側の液抜き機構は、三方弁からなる上部側の流体排出バルブと、三方弁からなる排液吸入バルブと、二方弁からなる下部側の流体排出バルブと、から構成されたバルブ連接構造部を備え、
洗浄液が供給される供給路に前記上部側の流体排出バルブの上部ポートが接続され、前記上部側の液抜き機構の排液路に前記上部側の流体排出バルブの中央ポートが接続され、前記上部側の流体排出バルブの下部ポートに前記排液吸入バルブの上部ポートが接続され、真空排気路が前記排液吸入バルブの中央ポートに接続され、前記排液吸入バルブの下部ポートに前記下部側の流体排出バルブの上部ポートが接続され、排液路が前記下部側の流体排出バルブの下部ポートに接続されたことを特徴とする請求項1記載の原料供給装置。
If the liquid draining mechanism is called an upper liquid draining mechanism, a lower liquid draining mechanism is provided below the upper liquid draining mechanism,
The lower side liquid draining mechanism is a three-way valve in which an upper port that is a port of a flow path extending to the upper side, a central port, and a lower port that is a port of a flow path extending to the lower side are arranged in this order from the top And a two-way valve in which an upper port which is a port of a flow path extending to the upper side and a lower port which is a port of a flow path extending to the lower side are arranged in this order from above,
The lower-side liquid draining mechanism includes an upper-side fluid discharge valve composed of a three-way valve, a drainage suction valve composed of a three-way valve, and a lower-side fluid discharge valve composed of a two-way valve. With a structure,
An upper port of the upper side fluid discharge valve is connected to a supply path to which cleaning liquid is supplied, and a central port of the upper side fluid discharge valve is connected to a drain path of the upper side liquid draining mechanism, An upper port of the drainage suction valve is connected to a lower port of the fluid discharge valve on the side, a vacuum exhaust path is connected to a central port of the drainage suction valve, and a lower port of the drainage suction valve is connected to the lower port of the drainage suction valve. The raw material supply apparatus according to claim 1, wherein an upper port of the fluid discharge valve is connected, and a drainage path is connected to the lower port of the lower fluid discharge valve .
前記洗浄液供給バルブにその一端側が接続された前記洗浄液供給路の途中に補助液抜き機構が接続され、
前記補助液抜き機構は、上部側に伸びる流路のポートである上部ポートと、中央ポートと、下部側に伸びる流路のポートである下部ポートと、が上からこの順番に並ぶ三方弁と、上部側に伸びる流路のポートである上部ポートと、下部側に伸びる流路のポートである下部ポートと、が上からこの順番に並ぶ二方弁と、を組み合わせて構成され、
前記補助液抜き機構は、二方弁からなる上段バルブと、三方弁からなる中段バルブと、二方弁からなる下段バルブと、から構成されたバルブ連接構造部を備え、
前記洗浄液供給バルブ側の洗浄液流路が前記上段バルブの上部ポートに接続され、前記上段バルブの下部ポートに前記中段バルブの上部ポートが接続され、洗浄液貯留部に接続された洗浄液供給路が前記中段バルブの中央ポートに接続され、前記中段バルブの下部ポートに前記下段バルブの上部ポートが接続され、真空排気路及び排液路の間で切り替えられる流路に前記下段バルブの下部ポートが接続されたことを特徴とする請求項1または2記載の原料供給装置。
An auxiliary liquid draining mechanism is connected in the middle of the cleaning liquid supply path connected to one end side of the cleaning liquid supply valve,
The auxiliary liquid draining mechanism is a three-way valve in which an upper port which is a port of a flow path extending to the upper side, a central port, and a lower port which is a port of a flow path extending to the lower side are arranged in this order from above, The upper port that is the port of the flow path extending to the upper side and the lower port that is the port of the flow path extending to the lower side are combined with a two-way valve arranged in this order from above,
The auxiliary liquid draining mechanism includes a valve connecting structure portion composed of an upper valve consisting of a two-way valve, an intermediate valve consisting of a three-way valve, and a lower valve consisting of a two-way valve,
The cleaning liquid flow path on the side of the cleaning liquid supply valve is connected to the upper port of the upper valve, the upper port of the middle valve is connected to the lower port of the upper valve, and the cleaning liquid supply path connected to the cleaning liquid reservoir is the middle stage Connected to the central port of the valve, the upper port of the lower valve is connected to the lower port of the middle valve, and the lower port of the lower valve is connected to the flow path that is switched between the vacuum exhaust path and the drainage path The raw material supply apparatus according to claim 1 or 2, wherein
前記真空排気路の圧力を検出する圧力検出部が設けられていることを特徴とする請求項2または3に記載の原料供給装置。 The raw material supply apparatus according to claim 2, wherein a pressure detection unit that detects a pressure in the vacuum exhaust path is provided. 請求項1ないしのいずれか一項に記載の原料供給装置と、
この原料供給装置から供給される液体材料を気化するための気化器と、
この気化器において液体材料を気化して得られた処理ガスを、内部に収納した基板に対して供給するための半導体製造用の反応容器と、を備えたことを特徴とする半導体製造装置。
The raw material supply apparatus according to any one of claims 1 to 4 ,
A vaporizer for vaporizing the liquid material supplied from the raw material supply device;
A semiconductor manufacturing apparatus, comprising: a reaction vessel for manufacturing a semiconductor for supplying a processing gas obtained by vaporizing a liquid material in the vaporizer to a substrate housed therein.
JP2014124663A 2014-06-17 2014-06-17 Raw material supply apparatus and semiconductor manufacturing apparatus Active JP5880627B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2014124663A JP5880627B2 (en) 2014-06-17 2014-06-17 Raw material supply apparatus and semiconductor manufacturing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014124663A JP5880627B2 (en) 2014-06-17 2014-06-17 Raw material supply apparatus and semiconductor manufacturing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010293207A Division JP5573666B2 (en) 2010-12-28 2010-12-28 Raw material supply apparatus and film forming apparatus

Publications (3)

Publication Number Publication Date
JP2014179658A JP2014179658A (en) 2014-09-25
JP2014179658A5 JP2014179658A5 (en) 2014-12-11
JP5880627B2 true JP5880627B2 (en) 2016-03-09

Family

ID=51699221

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014124663A Active JP5880627B2 (en) 2014-06-17 2014-06-17 Raw material supply apparatus and semiconductor manufacturing apparatus

Country Status (1)

Country Link
JP (1) JP5880627B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI578364B (en) 2014-09-03 2017-04-11 Nuflare Technology Inc Inspection method of masking device with multiple charged particle beam

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09143740A (en) * 1995-11-22 1997-06-03 Tokyo Electron Ltd Cleaning method for treating gas supplying system
JP4440505B2 (en) * 2001-03-08 2010-03-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Raw material liquid supply device with cleaning function
JP4884607B2 (en) * 2001-07-12 2012-02-29 東京エレクトロン株式会社 Heat treatment equipment
CN1247323C (en) * 2001-11-15 2006-03-29 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 Source liquid supply apparatus having a cleaning function
JP4021721B2 (en) * 2002-07-30 2007-12-12 アプライド マテリアルズ インコーポレイテッド Liquid supply structure
JP2005129782A (en) * 2003-10-24 2005-05-19 Hitachi Kokusai Electric Inc Substrate treatment apparatus

Also Published As

Publication number Publication date
JP2014179658A (en) 2014-09-25

Similar Documents

Publication Publication Date Title
JP5573666B2 (en) Raw material supply apparatus and film forming apparatus
TWI610395B (en) Support structure, processing container structure and processing apparatus
JP5720406B2 (en) GAS SUPPLY DEVICE, HEAT TREATMENT DEVICE, GAS SUPPLY METHOD, AND HEAT TREATMENT METHOD
KR101403982B1 (en) Exhaust unit, substrate processing apparatus, and method of manufacturing semiconductor device
JP5958231B2 (en) Vertical heat treatment equipment
KR101232688B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and method of confirming operation of liquid flowrate control device
US20080168946A1 (en) Liquid supplying unit and method, facility for treating substrates with the unit, and method for treating substrates
TWI559369B (en) A substrate processing apparatus, a manufacturing method and a program for a semiconductor device
JP2012212854A (en) Substrate processing device and solid raw material replenishing method
TWI524388B (en) A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
CN106098591A (en) Lining processor and the manufacture method of semiconductor device
KR102122786B1 (en) Storage device, vaporizer, substrate processing device and method for manufacturing semiconductor device
KR100950400B1 (en) Substrate processing system
JP2018064058A (en) Film deposition device, method for cleaning the same, and storage medium
JP5880627B2 (en) Raw material supply apparatus and semiconductor manufacturing apparatus
KR20200020614A (en) Film forming method and film forming apparatus
KR102453149B1 (en) Semiconductor apparatus of furnace type, cleaning method of the same, and method of forming thin film using the same
JP2007227471A (en) Substrate processing apparatus
JP2017022215A (en) Vacuum processing apparatus
WO2024095760A1 (en) Substrate processing apparatus and substrate processing method
US20220072452A1 (en) Degassing apparatus and substrate treating apparatus
JP5060375B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
KR20230020905A (en) Substrate steam processing method and substrate steam processing system
JPH0422125A (en) Wet treatment method for semiconductor wafer
KR20070024761A (en) Semiconductor manufacturing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140617

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141024

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150310

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150413

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160118

R150 Certificate of patent or registration of utility model

Ref document number: 5880627

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250