JP5666433B2 - ランタニド含有前駆体の調製およびランタニド含有膜の堆積 - Google Patents

ランタニド含有前駆体の調製およびランタニド含有膜の堆積 Download PDF

Info

Publication number
JP5666433B2
JP5666433B2 JP2011512702A JP2011512702A JP5666433B2 JP 5666433 B2 JP5666433 B2 JP 5666433B2 JP 2011512702 A JP2011512702 A JP 2011512702A JP 2011512702 A JP2011512702 A JP 2011512702A JP 5666433 B2 JP5666433 B2 JP 5666433B2
Authority
JP
Japan
Prior art keywords
group
lanthanide
precursor
ipr
amd
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011512702A
Other languages
English (en)
Other versions
JP2011522833A (ja
Inventor
パレム、ベンカテスワラ・アール.
デュッサラ、クリスティアン
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2011522833A publication Critical patent/JP2011522833A/ja
Application granted granted Critical
Publication of JP5666433B2 publication Critical patent/JP5666433B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C257/00Compounds containing carboxyl groups, the doubly-bound oxygen atom of a carboxyl group being replaced by a doubly-bound nitrogen atom, this nitrogen atom not being further bound to an oxygen atom, e.g. imino-ethers, amidines
    • C07C257/10Compounds containing carboxyl groups, the doubly-bound oxygen atom of a carboxyl group being replaced by a doubly-bound nitrogen atom, this nitrogen atom not being further bound to an oxygen atom, e.g. imino-ethers, amidines with replacement of the other oxygen atom of the carboxyl group by nitrogen atoms, e.g. amidines
    • C07C257/14Compounds containing carboxyl groups, the doubly-bound oxygen atom of a carboxyl group being replaced by a doubly-bound nitrogen atom, this nitrogen atom not being further bound to an oxygen atom, e.g. imino-ethers, amidines with replacement of the other oxygen atom of the carboxyl group by nitrogen atoms, e.g. amidines having carbon atoms of amidino groups bound to acyclic carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

背景
産業が直面する重大な問題の1つは、ダイナミック・ランダム・アクセス・メモリ(DRAM)およびキャパシタ用の新たなゲート絶縁材料の開発にある。数十年の間、二酸化珪素(SiO2)が信頼のおける絶縁体であったが、トランジスタが小さくなり続け且つ技術が「フルSi」トランジスタから「金属ゲート/高誘電率」トランジスタへと移行したために、SiO2系ゲート絶縁体の信頼性は、その物理的限界に達している。現在の技術のサイズが小さくなっているために、新たな高比誘電率材料およびプロセスについての必要性は高まっており且つますます重要になっている。ランタニド含有材料を特にベースとした新世代の酸化物は、従来の絶縁材料に比して、電気容量の著しい進歩を与えると考えられている。
しかしながら、ランタニド含有層の堆積は難しく、新たな材料およびプロセスがますます必要とされている。たとえば、原子層堆積(ALD)は、マイクロエレクトロニクスの製造にとって重要な薄膜成長技術であると確認されており、不活性ガスパージを合間に挟んで択一的に適用される複数種の前駆体の逐次的であり且つ飽和する表面反応に基づいている。ALDの表面制御された性質は、正確な厚み制御により、共形性および均一性の高い薄膜の成長を可能にする。希土類材料のための新たなALDプロセスを開発することが必要であることは、明らかである。
あいにく、堆積プロセスへの化合物の効果的な組み込みは難しいことが判明している。ベータ−ジケトネート類およびシクロペンタジエニル類という2種類の分子が典型的に提案される。前者の系統の化合物は安定であるが、融点が常に90℃を上回っており、それらを非実用的にしている。ランタニド2,2−6,6−テトラメチルヘプタンジオネート[La(tmhd)3]の融点は260℃程度であり、同類のランタニド2,2,7−トリメチルオクタンジオネート[La(tmod)3]の融点は197℃である。加えて、ベータ−ジケトネート類の配送効率は、制御するのが非常に難しい。未置換のシクロペンタジエニル化合物も、揮発性が低く、融点が高い。分子設計は、揮発性を向上させるのと、融点を下げるのとの両方の助けとなり得る。しかしながら、プロセス条件において、これらの種類の材料は、使用が限られることが判明している。たとえば、La(iPrCp)3は、225℃超でのALDレジームを許容しない。
現在利用可能なランタニド含有前駆体のうちの数種類は、堆積プロセスで使用される際に多くの欠点を示す。たとえば、フッ化ランタニド前駆体は、副生成物として、LnF3を生じ得る。この副生成物は、除去するのが難しいことが知られている。
それ故に、ランタニド含有膜の堆積のための既存のものに代わる前駆体が必要とされている。
概要
ここでは、一般式:
Ln(R1Cp)m(R2−N−C(R4)=N−R2n
のランタニド含有前駆体であって:
−Lnは、イオン半径が約75pm(0.75Å)ないし約94pm(0.94Å)であり、3+の電荷を持ち、配位数が6であるランタニド金属であり;
−R1は、HおよびC1−C5アルキル鎖からなる群より選択され;
−R2は、HおよびC1−C5アルキル鎖からなる群より選択され;
−R4は、HおよびMeからなる群より選択され;
−nおよびmは、1ないし2の範囲内にあり;
−前記前駆体は、融点が約105℃未満である
前駆体が開示される。
開示されるランタニド含有前駆体は、以下の側面のうちの1つ以上を任意に含んでもよい:
−Lnは、Lu、Gd、Tb、Dy、Ho、Er、Tm、およびYbからなる群より選択される。
−Lnは、ErおよびYbからなる群より選択される。
−R1は、Me、Et、およびiPrからなる群より選択される。
−R2は、iPrおよびtBtからなる群より選択される。
また、ランタニド含有膜を半導体基板上に堆積させる方法であって:
a)基板を準備することと、
b)開示されるランタニド含有前駆体を提供することと、
c)ランタニド含有膜を基板上に堆積させることと
を含む方法も開示される。
開示される方法は、以下の側面のうちの1つ以上を任意に含んでもよい:
−約150℃ないし約600℃の間にある温度で、ランタニド含有膜を基板上に堆積させること。
約6.7×10 -2 Pa(0.5mTorr)ないし約2.7Pa(20Torr)の間にある圧力で、ランタニド含有膜を基板上に堆積させること。
−ランタニド含有前駆体は、70℃未満の温度で液体である。
−ランタニド含有前駆体は、40℃未満の温度で液体である。
−ランタニド含有膜は、Ln23、(LnLn')O3、Ln23−Ln'23、LnSixy、LnGexy、(Al、Ga、Mn)LnO3、HfLnOx、およびZrLnOxからなる群より選択され、ここで、LnとLn'とは互いに異なる。
−ランタニド含有膜は、HfErOx、ZrErOx、HfYbOx、およびZrYbOxからなる群より選択される。
−ランタニド含有前駆体は、Ln(R1Cp)2(Nz−fmd)、Ln(R1Cp)2(Nz−amd)、Ln(R1Cp)(Nz−fmd)2、およびLn(R1Cp)(Nz−amd)2からなる群より選択される一般式を有し、ここで、Lnは、Y、Gd、Dy、Er、およびYbからなる群より選択され;R1は、Me、Et、およびiPrからなる群より選択され;Zは、iPrまたはtBtである。
また、ランタニド含有膜を基板上に形成する第2の方法であって、少なくとも1つの基板が中に配置された反応器を設ける工程と、ここに開示される少なくとも1種のランタニド含有前駆体を反応器へと導入する工程と、堆積プロセスを使用してランタニド含有前駆体と基板とを接触させて、ランタニド含有層を基板の少なくとも1つの表面上に形成する工程とを含んでいる方法も開示される。
開示される第2の方法は、以下の側面のうちの1つ以上を任意に含んでいてもよい:
−少なくとも1種の酸素含有流体をリアクタ内へと提供することおよびランタニド含有前駆体を該酸素含有流体と反応させること。
−酸素含有流体は、O2、O3、H2O、H22、酢酸、ホルマリン、パラホルムアルデヒド、およびこれらの組み合わせからなる群より選択される。
−ランタニド含有前駆体および反応種は、化学気相堆積プロセスのように少なくとも部分的に同時に導入されるか、または原子層堆積プロセスのように少なくとも部分的に逐次的に導入される。
−前記ランタニド含有前駆体とは異なる金属前駆体をリアクタへと導入すること、および該金属前駆体の少なくとも一部を堆積させて、ランタニド含有層を1つ以上の基板上に形成すること。
−金属前駆体の金属は、Hf、Si、Al、Ga、Mn、Ti、Ta、Bi、Zr、Pb、Nb、Mg、Sr、Y、Ba、Ca、ランタニド、およびこれらの組み合わせからなる群より選択される。
−堆積プロセスは、化学気相堆積プロセスである。
−堆積プロセスは、複数回の堆積サイクルを有する原子層堆積プロセスである。
−ランタニド含有前駆体は、Ln(R1Cp)2(Nz−fmd)、Ln(R1Cp)2(Nz−amd)、Ln(R1Cp)(Nz−fmd)2、およびLn(R1Cp)(Nz−amd)2からなる群より選択される一般式を有し、ここで、Lnは、Y、Gd、Dy、Er、およびYbからなる群より選択され;R1は、Me、Et、およびiPrからなる群より選択され;Zは、iPrまたはtBtである。
また、開示される第2の方法の生成物を含むランタニド含有膜で被覆された基板も開示される。
記号および用語
幾つかの略語、記号および用語が、以下の説明および特許請求の範囲を通じて使用されており、これらとしては以下のものが挙げられる:略語「Ln」は、以下の元素:スカンジウム(「Sc」)、イットリウム(「Y」)、ルテチウム(「Lu」)、ランタン(「La」)、セリウム(「Ce」)、プラセオジム(「Pr」)、ネオジム(「Nd」)、サマリウム(「Sm」)、ユーロピウム(「Eu」)、ガドリニウム(「Gd」)、テルビウム(「Tb」)、ジスプロシウム(「Dy」)、ホルミウム(「Ho」)、エルビウム(「Er」)、ツリウム(「Tm」)、またはイッテルビウム(「Yb」)を含むランタニド族を指している;略語「Cp」は、シクロペンタジエンを指している;略語「Å」は、オングストロームを指している;プライム記号(「'」)は、第1のものとは異なる成分を示すのに使用され、たとえば、(LnLn')O3は、2種類の異なるランタニド元素を含有するランタニド酸化物を指している;用語「脂肪族基」は、C1−C5の直鎖または分枝鎖アルキル基を指している;用語「アルキル基」は、専ら炭素原子および水素原子を含有する飽和官能基を指している;略語「Me」は、メチル基を指している;略語「Et」は、エチル基を指している;略語「Pr」は、プロピル基を指している;略語「iPr」は、イソプロピル基を指している;略語「tBt」は、第三級ブチル基を指している、略語「Nz−amd」は、ZNC(CH3)=NZを指しており、ここで、Zは、iPrまたはtBtなどの定義済みのアルキル基である;略語「Nz−fmd」は、ZNC(H)=NZを指しており、ここで、Zは、iPrまたはtBtなどの定義済みのアルキル基である;略語「CVD」は、化学気相堆積を指している;略語「LPCVD」は、低圧化学気相堆積を指している;略語「ALD」は、原子層堆積を指している;略語「P−CVD」は、パルス化学気相堆積を指している;略語「PE−ALD」は、プラズマ強化原子層堆積を指している;略語「MIM」は、金属−絶縁物−金属(キャパシタで使用される構造)を指している;略語「DRAM」は、ダイナミック・ランダム・アクセス・メモリを指している;略語「FeRAM」は、強誘電体ランダム・アクセス・メモリを指している;略語「CMOS」は、相補型金属酸化膜半導体を指している;略語「THF」は、テトラヒドロフランを指している;略語「TGA」は、熱重量分析を指している;略語「TMA」は、トリメチルアルミニウムを指している;略語「TBTDET」は、第三級ブチルイミド、トリス(ジエチルアミノ)タンタル(Ta[N(C2523[NC(CH33])を指している;略語「TAT−DMAE」は、タンタルテトラエトキシドジメチルアミノエトキシドを指している;略語「PET」は、ペンタエトキシタンタルを指している;略語「TBTDEN」は、第三級ブチルイミド、トリス(ジエチルアミノ)ニオブを指している;略語「PEN」は、ペンタエトキシニオブを指している;略語「TriDMAS」は、トリス(ジメチルアミノ)シラン[SiH(NMe23]を指している;略語「BDMAS」は、ビス(ジメチルアミノ)シランを指している;略語「BDEAS」は、ビス(ジエチルアミノ)シラン[SiH2(NEt22]を指している;略語「TDEAS」は、テトラキス−ジエチルアミノシランを指している;略語「TDMAS」は、トリス(ジメチルアミノ)シランを指している;略語「TEMAS」は、テトラキス−エチルメチルアミノシラン(Si(N(C25)(CH3))4)を指している;略語「BTBAS」は、ビス(tert−ブチルアミノ)シラン[SiH2(NHtBu)2]を指している。
本発明の性質および対象についての更なる理解のためには、以下の詳細な説明を、添付の図面と組み合わせて参照すべきである。
図1は、Y(MeCp)2(NiPr−amd)の温度変化に応じた重量損失の百分率を示したTGAグラフである。 図2は、Y(iPrCp)2(NiPr−amd)についてのTGAグラフである。 図3は、Er(MeCp)2(iPr−N−C(Me)=N−iPr)についてのTGAグラフである。 図4は、Er(MeCp)2(tBu−N−C(Me)=N−tBu)についてのTGAグラフである。 図5は、Er(EtCp)2(iPr−N−C(Me)=N−iPr)についてのTGAグラフである。 図6は、Er(MeCp)2(iPr−N−C(H)=N−iPr)についてのTGAグラフである。 図7は、Yb(MeCp)2(iPr−N−C(Me)=N−iPr)についてのTGAグラフである。 図8は、Yb(MeCp)2(tBu−N−C(Me)=N−tBu)についてのTGAグラフである。 図9は、Yb(EtCp)2(iPr−N−C(Me)=N−iPr)についてのTGAグラフである。 図10は、Yb(EtCp)2(iPr−N−C(H)=N−iPr)についてのTGAグラフである。 図11は、Yb(iPrCp)2(iPr−N−C(H)=N−iPr)についてのTGAグラフである。
好ましい態様についての説明
一般式:
Ln(R1Cp)m(R2−N−C(R4)=N−R2n
を有するランタニド含有前駆体化合物であって、ここで、Lnは、Sc、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Luを含むランタニド族を表しており;R1は、HまたはC1−C5のアルキル鎖から選択され;R2は、HまたはC1−C5アルキル鎖から選択され;R4は、H、C1−C5アルキル鎖、およびNR'R'',ここで、R'およびR''はC1−C5アルキル鎖から独立して選択される,から選択され;mは、1または2から選択され;nは、1または2から選択される化合物が開示される。
前記ランタニド含有前駆体は、トリス−置換シクロペンタジエニルランタニド化合物,Ln(RCp)3,、トリス−アセトアミジネート化合物,Ln(R−N−C(R')=N−R)3,またはトリス−ホルムアミジネート化合物,Ln(R−N−C(H)=N−R)3,などの対応するホモレプティック化合物と比べた場合、独特な物理的性質および化学的性質を示す。このような性質としては、金属中心の周りでの立体的な込み合いの優れた制御が挙げられ、これは、次に、基板上での表面反応および第2の反応物質(たとえば、酸素ソースなど)との反応を制御する。配位子上の置換基を独立して微調整することは、揮発性および熱的安定性を高め且つ融点を下げて、液体かまたは低融点固体(約105℃未満の融点を有する)をもたらす。
蒸着プロセスに適した性質を有する安定なランタニド含有前駆体(すなわち、揮発性であるが熱的に安定な液体または低融点固体(約105℃未満の融点を有する))を合成するために、中心の金属イオンの性質(配位数、イオン半径)と配位子の性質(立体効果、2つのへテロリプティック配位子の比)との間の直接相関が観察されてきた。好ましくは、金属化合物は、約75pm(0.75Å)ないし約94(0.94Å)のイオン半径を含み、3+の電荷を持ち、配位数が6である。結果として、Lnは、好ましくは、Sc、Y、Lu、Gd、Tb、Dy、Ho、Er、Tm、およびYbを含む小さなランタニド系列の元素から選択される。より好ましくは、Lnは、Lu、Gd、Tb、Dy、Ho、Er、Tm、またはYbから選択される。好ましくは、R1は、C1−C3のアルキル鎖であり;R2は、C3−C4のアルキル鎖であり、R4は、HまたはMeである。好ましくは、ランタニド含有前駆体は、約105℃未満、好ましくは約80℃未満、より好ましくは約70℃未満、更により好ましくは約40℃未満の融点を有する。好ましいランタニド含有前駆体としては、Ln(R1Cp)2(Nz−fmd)、Ln(R1Cp)2(Nz−amd)、Ln(R1Cp)(Nz−fmd)2、およびLn(R1Cp)(Nz−amd)2が挙げられ、ここで、Lnは、Y、Gd、Dy、Er、またはYbであり;R1は、Me、Et、またはiPrであり;Zは、iPrまたはtBtである。
Ln(R1Cp)m(R2−N−C(R4)=N−R2n前駆体(ここで、m=2、n=1か、またはm=1、n=2)の合成は、以下の方法によって行われ得る:
方法A
Ln(R1Cp)2X(ここで、X=Cl、BrまたはI)を、M(R2−N−C(R4)=N−R2)(ここで、M=Li、Na、K)と反応させるか、またはLn(R1Cp)X2を2M(R2−N−C(R4)=N−R2)と反応させることによる(スキーム−1)。
Figure 0005666433
方法B
Ln(R1Cp)3を、1等量のアミジン/グアニジン,R2−NH−C(R4)=N−R2,と反応させて、Ln(R1Cp)2(R2−N−C(R4)=N−R2)を得るか、または2等量のアミジン/グアニジン,R2−NH−C(R4)=N−R2,と反応させて、Ln(R1Cp)(R2−N−C(R4)=N−R22を得ることによる(スキーム−2)。
Figure 0005666433
方法C
LnX3(ここで、X=Cl、Br、I)を、mR1CpM(ここで、M=Li、Na、K)と(中間生成物の単離を行わずに、段階的な反応で)その場で反応させて、次に濾過を行い、濾液をnM(R2−N−C(R4)=N−R2)と反応させて、Ln(R1Cp)m(R2−N−C(R4)=N−R2n前駆体を得る(スキーム−3)。
Figure 0005666433
開示する前駆体化合物(以下、「ランタニド含有前駆体」と呼ぶ)は、ランタニド含有膜を形成すべく、当業者に知られている任意の堆積方法を使用して堆積され得る。適切な堆積方法の例としては、従来の化学気相堆積(CVD)、低圧化学気相堆積(LPCVD)、原子層堆積(ALD)、パルス化学気相堆積(P−CVD)、プラズマ強化原子層堆積(PE−ALD)、またはこれらの組み合わせが挙げられるが、これらに限定されない。
ランタニド含有膜が上に堆積される基板のタイプは、意図する最終用途に応じて多様であろう。幾つかの態様では、基板は、MIM、DRAM、FeRam技術における絶縁材料としてもしくはCMOS技術におけるゲート絶縁体として使用される酸化物(たとえば、HfO2系材料、TiO2系材料、ZrO2系材料、希土類酸化物系材料、三元酸化物系材料など)からか、または、銅と低誘電率層との間の酸素バリアとして使用される窒化物系膜(たとえば、TaN)から選択され得る。他の基板が、半導体、光電池、LCD−TFT、またはフラットパネルデバイスの製造において使用される場合もある。このような基板の例としては、金属基板などの中実基板(たとえば、Au、Pd、Rh、Ru、W、Al、Ni、Ti、Co、Pt、ならびにTiSi2、CoSi2、およびNiSi2などの金属珪素化合物);金属窒化物含有基板(たとえば、TaN、TiN、WN、TaCN、TiCN、TaSiN、およびTiSiN);半導体材料(たとえば、Si、SiGe、GaAs、InP、ダイアモンド、GaN、およびSiC);絶縁材(たとえば、SiO2、Si34、SiON、HfO2、Ta25、ZrO2、TiO2、Al23、およびバリウムストロンチウムチタネート);またはこれら材料の任意の数の組み合わせを含む他の基板が挙げられるが、これらに限定されない。また、利用される実際の基板は、利用される特定の前駆体の態様にも依存し得る。もっとも、多くの例においては、利用される好ましい基板は、TiN、RuおよびSiタイプの基板から選択されるであろう。
ランタニド含有前駆体は、少なくとも1つの基板を収容した反応チャンバへと導入される。この反応チャンバは、堆積方法が行われるところのデバイスの任意のエンクロージャまたはチャンバでもよく、たとえば、限定はされないが、平行板タイプリアクタ、コールドウォールタイプリアクタ、ホットウォールタイプリアクタ、枚葉式リアクタ、マルチウェハタイプリアクタ、または他のこのようなタイプの堆積システムであり得る。
反応チャンバは、約6.7×10 -2 Pa(0.5mTorr)ないし約2.7Pa(20Torr)の範囲内にある圧力に維持され得る。加えて、反応チャンバ内の温度は、約250℃ないし約600℃の範囲内にあり得る。当業者であれば、この温度は、所望とされる結果を達成すべく、単に実験を通じて最適化されてもよいことを理解するであろう。
基板は、所望されるランタニド含有膜を十分な成長速度ならびに所望される物理的状態および組成で得るのに十分な温度まで加熱され得る。基板が加熱され得る非限定的な例示的温度範囲としては、150℃ないし600℃が挙げられる。好ましくは、基板の温度は、450℃以下であり続ける。
ランタニド含有前駆体は、液体の状態で気化器へと供給されて、そこで、それが反応チャンバへと導入される前に気化されてもよい。それの気化に先立ち、ランタニド含有前駆体は、任意に、1種以上の溶媒、1種以上の金属ソース、および1種以上の溶媒と1種以上の金属ソースとの混合物と混合されてもよい。溶媒は、トルエン、エチルベンゼン、キシレン、メシチレン、デカン、ドデカン、オクタン、ヘキサン、ペンタンまたはその他からなる群より選択されてもよい。結果的に得られる濃度は、約0.05Mないし約2Mの範囲内にあり得る。金属ソースとしては、現在知られているか今後開発される任意の金属前駆体が挙げられ得る。
或いは、ランタニド含有前駆体は、キャリアガスを該ランタニド含有前駆体を入れたコンテナ内に通すことによって、または、キャリアガスを該ランタニド含有前駆体内にバブリングすることによって気化され得る。キャリアガスおよびランタニド含有前駆体は、その後、反応チャンバへと導入される。必要な場合、コンテナは、ランタニド含有前駆体がその液相にあることおよび十分な蒸気圧を持つことを容認する温度まで加熱されてもよい。キャリアガスとしては、Ar、He、N2およびこれらの混合物が挙げられ得るが、これらに限定されない。ランタニド含有前駆体は、任意に、コンテナ内において、溶媒、他の金属ソース、またはこれらの混合物と混合されてもよい。コンテナは、たとえば0−100℃の範囲内にある温度に維持されてもよい。当業者であれば、コンテナの温度が、気化されるランタニド含有前駆体の量を制御すべく、既知の方法で調節される場合があることを理解する。
反応チャンバへの導入に先立ってのランタニド含有前駆体と、溶媒、金属前駆体および安定剤との任意の混合に加えて、ランタニド含有前駆体は、反応チャンバの内部において、反応種と混合されてもよい。例示的な反応種としては、H2、TMAまたは他のアルミニウム含有前駆体などの金属前駆体、他のランタニド含有前駆体、TBTDET、TAT−DMAE、PET、TBTDEN、PEN、およびこれらの任意の組み合わせが挙げられるが、これらに限定されない。
所望されるランタニド含有膜が、たとえばおよび限定はされないが、酸化エルビウムのように酸素を更に含有する場合、反応種としては、O2、O3、H2O、H22、酢酸、ホルマリン、パラ−ホルムアルデヒド、およびこれらの混合物から選択される酸素ソースが挙げられ得るが、これらに限定されない。
所望されるランタニド含有膜が、たとえばおよび限定はされないが、窒化エルビウムまたは炭窒化エルビウムのように窒素を更に含有する場合、反応種としては、窒素(N2)、アンモニアおよびそれのアルキル誘導体、ヒドラジンおよびそれのアルキル誘導体、N含有ラジカル(たとえば、N、NH、NH2)、NO、N2O、NO2、アミン、ならびにこれらの任意の組み合わせから選択される窒素ソースが挙げられ得るが、これらに限定されない。
所望されるランタニド含有膜が、たとえばおよび限定はされないが、炭化エルビウムまたは炭窒化エルビウムのように炭素を更に含有する場合、反応種としては、メタン、エタン、プロパン、ブタン、エチレン、プロピレン、t−ブチレン、イソブチレン、CCl4、およびこれらの任意の組み合わせから選択される炭素ソースが挙げられ得るが、これらに限定されない。
所望されるランタニド含有膜が、たとえばおよび限定はされないが、珪化エルビウム、珪窒化エルビウム、珪酸エルビウム、エルビウム珪化炭化窒化物(erbium silico-carbo-nitride)のように珪素を更に含有する場合、反応種としては、SiH4、Si26、Si38、TriDMAS、BDMAS、BDEAS、TDEAS、TDMAS、TEMAS、(SiH33N、(SiH32O、トリシリルアミン、ジシロキサン、トリシリルアミン、ジシラン、トリシラン、アルコキシシランSiHx(OR14-x、シラノールSi(OH)x(OR14-x(好ましくはSi(OH)(OR13;より好ましくはSi(OH)(OtBu)3アミノシランSiHx(NR124-x(ここで、xは、1、2、3、または4であり;R1およびR2は、独立して、Hまたは直鎖、分枝鎖もしくは環式のC1−C6炭素鎖であり;好ましくは、TriDMAS、BTBAS、および/またはBDEAS)、およびこれらの任意の組み合わせから選択される珪素ソースが挙げられ得るが、これらに限定されない。或いは、目的とする膜がゲルマニウム(Ge)を含有する場合もあり、この場合、上述のSi含有反応種をGe含有反応種で置き換えることができる。
所望されるランタニド含有膜が、たとえばおよび限定はされないが、Ti、Ta、Hf、Zr、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、またはこれらの混合物などの他の金属を更に含有する場合、反応種としては、SbRi' 3またはSnRi' 4などの金属アルキル(ここで、各Ri''は、独立して、Hまたは直鎖、分枝鎖もしくは環式のC1−C6炭素鎖である)、Sb(ORi3またはSn(ORi4などの金属アルコキシド(ここで、各Riは、独立して、Hまたは直鎖、分枝鎖もしくは環式のC1−C6炭素鎖である)、およびSb(NR12)(NR34)(NR56)またはGe(NR12)(NR34)(NR56)(NR78)などの金属アミン(ここで、各R1、R2、R3、R4、R5、R6、R7、およびR8は、独立して、H、C1−C6炭素鎖、またはトリアルキルシリル基であり、炭素鎖およびトリアルキルシリル基は、各々、直鎖、分枝鎖または環状である)、ならびにこれらの任意の組み合わせから選択される金属ソースが挙げられ得るが、これらに限定されない。
ランタニド含有前駆体および1種以上の反応種は、反応チャンバへと同時に導入されてもよいし(化学気相堆積)、逐次的に導入されてもよいし(原子層堆積)、他の組み合わせで導入されてもよい。たとえば、ランタニド含有前駆体が1つのパルスで導入されて、別のパルスで、2種の追加金属ソースが合わせて導入される場合もある[改良原子層堆積]。或いは、反応チャンバが、ランタニド含有前駆体の導入に先立って、既に反応種を収容している場合もある。反応種は、反応チャンバから離れて配置されたプラズマシステムを通り抜けて、ラジカルへと分解される場合もある。或いは、ランタニド含有前駆体は、他の金属ソースがパルスによって導入されている間に、連続的に反応チャンバへと導入される場合もある(パルス化学気相堆積)。各例において、1回のパルスの後に、導入された過剰な量の成分を除去するためのパージまたは排気ステップが続く場合もある。各々の場合において、パルスは、約0.01秒ないし約10秒、或いは約0.3秒ないし約3秒、或いは約0.5秒ないし約2秒の範囲内にある時間に亘って持続し得る。
非限定的であり例示的な或る原子層堆積タイプのプロセスでは、ランタニド含有前駆体の気相が、反応チャンバへと導入され、ここで適切な基板と接触する。次に、過剰なランタニド含有前駆体は、反応チャンバから、この反応器をパージするおよび/または排気することによって除去される。酸素ソースは、反応チャンバへと導入され、ここで、吸収されたランタニド前駆体と自己停止方式で反応する。過剰な酸素ソースは、反応チャンバをパージするおよび/または排気することによって、反応チャンバから除去される。所望される膜がランタニド酸化物膜である場合、この二段階プロセスが、所望される膜厚を提供する場合もあるし、必要な暑さを有する膜が得られるまで繰り返される場合もある。
或いは、所望される膜がランタニド金属酸化物膜である場合、上記二段階プロセスの後に、反応チャンバへの金属前駆体の蒸気の導入が続き得る。この金属前駆体は、堆積させるランタニド金属酸化物の性質に基づいて選択されるであろうし、別種のランタニド含有前駆体を含んでいてもよい。反応チャンバへの導入後、金属前駆体は基板に接触する。過剰な金属前駆体は、反応チャンバをパージするおよび/または排気することによって、反応チャンバから除去される。再度、酸素ソースが反応チャンバへと導入されて、第2金属前駆体と反応してもよい。過剰な酸素ソースは、反応チャンバをパージするおよび/または排気することによって、反応チャンバから除去される。所望の膜厚が達成されたら、このプロセスは終わらされてもよい。しかしながら、より厚い膜が所望されるのであれば、前記4段階プロセスの全てが繰り返されてもよい。ランタニド含有前駆体、金属前駆体および酸素ソースの供給を交互に行うことにより、所望される組成および厚みの膜を堆積させることができる。
上で論じたプロセスから得られるランタニド含有膜またはランタニド含有層としては、Ln23、(LnLn')O3、Ln23−Ln'23、LnSixy、LnGexy、(Al、Ga、Mn)LnO3、HfLnOxまたはZrLnOxが挙げられ得る。好ましくは、ランタニド含有膜としては、HfErOx、ZrErOx、HfYbOx、またはZrYbOxが挙げられ得る。当業者であれば、適切なランタニド含有前駆体および反応種の公正な選択(judicial selection)によって、所望される膜組成が得られ得ることを理解するであろう。

以下の非限定的な例は、発明の態様を更に説明するために挙げられている。しかしながら、これらの例は、包括的なものとしては意図されていないし、ここで説明した発明の範囲を限定することを目的としていない。
比較例1
(本発明の一部ではない)
La(EtCp)2(NiPr−amd)、La(EtCp)(NiPr−amd)2、La(iPrCp)2(NiPr−amd)、およびLa(iPrCp)(NiPr−amd)2を、本明細書に記載された方法AおよびBによって合成しようと試みたが、上手くいかなかった。これらの失敗した試みに基づいて、我々は、本明細書において説明した方法を使用しても、一般式La(R1Cp)m(R2−N−C(R4)=N−R2nを有する単離可能な量のランタン含有前駆体は調製され得ないことが分かった。
比較例2
(本発明の一部ではない)
一般式Ce(iPrCp)2(NiPr−amd)を有する単離可能な量のセリウム含有前駆体が得られたが、即座に分解した。
比較例3
(本発明の一部ではない)
比較例1および2の結果ならびに以下の例1−12において提供される結果に基づき、出願人は、半径の小さな分子ほどより有利な錯体を提供するという理論を検証することを希望した。以下の錯体の単離が達成された。しかしながら、各々が、熱重量分析中に、非常に高い割合の残留質量(以下に示す)をもたらし、これは、各々が蒸着プロセスに適していないであろうことを示している。
Ni(Cp)(iPr−N−C(Me)=N−iPr):21%残留物
Ni(EtCp)(iPr−N−C(Me)=N−iPr):20%残留物
Ni(iPrCp)(iPr−N−C(Me)=N−iPr):20%残留物
Ni(nBuCp)(iPr−N−C(Me)=N−iPr):25%残留物
これらの結果に基づいて、出願人は、ここで開示される、蒸着に適した金属前駆体を開発するのに、金属の半径、電荷および配位数を考慮しなければならないということを結論付けた。
例1:Y(MeCp)2(NiPr−amd)
22.1mL(35.36mmol)のMeLiエーテル溶液(1.6M)をゆっくりと添加することによって30mLのTHF中のジ−イソプロピルカルボジイミド(4.47g、35.36mmol)を−78℃で反応させることにより、NiPr−amd−Liを調製した。この溶液を、−78℃で30分間に亘って攪拌し、次に、室温まで暖め、室温で更に2時間に亘って攪拌した。新たに調製されたNiPr−amd−Li溶液の全量を、50mLのTHF中のY(MeCp)2Cl(10.00g、35.38mmol)を入れたフラスコに添加した。得られた混合物を終夜攪拌した。この混合物を、真空下で蒸発乾固させた。ペンタンを添加して攪拌した後、セライトブランドの珪藻土のカラムを通してろ過を行った。ペンタン溶媒を真空下で蒸発させて乾固し、薄黄色のろう質固体を得た。この薄黄色のろう質固体を、115℃、1.9Pa(14mTorr)で昇華させ、89%の収率に相当した12.24gが得られた。この薄黄色のろう質固体は、窒素を180mL/分で流す雰囲気において10℃/分の温度上昇速度で測定したTGA分析中に、30℃で溶融し、1%の残留質量を残した。これらの結果は、温度変化に応じた重量損失の百分率を示したTGAグラフである図1に示している。
例2:Y(iPrCp)2(NiPr−amd)
60mLのペンタン中のY(MeCp)3(11.11g、27.07mmol)を入れたフラスコに、20mLのペンタン中のNiPr−amd−H(3.85g、27.07mmol)の溶液を添加した。得られた混合物を終夜攪拌した。溶媒および揮発物を真空下で蒸発させた。得られた黄色液体を、20℃、1.1Pa(8mTorr)で蒸留した。収量は、11.4g(87%)である。この黄色液体は、窒素を180mL/分で流す雰囲気において10℃/分の温度上昇速度で測定したTGA分析中に、1%の残留質量を残した。これらの結果は、温度変化に応じた重量損失の百分率を示したTGAグラフである図2に示している。
例3:Er(MeCp)2(NiPr−amd)
53mL(84.36mmol)のMeLiエーテル溶液(1.6M)をゆっくりと添加することによって150mLのTHF中のジ−イソプロピルカルボジイミド(10.65g、84.36mmol)を−78℃で反応させることにより、NiPr−amd−Liの溶液を調製した。この溶液を、−78℃で30分間に亘って攪拌し、次に、室温まで暖め、室温で更に2時間に亘って攪拌した。新たに調製されたNiPr−amd−Li溶液の全量を、250mLのTHF中のEr(MeCp)2Cl(30.45g、83.36mmol)を入れたフラスコに添加した。得られた混合物を終夜攪拌した。この混合物を、真空下で蒸発乾固させた。ペンタンを添加して攪拌した後、セライトブランドの珪藻土のカラムを通してろ過を行った。ペンタン溶媒を真空下で蒸発させて乾固し、ピンク色固体を得た。このピンク色固体を、95−115℃、1.6Pa(12mTorr)で昇華させ、87%の収率に相当した34.3gが得られた。このピンク色固体は、窒素を180mL/分で流す雰囲気において10℃/分の温度上昇速度で測定したTGA分析中に、36℃で溶融し、2.5%の残留質量を残した。これらの結果は、温度変化に応じた重量損失の百分率を示したTGAグラフである図3に示している。
例4:Er(MeCp)2(NiPr−amd)
60mLのペンタン中のEr(MeCp)3(11.54g、28.12mmol)を入れたフラスコに、20mLのペンタン中のNiPr−amd−H(4.00g、128.12mmol)の溶液を添加した。得られた混合物を終夜攪拌した。溶媒および揮発物を真空下で蒸発させた。得られたピンク色固体を、95−115℃、1.6Pa(12mTorr)で蒸留した。収量は11.4g(87%)であった。
例5:Er(MeCp)2(NtBu−amd)
5.2mL(8.31mmol)のMeLiエーテル溶液(1.6M)をゆっくりと添加することによって30mLのTHF中の1,3−ジ−tert−ブチルカルボジイミド(1.28g、8.31mmol)を−78℃で反応させることにより、NtBu−amd−Liの溶液を調製した。この溶液を、−78℃で30分間に亘って攪拌し、次に室温まで暖めて、室温で更に2時間に亘って攪拌した。新たに調製されたNtBu−amd−Li溶液の全量を、25mLのTHF中のEr(MeCp)2Cl(3.00g、8.31mmol)を入れたフラスコに添加した。得られた混合物を終夜攪拌した。この混合物を、真空下で蒸発乾固させた。ペンタンを添加して攪拌した後、セライトブランドの珪藻土のカラムを通してろ過を行った。ペンタン溶媒を蒸発させて乾固し、橙色固体を得た。この橙色固体を、100−150℃、1.3Pa(10mTorr)で昇華させて、64%の収率に相当した2.61gが得られた。この橙色固体は、窒素を180mL/分で流す雰囲気において10℃/分の温度上昇速度で測定したTGA分析中に、100℃で溶融し、1.8%の残留質量を残した。これらの結果は、温度変化に応じた重量損失の百分率を示したTGAグラフである図4に示している。
例6:Er(EtCp)2(NiPr−amd)
200mLのペンタン中のEr(EtCp)3(20.00g、44.77mmol)を入れたフラスコに、50mLのペンタン中のNiPr−amd−H(6.37g、44.77mmol)の溶液を添加した。得られた混合物を終夜攪拌した。溶媒および揮発物を真空下で蒸発させた。得られたピンク色液体を、72−74℃、1.1Pa(8mTorr)で蒸留した。収量は16.4g(67%)である。融点は18℃であった。このピンク色液体は、窒素を180mL/分で流す雰囲気において10℃/分の温度上昇速度で測定したTGA分析中に、2%の残留質量を残した。これらの結果は、温度変化に応じた重量損失の百分率を示したTGAグラフである図5に示している。
例7:Er(MeCp)2(NiPr−fmd)
4.9mL(7.80mmol)のMeLiエーテル溶液(1.6M)をゆっくりと添加することによって40mlのTHF中のジ−イソプロピルホルムアミジン(10.00g、7.80mmol)を−78℃で反応させることにより、NiPr−fmd−Liの溶液を調製した。この溶液を、−78℃で30分間に亘って攪拌し、次に室温まで暖め、室温で更に2時間に亘って攪拌した。新たに調製したNiPr−fmd−Li溶液の全量を、50mLのTHF中のEr(MeCp)2Cl(2.81g、7.80mmol)を入れたフラスコに添加した。得られた混合物を終夜攪拌した。この混合物を真空下で蒸発乾固させた。ペンタンを添加して攪拌した後、セライトブランドの珪藻土のカラムを通してろ過を行った。ペンタン溶媒を真空下で蒸発させて乾固し、ピンク色固体を得た。このピンク色固体を、60−80℃、4.0×10 -1 Pa(3mTorr)で昇華させて、62%の収率に相当した2.2gが得られた。このピンク色固体は、窒素を180mL/分で流す雰囲気において10℃/分の温度上昇速度で測定したTGA分析中に、50℃で溶融し、5%の残留質量を残した。これらの結果は、温度変化に応じた重量損失の百分率を示したTGAグラフである図6に示している。
例8:Yb(MeCp)2(NiPr−amd)
100mLのTHF中のジ−イソプロピルカルボジイミド(6.88g、54.54mmol)を、34.1mL(54.54mmol)のMeLiエーテル溶液(1.6M)をゆっくりと添加することによって−78℃で反応させることにより、NiPr−amd−Liの溶液を調製した。この溶液を、−78℃で30分間に亘って攪拌し、次に室温まで暖め、室温で更に2時間に亘って攪拌した。新たに調製されたNiPr−amd−Li溶液の全量を、120mLのTHF中のYb(MeCp)2Cl(20.00g、54.54mmol)が入ったフラスコに添加した。得られた混合物を終夜攪拌した。この混合物を真空下で蒸発乾固させた。ペンタンを添加して攪拌した後、セライトブランドの珪藻土のカラムを通してろ過を行った。ペンタン溶媒を真空下で蒸発させて乾固し、橙色固体を得た。この橙色固体を、120℃、3.3Pa(25mTorr)で昇華させて、87%の収率に相当した22.4gが得られた。この橙色固体は、窒素を180mL/分で流す雰囲気において10℃/分の温度上昇速度で測定したTGA分析中に、36℃で溶融し、3%の残留質量を残した。これらの結果は、温度変化に応じた重量損失の百分率を示したTGAグラフである図7に示している。
例9:Yb(MeCp)2(NtBu−amd)
5.1mL(8.18mmol)のMeLiエーテル溶液(1.6M)をゆっくりと添加することによって30mLのTHF中の1,3−ジ−tert−ブチルカルボジイミド(1.26g、8.18mmol)を−78℃で反応させることにより、NtBu−amd−Liの溶液を調製した。この溶液を、−78℃で30分間に亘って攪拌し、次に室温まで暖め、室温で更に2時間に亘って攪拌した。新たに調製されたNtBu−amd−Li溶液の全量を、25mLのTHF中のYb(MeCp)2Cl(3.00g、8.18mmol)を入れたフラスコに添加した。得られた混合物を終夜攪拌した。この混合物を真空下で蒸発乾固させた。ペンタンを添加して攪拌した後、セライトブランドの珪藻土のカラムを通してろ過を行った。ペンタン溶媒を蒸発させて乾固し、橙色固体を得た。この橙色固体を、125℃、1.3Pa(10mTorr)で昇華させて、43%の収率に相当した1.73gを得た。この橙色固体は、窒素を180mL/分で流す雰囲気において10℃/分の温度上昇速度で測定したTGA分析中に、103℃で溶融し、1.8%の残留質量を残した。これらの結果は、温度変化に応じた重量損失の百分率を示したTGAグラフである図8に示している。
例10:Yb(EtCp)2(NiPr−amd)
250mLのペンタン中のYb(EtCp)3(15.90g、35.15mmol)を入れたフラスコに、40mLのペンタン中のNiPr−amd−H(5.00g、35.15mmol)の溶液を添加した。得られた混合物を終夜攪拌した。溶媒および揮発物を真空下で蒸発させた。得られた橙色液体を、110℃、1.3Pa(10mTorr)で蒸留した。収量は15.00g(85%)である。融点は、39℃であった。この橙色液体は、窒素を180mL/分で流す雰囲気において10℃/分の温度上昇速度で測定したTGA分析中に、3.5%の残留質量を残した。これらの結果は、温度変化に応じた重量損失の百分率を示したTGAグラフである図9に示している。
例11:Yb(EtCp)2(NiPr−fmd)
20mLのトルエン中のYb(EtCp)3(6.00g、13.26mmol)を入れたフラスコに、20mLのトルエン中のNiPr−fmd−H(1.7g、13.26mmol)の溶液をゆっくりと添加した。得られた混合物を終夜攪拌した。溶媒および揮発物を真空下で蒸発させた。得られた橙色液体を、120℃、8.0×10 -1 Pa(6mTorr)で蒸留した。収量は5.9g(97%)である。この橙色液体は、窒素を180で流す雰囲気において10℃/分の温度上昇速度で測定したTGA分析中に、1.4%の残留質量を残した。これらの結果は、温度変化に応じた重量損失の百分率を示したTGAグラフである図10に示している。
例12:Yb(iPrCp)2(NiPr−fmd)
20mLのトルエン中のYb(EtCp)3(3.00g、6.07mmol)を入れたフラスコに、20mLのトルエン中のNiPr−fmd−H(0.78g、6.07mmol)の溶液をゆっくりと添加した。得られた混合物を終夜攪拌した。溶媒および揮発物を真空下で蒸発させた。得られた橙色液体を、140℃、2.7Pa(20mTorr)で蒸留した。収量は2.5g(80%)である。この橙色液体は、窒素を180mL/分で流す雰囲気において10℃/分の温度上昇速度で測定したTGA分析中に、2%の残留質量を残した。これらの結果は、温度変化に応じた重量損失の百分率を示したTGAグラフである図11に示している。
例13:Er(MeCp)2(iPr−N−C(Me)=N−iPr)
例3のランタニド含有前駆体,Er(MeCp)2(iPr−N−C(Me)=N−iPr),と、反応物質O3とを使用して、SiO2/Si基板上にEr23の膜を堆積させた。SiO2/Si基板は、275℃の温度に維持した。ピンク色固体の前駆体を、115℃に維持したバブラー内で気化させた。ALDサイクルは、10秒の前駆体パルスと、続いての5秒のパージと、続いての2秒の反応物質パルスと、続いての5秒のパージとを含んでいた。Er23の成長速度は、120pm(1.2Å)/サイクルであると認められた。ALDレジームを、120pm(1.2Å)/サイクル程度の堆積速度で、275℃まで評価した。
例14:Er(EtCp)2(iPr−N−C(Me)=N−iPr)
例6のランタニド含有前駆体,Er(EtCp)2(iPr−N−C(Me)=N−iPr),と、反応物質O3とを使用して、SiO2/Si基板上にEr23の膜を堆積させた。SiO2/Si基板は、250℃の温度に維持した。ピンク色固体の前駆体を、115℃に維持したバブラー内で気化させた。ALDサイクルは、10秒の前駆体パルスと、続いての5秒のパージと、続いての2秒の反応物質パルスと、続いての5秒のパージとを含んでいた。Er23の成長速度は、30pm(0.3Å)/サイクルであると認められた。ALDレジームを、30pm(0.3Å)/サイクル程度の堆積速度で、275℃まで評価した。
例15:Yb(MeCp)2(iPr−N−C(Me)=N−iPr)
例8のランタニド含有前駆体,Yb(MeCp)2(iPr−N−C(Me)=N−iPr),と、反応物質H2Oとを使用して、SiO2/Si基板上にYb23の膜を堆積させた。SiO2/Si基板は、250℃の温度に維持した。橙色固体の前駆体を、115℃に維持したバブラー内で気化させた。ALDサイクルは、3秒の前駆体パルスと、続いての5秒のパージと、続いての2秒の反応物質パルスと、続いての10秒のパージとを含んでいた。Yb23の成長速度は、100pm(1.0Å)/サイクルであると認められた。ALDレジームを、100pm(1.0Å)/サイクル程度の堆積速度で、275℃まで評価した。
例16:Yb(EtCp)2(iPr−N−C(Me)=N−iPr)
例10のランタニド含有前駆体,Yb(EtCp)2(iPr−N−C(Me)=N−iPr),と、反応物質H2Oとを使用して、SiO2/Si基板上にYb23の膜を堆積させた。SiO2/Si基板は、250℃の温度に維持した。橙色液体の前駆体を、115℃に維持したバブラー内で気化させた。ALDサイクルは、10秒の前駆体パルスと、続いての5秒のパージと、続いての2秒の反応物質パルスと、続いての10秒のパージとを含んでいた。Yb23の成長速度は、100pm(1.0Å)/サイクルであると認められた。ALDレジームを、100pm(1.0Å)/サイクル程度の堆積速度で、250℃まで評価した。
本発明の態様を示し且つ説明してきたが、これらの変更は、当業者によって、本発明の精神または教示から外れることなく為され得る。ここで説明した態様は、単に例示的であって、限定的なものではない。組成物および方法についての多くの変形および変更は、可能であって、本発明の範囲内にある。従って、保護範囲は、ここで説明した態様に限られるものではなく、以下の特許請求の範囲によってのみ限定されるものであり、その範囲は、特許請求の範囲に記載された発明特定事項の全ての等価物を包含するものである。
以下に、本願出願の当初の特許請求の範囲に記載された発明を付記する。
[1]一般式:Ln(R 1 Cp) m (R 2 −N−C(R 4 )=N−R 2 n のランタニド含有前駆体を含む組成物であって:−Lnは、イオン半径が約0.75Åないし約0.94Åであり、3+の電荷を持ち、配位数が6であるランタニド金属であり;−R 1 は、HおよびC1−C5アルキル鎖からなる群より選択され;−R 2 は、HおよびC1−C5アルキル鎖からなる群より選択され;−R 4 は、HおよびMeからなる群より選択され;−nおよびmは、1ないし2の範囲内にあり;−前記前駆体は、融点が約105℃未満である組成物。
[2]Lnは、Lu、Gd、Tb、Dy、Ho、Er、Tm、およびYbからなる群より選択される[1]の組成物。
[3]Lnは、ErおよびYbからなる群より選択される[2]の組成物。
[4]R 1 は、Me、Et、およびiPrからなる群より選択される[1]の組成物。
[5]R 2 は、iPrおよびtBtからなる群より選択される[1]の組成物。
[6]ランタニド含有膜を半導体基板上に堆積させる方法であって:a)基板を準備することと、b)[1]のランタニド含有前駆体を提供することと、c)ランタニド含有膜を基板上に堆積させることとを含む方法。
[7]約150℃ないし約600℃の間にある温度で、ランタニド含有膜を基板上に堆積させることをさらに含む[6]の方法。
[8]約0.5mTorrないし約20Torrの間にある圧力で、ランタニド含有膜を基板上に堆積させることをさらに含む[6]の方法。
[9]ランタニド含有前駆体は、70℃未満の温度で液体である[6]の方法。
[10]ランタニド含有前駆体は、40℃未満の温度で液体である[9]の方法。
[11]ランタニド含有膜は、Ln 2 3 、(LnLn')O 3 、Ln 2 3 −Ln' 2 3 、LnSi x y 、LnGe x y 、(Al、Ga、Mn)LnO 3 、HfLnO x 、およびZrLnO x からなる群より選択され、ここで、LnとLn'とは互いに異なる[6]の方法。
[12]ランタニド含有膜は、HfErO x 、ZrErO x 、HfYbO x 、およびZrYbO x からなる群より選択される[11]の方法。
[13]ランタニド含有前駆体は、Ln(R 1 Cp) 2 (N z −fmd)、Ln(R 1 Cp) 2 (N z −amd)、Ln(R 1 Cp)(N z −fmd) 2 、およびLn(R 1 Cp)(N z −amd) 2 からなる群より選択される一般式を有し、ここで、Lnは、Y、Gd、Dy、Er、およびYbからなる群より選択され;R 1 は、Me、Et、およびiPrからなる群より選択され;Zは、iPrまたはtBtである[6]の方法。
[14]ランタニド含有膜を基板上に形成する方法であって、少なくとも1つの基板が中に配置された反応器を設ける工程と、[1]の少なくとも1種のランタニド含有前駆体を反応器へと導入する工程と、堆積プロセスを使用してランタニド含有前駆体と基板とを接触させて、ランタニド含有層を基板の少なくとも1つの表面上に形成する工程とを含む方法。
[15]a)少なくとも1種の反応種をリアクタ内へと提供し、ここで前記反応種は酸素含有流体である工程と、b)前記ランタニド含有前駆体を前記反応種と反応させる工程とをさらに含む[14]の方法。
[16]少なくとも1種の反応種は、O 2 、O 3 、H 2 O、H 2 2 、酢酸、ホルマリン、パラホルムアルデヒド、およびこれらの組み合わせからなる群より選択される[15]の方法。
[17]ランタニド含有前駆体および反応種は、化学気相堆積プロセスのように少なくとも部分的に同時に導入されるか、または原子層堆積プロセスのように少なくとも部分的に逐次的に導入される[15]の方法。
[18]前記ランタニド含有前駆体とは異なる金属前駆体をリアクタへと導入すること、および該金属前駆体の少なくとも一部を堆積させて、ランタニド含有層を1つ以上の基板上に形成することをさらに含む[15]の方法。
[19]金属前駆体の金属は、Hf、Si、Al、Ga、Mn、Ti、Ta、Bi、Zr、Pb、Nb、Mg、Sr、Y、Ba、Ca、ランタニド、およびこれらの組み合わせからなる群より選択される[18]の方法。
[20]堆積プロセスは、化学気相堆積プロセスである[14]の方法。
[21]堆積プロセスは、複数回の堆積サイクルを有する原子層堆積プロセスである[14]の方法。
[22]ランタニド含有前駆体は、Ln(R 1 Cp) 2 (N z −fmd)、Ln(R 1 Cp) 2 (N z −amd)、Ln(R 1 Cp)(N z −fmd) 2 、およびLn(R 1 Cp)(N z −amd) 2 からなる群より選択される一般式を有し、ここで、Lnは、Y、Gd、Dy、Er、およびYbからなる群より選択され;R 1 は、Me、Et、およびiPrからなる群より選択され;Zは、iPrまたはtBtである[14]の方法。
[23][14]の方法の生成物を含むランタニド含有膜で被覆された基板。

Claims (16)

  1. 一般式:
    Ln(R1Cp)m(R2−N−C(R4)=N−R2n
    の前駆体を含む組成物であって:
    −Lnは、Sc、Y、Lu、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、およびYbからなる群より選択され;Lnはイオン半径が75pm(0.75Å)ないし94pm(0.94Å)であり、3+の電荷を持ち、配位数が6であり;
    −R1は、HおよびC1−C5アルキル鎖からなる群より選択され;
    −R2は、HおよびC1−C5アルキル鎖からなる群より選択され;
    −R4は、HおよびMeからなる群より選択され;
    −nおよびmは、1ないし2の範囲内にあり;
    −前記前駆体は、融点が105℃未満である
    組成物。
  2. Lnは、Lu、Gd、Tb、Dy、Ho、Er、Tm、およびYbからなる群より選択される請求項1の組成物。
  3. Lnは、ErおよびYbからなる群より選択される請求項2の組成物。
  4. 1は、Me、Et、およびiPrからなる群より選択される請求項1または3のいずれか1項の組成物。
  5. 2は、iPrおよびtBtからなる群より選択される請求項1ないし3のいずれか1項の組成物。
  6. 膜を基板上に形成する方法であって、少なくとも1つの基板が中に配置された反応器を設ける工程と、請求項1ないし5のいずれか1項の少なくとも1種の前駆体を反応器へと導入する工程と、堆積プロセスを使用して前記前駆体と基板とを接触させて、層を基板の少なくとも1つの表面上に形成する工程とを含む方法。
  7. a)少なくとも1種の酸素ソースをリアクタ内へと提供する工程と、
    b)前記前駆体を前記反応種と反応させる工程と
    をさらに含む請求項6の方法。
  8. 少なくとも1種の酸素ソースは、O2、O3、H2O、H22、酢酸、ホルマリン、パラホルムアルデヒド、およびこれらの組み合わせからなる群より選択される請求項7の方法。
  9. 前駆体および反応種は、化学気相堆積プロセスのように少なくとも部分的に同時に導入されるか、または原子層堆積プロセスのように少なくとも部分的に逐次的に導入される請求項7の方法。
  10. 前記前駆体とは異なる金属前駆体をリアクタへと導入すること、および該金属前駆体の少なくとも一部を堆積させて、層を1つ以上の基板上に形成することをさらに含む請求項7の方法。
  11. 金属前駆体の金属は、Hf、Si、Al、Ga、Mn、Ti、Ta、Bi、Zr、Pb、Nb、Mg、Sr、Y、Ba、Ca、ランタニド、およびこれらの組み合わせからなる群より選択される請求項10の方法。
  12. 堆積プロセスは、化学気相堆積プロセスである請求項6の方法。
  13. 堆積プロセスは、複数回の堆積サイクルを有する原子層堆積プロセスである請求項6の方法。
  14. 前駆体は、Ln(R1Cp)2(Nz−fmd)、Ln(R1Cp)2(Nz−amd)、Ln(R1Cp)(Nz−fmd)2、およびLn(R1Cp)(Nz−amd)2からなる群より選択される一般式を有し、ここで、Lnは、Y、Gd、Dy、Er、およびYbからなる群より選択され;R1は、Me、Et、およびiPrからなる群より選択され; z −fmdは、ZNC(CH 3 )=NZを示し;N z −amdはZNC(H)=NZを示し;Zは、iPrまたはtBtである請求項6の方法。
  15. 前記層はLn23、(LnLn')O3、Ln23−Ln'23、LnSixy、LnGexy、(Al、Ga、Mn)LnO3、HfLnOx、およびZrLnOxからなる群より選択され、ここで、Ln'はSc、Y、Lu、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、およびYbからなる群より選択され;LnとLn'とは互いに異なる請求項6の方法。
  16. 前記層はHfErOx、ZrErOx、HfYbOx、およびZrYbOxからなる群より選択される請求項6の方法。
JP2011512702A 2008-06-05 2009-06-05 ランタニド含有前駆体の調製およびランタニド含有膜の堆積 Active JP5666433B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US5921408P 2008-06-05 2008-06-05
US61/059,214 2008-06-05
US12/479,175 US8283201B2 (en) 2008-06-05 2009-06-05 Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
PCT/US2009/046443 WO2009149372A1 (en) 2008-06-05 2009-06-05 Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
US12/479,175 2009-06-05

Publications (2)

Publication Number Publication Date
JP2011522833A JP2011522833A (ja) 2011-08-04
JP5666433B2 true JP5666433B2 (ja) 2015-02-12

Family

ID=41100459

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011512702A Active JP5666433B2 (ja) 2008-06-05 2009-06-05 ランタニド含有前駆体の調製およびランタニド含有膜の堆積

Country Status (6)

Country Link
US (3) US8283201B2 (ja)
JP (1) JP5666433B2 (ja)
KR (3) KR101802124B1 (ja)
CN (1) CN102057077B (ja)
TW (1) TWI463032B (ja)
WO (1) WO2009149372A1 (ja)

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009149372A1 (en) 2008-06-05 2009-12-10 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
US20120156373A1 (en) 2008-06-05 2012-06-21 American Air Liquide, Inc. Preparation of cerium-containing precursors and deposition of cerium-containing films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2011054935A (ja) * 2009-06-19 2011-03-17 Rohm & Haas Electronic Materials Llc ドーピング方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9079923B2 (en) 2010-08-05 2015-07-14 Air Products And Chemicals, Inc. Multidentate ketoimine ligands for metal complexes
US8617305B2 (en) 2011-01-25 2013-12-31 Air Products And Chemicals, Inc. Metal complexes for metal-containing film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013043501A1 (en) * 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
WO2013043507A1 (en) * 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal pcai precursors and aluminum precursors
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2015528011A (ja) * 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9099301B1 (en) 2013-12-18 2015-08-04 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of lanthanum-containing precursors and deposition of lanthanum-containing films
WO2015103358A1 (en) 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR101615897B1 (ko) * 2014-08-01 2016-05-13 연세대학교 산학협력단 코팅층 형성 방법 및 방수성 코팅 부재
KR101617396B1 (ko) 2014-08-01 2016-05-13 연세대학교 산학협력단 초소수성 코팅 부재 및 이의 제조 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10913754B2 (en) 2015-07-07 2021-02-09 Samsung Electronics Co., Ltd. Lanthanum compound and methods of forming thin film and integrated circuit device using the lanthanum compound
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102551351B1 (ko) * 2018-03-16 2023-07-04 삼성전자 주식회사 란타넘 화합물과 이를 이용한 박박 형성 방법 및 집적회로 소자의 제조 방법
KR102424961B1 (ko) * 2015-07-07 2022-07-25 삼성전자주식회사 란타넘 화합물 및 그 제조 방법과 란타넘 전구체 조성물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
TWI740848B (zh) * 2015-10-16 2021-10-01 荷蘭商Asm智慧財產控股公司 實施原子層沉積以得閘極介電質
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
WO2017095185A1 (ko) * 2015-12-03 2017-06-08 인천대학교 산학협력단 기능성 필터 및 그 제조방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI742092B (zh) * 2016-06-13 2021-10-11 美商應用材料股份有限公司 用於ald、cvd與薄膜摻雜之鑭系、釔與鈧前驅物及使用方法
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US20160315168A1 (en) * 2016-06-30 2016-10-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for forming gate insulators for tft structures
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102470237B1 (ko) 2016-11-08 2022-11-22 메르크 파텐트 게엠베하 사이클로펜타디에닐 리간드를 포함하는 금속 착화합물
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10487398B2 (en) * 2016-12-04 2019-11-26 Applied Materials, Inc. Synthesis of metal nitride thin films materials using hydrazine derivatives
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20180187303A1 (en) 2016-12-30 2018-07-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Lanthanide precursors and deposition of lanthanide-containing films using the same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
WO2019032219A1 (en) * 2017-08-07 2019-02-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude LU-CONTAINING COMPOSITIONS AND METHODS OF MAKING THE SAME
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
JP7235466B2 (ja) * 2018-01-26 2023-03-08 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ランタノイド化合物、ランタノイド含有薄膜、および該ランタノイド化合物を用いたランタノイド含有薄膜の成膜方法
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR102138707B1 (ko) * 2018-12-19 2020-07-28 주식회사 한솔케미칼 희토류 전구체, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11473198B2 (en) 2019-01-25 2022-10-18 Applied Materials, Inc. Homoleptic lanthanide deposition precursors
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR102446629B1 (ko) * 2019-12-27 2022-09-26 주식회사 유피케미칼 이트륨/란탄족 금속 전구체 화합물, 이를 포함하는 막 형성용 조성물 및 이를 이용한 이트륨/란탄족 금속 함유 막의 형성 방법
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
WO2021178854A1 (en) 2020-03-05 2021-09-10 Akoustis, Inc. Methods of forming films including scandium at low temperatures using chemical vapor deposition to provide devices
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11274069B2 (en) 2020-08-13 2022-03-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Mono-substituted cyclopentadienes and metal cyclopentadienyl complexes and synthesis methods thereof
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
WO2022106508A1 (en) 2020-11-20 2022-05-27 Merck Patent Gmbh Lanthanide and lanthanide-like transition metal complexes
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113582879A (zh) * 2021-09-02 2021-11-02 合肥安德科铭半导体科技有限公司 一种有机镧前驱体La(iPr2-FMD)3的制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102661498B1 (ko) * 2021-11-18 2024-04-29 주식회사 한솔케미칼 유기 금속 화합물을 이용하여 박막을 형성하는 방법 및 이로부터 제조된 박막
CN116355019A (zh) 2021-12-28 2023-06-30 Dnf有限公司 含锑薄膜蒸镀用组合物、含锑薄膜的制造方法和锑化合物
US11784041B2 (en) * 2022-02-08 2023-10-10 L'Air Liquide, Sociéte Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
KR20240030581A (ko) 2022-08-31 2024-03-07 에스케이트리켐 주식회사 신규한 아미디네이트 리간드, 상기 리간드를 포함하는 박막 형성용 전구체.
WO2024050202A1 (en) 2022-08-31 2024-03-07 Merck Patent Gmbh Multiple substituted cyclopentadienyl rare-earth complexes as precursors for vapor phase thin film deposition processes
KR102666160B1 (ko) * 2022-09-16 2024-05-13 에스케이트리켐 주식회사 이트륨 또는 스칸듐 함유 박막 형성용 전구체, 이를 이용한 이트륨 또는 스칸듐 함유 박막 형성 방법 및 상기 이트륨 또는 스칸듐 함유 박막을 포함하는 반도체 소자.
KR20240038627A (ko) 2022-09-16 2024-03-25 에스케이트리켐 주식회사 란탄족 금속 함유 박막 형성용 전구체, 이를 이용한 란탄족 금속 함유 박막 형성 방법 및 상기 란탄족 금속 함유 박막을 포함하는 반도체 소자.
KR102614467B1 (ko) 2022-11-30 2023-12-14 에스케이트리켐 주식회사 스칸듐 또는 이트륨 함유 박막 형성용 전구체, 이를 이용한 스칸듐 또는 이트륨 함유 박막 형성 방법 및 상기 스칸듐 또는 이트륨 함유 박막을 포함하는 반도체 소자.

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7023A (en) * 1850-01-15 peters
US5453494A (en) 1990-07-06 1995-09-26 Advanced Technology Materials, Inc. Metal complex source reagents for MOCVD
US5322813A (en) * 1992-08-31 1994-06-21 International Business Machines Corporation Method of making supersaturated rare earth doped semiconductor layers by chemical vapor deposition
KR102220703B1 (ko) 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7396949B2 (en) 2003-08-19 2008-07-08 Denk Michael K Class of volatile compounds for the deposition of thin films of metals and metal compounds
JP4312006B2 (ja) * 2003-08-25 2009-08-12 株式会社Adeka 希土類金属錯体、薄膜形成用原料及び薄膜の製造方法
WO2006012052A2 (en) 2004-06-25 2006-02-02 Arkema, Inc. Amidinate ligand containing chemical vapor deposition precursors
JP4639686B2 (ja) * 2004-07-27 2011-02-23 Jsr株式会社 化学気相成長材料及び化学気相成長方法
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US8795771B2 (en) * 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US8524931B2 (en) 2007-01-17 2013-09-03 Advanced Technology Materials, Inc. Precursor compositions for ALD/CVD of group II ruthenate thin films
TWI398541B (zh) 2007-06-05 2013-06-11 羅門哈斯電子材料有限公司 有機金屬化合物
WO2009149372A1 (en) 2008-06-05 2009-12-10 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films

Also Published As

Publication number Publication date
CN102057077B (zh) 2013-11-13
CN102057077A (zh) 2011-05-11
US20090302434A1 (en) 2009-12-10
US20130303739A1 (en) 2013-11-14
US8283201B2 (en) 2012-10-09
WO2009149372A1 (en) 2009-12-10
TWI463032B (zh) 2014-12-01
KR101802124B1 (ko) 2017-11-27
KR20170020936A (ko) 2017-02-24
KR20110014179A (ko) 2011-02-10
KR20160085357A (ko) 2016-07-15
US9076648B2 (en) 2015-07-07
US20120329999A1 (en) 2012-12-27
JP2011522833A (ja) 2011-08-04
TW201002855A (en) 2010-01-16
US8507905B2 (en) 2013-08-13
KR101711356B1 (ko) 2017-02-28
KR101660052B1 (ko) 2016-09-26

Similar Documents

Publication Publication Date Title
JP5666433B2 (ja) ランタニド含有前駆体の調製およびランタニド含有膜の堆積
US11242597B2 (en) Lanthanide precursors and deposition of lanthanide-containing films using the same
US10217629B2 (en) Method of forming dielectric films, new precursors and their use in semiconductor manufacturing
US9711347B2 (en) Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
US8193388B2 (en) Compounds for depositing tellurium-containing films
KR101304760B1 (ko) 증착용 티타늄 함유 전구체
TWI523078B (zh) 製造含鉿或鋯化合物的方法及使用含鉿或鋯化合物之沈積方法
US9099301B1 (en) Preparation of lanthanum-containing precursors and deposition of lanthanum-containing films
TWI593820B (zh) 含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110517

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120517

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131010

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131015

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140115

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140122

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140217

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140224

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140313

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140320

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140411

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141111

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141210

R150 Certificate of patent or registration of utility model

Ref document number: 5666433

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250