JP5605535B2 - Etching formulation for isotropic copper etching - Google Patents

Etching formulation for isotropic copper etching Download PDF

Info

Publication number
JP5605535B2
JP5605535B2 JP2009207571A JP2009207571A JP5605535B2 JP 5605535 B2 JP5605535 B2 JP 5605535B2 JP 2009207571 A JP2009207571 A JP 2009207571A JP 2009207571 A JP2009207571 A JP 2009207571A JP 5605535 B2 JP5605535 B2 JP 5605535B2
Authority
JP
Japan
Prior art keywords
copper
etching
wet
formulation
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009207571A
Other languages
Japanese (ja)
Other versions
JP2011049508A5 (en
JP2011049508A (en
Inventor
ティー.メイヤー スティーブン
ウェブ エリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Priority to JP2009207571A priority Critical patent/JP5605535B2/en
Publication of JP2011049508A publication Critical patent/JP2011049508A/en
Publication of JP2011049508A5 publication Critical patent/JP2011049508A5/ja
Application granted granted Critical
Publication of JP5605535B2 publication Critical patent/JP5605535B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

本発明は、銅のエッチング方法に関する。より詳しくは、半導体基板上に銅を等方的にエッチングする方法に関する。   The present invention relates to a method for etching copper. More specifically, the present invention relates to a method for isotropically etching copper on a semiconductor substrate.

半導体製造の分野では、銅および銅合金は、導電材料として広く用いられている。導体としての銅は、導電率が高く良好なエレクトロマイグレーション耐性を有するので、アルミニウムなどの他の金属より好まれることが多い。このような利点により、銅充填線またはビアは、集積回路などの半導体デバイスの素子同士を接続する導電路として、現在至る所で見られる。   In the field of semiconductor manufacturing, copper and copper alloys are widely used as conductive materials. Copper as a conductor is often preferred over other metals such as aluminum because of its high electrical conductivity and good electromigration resistance. Because of these advantages, copper filled lines or vias are now seen everywhere as conductive paths connecting elements of semiconductor devices such as integrated circuits.

半導体デバイスの製造中に銅を処理するのは、やや困難である。と言うのも、銅は、プラズマエッチングしにくいので、銅含有デバイスは、一般的に、ダマスク処理を用いて製造される必要がある。ダマスク処理では、銅は、ビアおよびトレンチなどの予め形成された凹みのあるダマスクパターンを有する基板上にインレーとして堆積される。凹みのあるパターンは、通常、フォトリソグラフィ技術によって形成される。凹みを形成した後、銅が凹みに充填されてフィールド領域上に銅積載層を形成するよう、銅は基板全体に堆積される。ここで、フィールド領域とは、銅が堆積される前の基板の上面のことを指す。続いて、銅積載部分は、ケミカルメカニカルポリシング(CMP)のような平坦化技術によって除去され、銅充填導電路のパターンを有する平坦化基板が得られる。   It is somewhat difficult to process copper during the manufacture of semiconductor devices. That said, because copper is difficult to plasma etch, copper-containing devices generally need to be manufactured using damascene processing. In damascene processing, copper is deposited as an inlay on a substrate having a pre-formed recessed damask pattern such as vias and trenches. The concave pattern is usually formed by a photolithography technique. After forming the recess, copper is deposited over the substrate such that the recess is filled with copper to form a copper loading layer over the field region. Here, the field region refers to the upper surface of the substrate before copper is deposited. Subsequently, the copper loading portion is removed by a planarization technique such as chemical mechanical polishing (CMP) to obtain a planarized substrate having a copper-filled conductive path pattern.

銅を効率的に除去する方法は、半導体デバイス製造のさまざまな段階で望まれるが、従来のウェット銅エッチング技術は、一般的に半導体製造過程に組み込むのは無理だとされているので、広く導入されていない。従来のケミカルエッチングの重大な欠点の1つは、異方性であることを含む。異方性エッチングは、ある特定の方向での銅の選択性エッチング、および/または、あるタイプの粒子配向の選択性エッチングに通じるので、その結果、銅の表面の凸凹、くぼみ、および、粒界に依存する不均一な銅除去を招く。多くの場合、この欠点は、銅をきれいにかつ滑らかに等方性に除去することが一般的に望まれる半導体製造では許容できない。   A method for efficiently removing copper is desired at various stages of semiconductor device manufacturing, but conventional wet copper etching technology is generally not widely incorporated into the semiconductor manufacturing process, so it has been widely introduced. It has not been. One of the significant drawbacks of conventional chemical etching includes anisotropy. Anisotropic etching leads to selective etching of copper in certain directions and / or selective etching of certain types of grain orientations, resulting in copper surface irregularities, indentations, and grain boundaries. Which results in non-uniform copper removal. In many cases, this drawback is unacceptable in semiconductor manufacturing where it is generally desirable to remove copper cleanly and smoothly isotropically.

本発明は、等方性銅エッチングのための調合物、および、当該等方性銅エッチング調合物を利用して銅を等方的に除去する方法を提供することにより、上記特定された問題に取り組む。これらの調合物は、表面を実質的に粗くせずに銅を非常に速いエッチ速度(例えば少なくとも約1,000Å/分の速度で)除去するのに用いられうる。例えば、いくつかの実施形態では、エッチングされた銅表面の表面粗さを増大させずに(エッチングと同時に表面反射率の低下が起きないことにより判断される)1,000Åの銅を除去することができる溶液が提供される。他の実施形態では、表面粗さは、わずかながら増大する(例えば、エッチングされた銅の1,000Åにつき表面反射率の初期低下が約20%を下回る)。   The present invention addresses the above identified problems by providing a formulation for isotropic copper etching and a method of isotropically removing copper using the isotropic copper etching formulation. Tackle. These formulations can be used to remove copper with a very fast etch rate (eg, at a rate of at least about 1,000 liters / minute) without substantially roughening the surface. For example, in some embodiments, removing 1,000 liters of copper without increasing the surface roughness of the etched copper surface (as determined by no decrease in surface reflectivity upon etching). A solution is provided. In other embodiments, the surface roughness increases slightly (eg, the initial drop in surface reflectivity is less than about 20% per 1,000 liters of etched copper).

一側面では、(a)ジアミン、トリアミン、および、テトラミンからなるグループから選択される二座配位子、三座配位子、四座配位子の錯化剤、および、(b)酸化剤を含む水溶液を有するエッチング調合物が提供される。溶液は、5から12のpH、より一般的には6から10のpHを有する。いくつかの実施形態における溶液は、例えば、pHアジャスタのような他の成分を有してよい。   In one aspect, (a) a bidentate ligand selected from the group consisting of diamine, triamine, and tetramine, a tridentate ligand, a complexing agent for a tetradentate ligand, and (b) an oxidizing agent. An etching formulation is provided having an aqueous solution containing. The solution has a pH of 5 to 12, more typically 6 to 10. The solution in some embodiments may have other ingredients such as, for example, a pH adjuster.

適切な酸化剤は、過酸化物、過マンガン酸塩、ペルオキソ硫酸塩、および、オゾン溶液を含むがこれらに限定されない。過酸化水素(H)は、多くの実施形態において好適な酸化剤である。 Suitable oxidizing agents include, but are not limited to peroxides, permanganates, peroxosulfates, and ozone solutions. Hydrogen peroxide (H 2 O 2 ) is a suitable oxidant in many embodiments.

いくつかの実施形態では、調合物に用いられる錯化剤は、ジアミンである。好適な二座配位子のジアミンは、エチレンジアミン(EDA、HNCHCHNH)、および、N−メチルエチレンジアミン(HCNHCHCHNH)を含む。 In some embodiments, the complexing agent used in the formulation is a diamine. Diamines suitable bidentate ligands include ethylenediamine (EDA, H 2 NCH 2 CH 2 NH 2), and, N- methylethylenediamine (H 3 CNHCH 2 CH 2 NH 2).

いくつかの実施形態では、錯化剤は、例えば、三座配位子のトリアミン、ジエチレントリアミン(HNCHCHNHCHCHNH)などのトリアミンである。 In some embodiments, the complexing agent is a triamine such as, for example, a tridentate triamine, diethylenetriamine (H 2 NCH 2 CH 2 NHCH 2 CH 2 NH 2 ).

さらなる他の実施形態では、錯化剤は、トリス(2−アミノエチル)アミン(N(CHCHNH)のようなテトラミンである。いくつかの実施形態では、エッチ液は、ポリアミンの混合物を含んでよく、ポリアミンは、ジアミン、トリアミン、および、テトラミンからなるグループから選ばれる。 In still other embodiments, the complexing agent is a tetramine, such as tris (2-aminoethyl) amine (N (CH 2 CH 2 NH 2 ) 3 ). In some embodiments, the etchant may comprise a mixture of polyamines, wherein the polyamine is selected from the group consisting of diamines, triamines, and tetramines.

1つの特定の実施形態では、エッチング調合物は、エチレンジアミン、過酸化水素、および、オプションでpHアジャスタ(硫酸など)を含み、約6から10のpHを有する。   In one particular embodiment, the etch formulation includes ethylenediamine, hydrogen peroxide, and optionally a pH adjuster (such as sulfuric acid) and has a pH of about 6-10.

他の側面では、半製品の半導体基板の銅含有部分をエッチングする方法が提供される。方法は、さまざまなプロセスで用いられてよい。例えば、エッチングおよびキャッピングプロセスなどにおいて、銅積載部分をエッチングすることにより、銅充填ビア内に凹部を形成してよい。方法は、(a)銅が露出した領域を有する半製品の半導体基板を収容する段階と、(b)基板を、pHが約5から12の範囲のウェットエッチ液と接触させることによって、基板上の銅をエッチングする段階と、を備え、エッチ液は、(i)ジアミン、トリアミン、および、テトラミンからなるグループから選ばれる二座配位子、三座配位子、または、四座配位子の錯化剤、および、(ii)酸化剤を含む。エッチ液は、上記のような組成物を有してよく、好ましくは、高速で銅を等方的にエッチングすることが可能である。   In another aspect, a method for etching a copper-containing portion of a semi-finished semiconductor substrate is provided. The method may be used in a variety of processes. For example, the recess may be formed in the copper filled via by etching the copper loading portion, such as in an etching and capping process. The method includes: (a) receiving a semi-finished semiconductor substrate having a copper exposed region; and (b) contacting the substrate with a wet etchant having a pH in the range of about 5 to 12 on the substrate. And (i) a bidentate ligand, a tridentate ligand, or a tetradentate ligand selected from the group consisting of diamine, triamine, and tetramine. A complexing agent, and (ii) an oxidizing agent. The etchant may have a composition as described above, and is preferably capable of isotropically etching copper at high speed.

エッチ液は、多数の方法を用いて基板に供給されてよい。方法は、浸漬法、吹き付け法、接触スピン法、薄膜リアクタに入れる方法などを含む。基板にエッチ液を吹き付ける方法は、いくつかの実施形態において好適である。   The etchant may be supplied to the substrate using a number of methods. The method includes a dipping method, a spraying method, a contact spin method, a method of placing in a thin film reactor, and the like. The method of spraying the etchant onto the substrate is suitable in some embodiments.

本発明のこれらおよび他の特徴、長所は、添付の図面を参照して以下にさらに詳しく説明される。   These and other features and advantages of the present invention are described in further detail below with reference to the accompanying drawings.

等方性銅エッチングが用いられるプロセスの例を示すダマスク構造の一部の断面の概略図である。1 is a schematic cross-sectional view of a portion of a damascene structure showing an example of a process in which isotropic copper etching is used. 等方性銅エッチングが用いられるプロセスの例を示すダマスク構造の一部の断面の概略図である。1 is a schematic cross-sectional view of a portion of a damascene structure showing an example of a process in which isotropic copper etching is used. 等方性銅エッチングが用いられるプロセスの例を示すダマスク構造の一部の断面の概略図である。1 is a schematic cross-sectional view of a portion of a damascene structure showing an example of a process in which isotropic copper etching is used.

異なるケミカルエッチングに対する銅エッチ速度を示す棒グラフである。6 is a bar graph showing copper etch rates for different chemical etches.

EDAおよび過酸化水素を含有するエッチ液の吹き付け時間とエッチングされた銅の量との依存関係を示す実験的プロットである。It is an experimental plot which shows the dependence of the spraying time of the etching liquid containing EDA and hydrogen peroxide, and the quantity of the etched copper.

EDAおよび過酸化水素を含有するエッチ液のpHと銅エッチ速度との依存関係を示す実験的プロットである。It is an experimental plot which shows the dependence of pH of the etching liquid containing EDA and hydrogen peroxide, and copper etch rate.

EDAおよび過酸化水素を含有するエッチ液の過酸化水素濃度と銅エッチ速度との依存関係を示す実験的プロットである。It is an experimental plot which shows the dependence of the hydrogen peroxide density | concentration of the etchant containing EDA and hydrogen peroxide, and a copper etch rate.

EDAおよび過酸化水素を含有するエッチ液のEDA濃度と銅エッチ速度との依存関係を示す実験的プロットである。It is an experimental plot which shows the dependence of the EDA density | concentration of the etching liquid containing EDA and hydrogen peroxide, and a copper etch rate.

上述のごとく、本発明は、銅を等方的にエッチングするための調合物および方法を提供する。例えば、銅積載部分をエッチングし、銅充填ビアに凹部を形成するなどの、半製品の半導体基板上の銅をエッチングするために特に有益な調合物が提供される。   As mentioned above, the present invention provides formulations and methods for isotropically etching copper. For example, a particularly useful formulation is provided for etching copper on semi-finished semiconductor substrates, such as etching copper loading and forming recesses in copper filled vias.

本願明細書中で用いられる「銅」とは、銅金属およびその合金、ならびに、有機化合物(銅を電気メッキする間に一般的に用いられるレベラー、アクセラレータ、および、サプレッサなど)を含浸する銅金属のことを指す。例えば、これらに限定されないが、酸化銅および水酸化物を含む酸化銅種などの他の銅含有材料をエッチングすることができる調合物も提供されることに留意されたい。   As used herein, “copper” refers to copper metal and its alloys, and copper metal impregnated with organic compounds (such as levelers, accelerators and suppressors commonly used during electroplating of copper). Refers to that. It should be noted that formulations are also provided that can etch other copper-containing materials such as, but not limited to, copper oxide species including copper oxide and hydroxide.

本願明細書中で用いられる「半導体基板」とは、このような基板を処理するいかなる段階における半導体材料(シリコンウェハまたはダイだど)を含有する基板のことを指す。半導体基板は、当該半導体基板上に堆積されるさまざまな材料(誘導体および導体)を含んでよい。   As used herein, “semiconductor substrate” refers to a substrate that contains a semiconductor material (such as a silicon wafer or die) at any stage of processing such a substrate. The semiconductor substrate may include various materials (derivatives and conductors) deposited on the semiconductor substrate.

「等方性エッチング」とは、全方向に実質的に同じ速度で銅を除去すること、および/または、全粒子配向で実質的に同じ速度で銅を除去することを指す。いくつかの実施態様では、等方性エッチングは、エッチングにおいて銅はほとんどまたは全く粗くないことを特徴とする。表面粗さは、エッチングの前、および、一定量の銅(1,000Åなど)が除去された後の表面反射量率を測定することによって測定されてよい。いくつかの実施形態では、1,000Åの銅がエッチングされる毎の反射率の初期低下が約20%を下回ることを特徴とするエッチング調合物が提供される。いくつかの実施形態では、反射率における初期低下は約15%未満であり、例えば約10%未満であり、例えば5%以下でよい。   “Isotropic etching” refers to removing copper at substantially the same rate in all directions and / or removing copper at substantially the same rate in all grain orientation. In some embodiments, the isotropic etch is characterized by little or no copper in the etch. The surface roughness may be measured by measuring the surface reflectance ratio before etching and after a certain amount of copper (such as 1,000 Å) has been removed. In some embodiments, an etching formulation is provided that is characterized by an initial drop in reflectivity of less than about 20% each time 1,000 liters of copper is etched. In some embodiments, the initial decrease in reflectivity is less than about 15%, such as less than about 10%, such as 5% or less.

銅のエッチングは、酸化剤および錯化剤を含有する特定の組成物を用いて等方的に実行されてよい。予想外にも、実質的なピッチングまたはラフィングなしに等方性で均一なエッチングが望まれる場合、錯化剤の性質が特に重要であることが発見された。約5から12、好ましくは約6から10のpH範囲における高いエッチ速度(例えば、少なくとも約1,000Å/分、好ましくは、少なくとも約2,000Å/分)を提供するエッチング組成物が開発された。注目に値すべきなのは、いくつかの実施形態では、エッチングは、異なるサイズの凹部(または銅充填線)内で実質的に同じ速度で行われる。さらに、凹部内の異なる表面は、実質的に同じ速度でエッチングされ、例えば、形成された凹部の隅は、凹部の底と同じ速度でエッチングされる。いくつかの実施形態では、上記組成物によるエッチングは、ウェハにおいて不均一に行われ、ウェハの中心部分と縁部との間のエッチ速度にわずかな差がある。   Copper etching may be performed isotropically with a specific composition containing an oxidizing agent and a complexing agent. Unexpectedly, it has been discovered that the nature of the complexing agent is particularly important when isotropic and uniform etching is desired without substantial pitching or luffing. Etching compositions have been developed that provide high etch rates (eg, at least about 1,000 kg / min, preferably at least about 2,000 kg / min) in the pH range of about 5 to 12, preferably about 6 to 10. . It should be noted that in some embodiments, the etching is performed at substantially the same rate in different sized recesses (or copper fill lines). Furthermore, different surfaces within the recess are etched at substantially the same rate, for example, the corners of the formed recess are etched at the same rate as the bottom of the recess. In some embodiments, etching with the composition is performed non-uniformly on the wafer, with a slight difference in etch rates between the central portion and the edge of the wafer.

対照的に、例えば、約5を下回る低いpHを有するエッチング組成物などの従来の銅エッチング組成物は、一般的に、異方性を示し、小さい特徴部分におけるエッチ速度は、大きい特徴部分におけるエッチ速度より実質的に大きい。さらに、従来のエッチング組成物を用いると、ピッチングおよび表面粗さが高いことが観察される。   In contrast, conventional copper etching compositions, such as, for example, etching compositions having a low pH below about 5, generally exhibit anisotropy, and the etch rate at small features is high at etch rates at large features. Substantially greater than speed. Furthermore, it is observed that using conventional etching compositions, the pitting and surface roughness are high.

本願明細書中に記載されるエッチングの特異な等方性は、銅表面に生じる速度制限反応によるものと考えられる。特定の理論に束縛されるものではないが、約6から12のpH範囲では、銅表面に酸化銅が形成されると直ちに可溶化されて、銅エッチング組成物の錯化剤によって除去される。いくつかの実施形態では、本願明細書中に記載されるエッチング組成物は、好都合にも、銅表面には銅酸化物層を形成せず、その代わりに、反射率の高い滑らかな酸化物フリーの銅表面を提供することができることに留意されたい(例えば、5,000Åをエッチングした後は(シリコン表面に比べて)120%より高い反射率であり、銅を1,000Åエッチングする毎の反射率の低下は、約10%未満である)。したがって、エッチング反応中に酸化物が形成される場合、追加の酸化物除去動作が必要となる前に直ちにその場で除去される。   The unique isotropy of etching described in this specification is considered to be due to the rate limiting reaction that occurs on the copper surface. Without being bound by any particular theory, in the pH range of about 6 to 12, as soon as copper oxide is formed on the copper surface, it is solubilized and removed by the complexing agent of the copper etching composition. In some embodiments, the etching compositions described herein advantageously do not form a copper oxide layer on the copper surface, but instead are highly reflective, smooth oxide free. Note that the copper surface can be provided (e.g., with a reflectivity higher than 120% (as compared to the silicon surface) after etching 5,000 mm, and with every 1000 mm of copper etched) The rate drop is less than about 10%). Thus, if oxide is formed during the etching reaction, it is immediately removed in situ before additional oxide removal operations are required.

エッチングは、一般的に非粒子特性であり、例えば、かなりの高速ではいかなる粒子配向に沿ってもまたは粒界においても行われず、したがって、望ましくないファセット形成が生じない。エッチ速度は、形状およびピッチに依らない。さらに、記載されるエッチング調合物は、ピッチングおよび表面粗さを抑制し、高反射率を有する滑らかな酸化物フリーの表面を提供する。   Etching is generally non-particulate in nature, for example, does not occur at any high speed along any grain orientation or at grain boundaries, and therefore does not cause undesirable facet formation. The etch rate is independent of shape and pitch. Furthermore, the described etch formulation provides a smooth oxide-free surface with high reflectivity, with reduced pitting and surface roughness.

一実施形態によれば、組成物は、酸化剤(過酸化物、ペルオキソ硫酸塩、過マンガン酸塩オゾン溶液など)、および、ジアミン、トリアミン、または、テトラミンである二座配位子、三座配位子、または、四座配位子の錯化剤を含む。過酸化水素は、溶解度が高く、低コストなので、いくつかの実施形態において好適な酸化剤である。   According to one embodiment, the composition comprises an oxidizing agent (peroxide, peroxosulfate, permanganate ozone solution, etc.) and a bidentate ligand, tridentate that is a diamine, triamine, or tetramine. Includes complexing agents for ligands or tetradentate ligands. Hydrogen peroxide is a suitable oxidizing agent in some embodiments because of its high solubility and low cost.

錯化剤の性質は、特に重要であることがわかっている。例えば、アンモニアのような単純な単座配位子、および、エチレンジアミン四酢酸(EDTA)のような大きい高純度カルボン酸塩多座配位子は、エッチ速度が低く、結果として酸化被膜を形成することがわかっている。いくつかの実施形態では、4より大きい配位座数(denticities)を有する配位子(例えばEDTA)が実質的になく、アンモニアおよびその塩もフリーのウェットエッチ液が提供される。   The nature of the complexing agent has been found to be particularly important. For example, simple monodentate ligands such as ammonia, and large high purity carboxylate polydentate ligands such as ethylenediaminetetraacetic acid (EDTA) have low etch rates resulting in the formation of oxide films I know. In some embodiments, a wet etchant is provided that is substantially free of ligands (eg, EDTA) having coordination dentities greater than 4 and that is free of ammonia and its salts.

予想外にも、二座配位子、三座配位子、四座配位子のジアミン、トリアミン、および、テトラミンは、優れたエッチ速度、等方性、低表面粗さを提供し、酸化被膜を残さないことがわかっている。さまざまな二座配位子、三座配位子、四座配位子のアミンが用いられてよい。これらは、窒素で誘導化されるか、(N−アルキル置換される)、または、他の位置で誘導化されるか、あるいは、誘導化されなくてもよい。例としては、エチレンジアミン(EDA、HNCHCHNH)、N−メチルエチレンジアミン(CHNHCHCHNH)、ジエチレントリアミン(HNCHCHNHCHCHNH)、および、トリス(2−アミノエチル)アミン(N(CHCHNH)などが挙げられる。二座配位子、三座配位子、四座配位子アミンの混合もエッチング調合物で用いられてよい。 Unexpectedly, bidentate, tridentate, tetradentate diamines, triamines, and tetramines provide excellent etch rates, isotropic properties, low surface roughness, and oxide coatings. I know it wo n’t leave. Various bidentate, tridentate and tetradentate amines may be used. These may be derivatized with nitrogen, (N-alkyl substituted), derivatized at other positions, or not derivatized. Examples include ethylenediamine (EDA, H 2 NCH 2 CH 2 NH 2), N- methyl-ethylenediamine (CH 3 NHCH 2 CH 2 NH 2), diethylenetriamine (H 2 NCH 2 CH 2 NHCH 2 CH 2 NH 2), and , Tris (2-aminoethyl) amine (N (CH 2 CH 2 NH 2 ) 3 ) and the like. Mixtures of bidentate, tridentate and tetradentate amines may also be used in the etching formulation.

有利なことには、非常に高いエッチ速度を提供し、非常に高い反射率を有する滑らかな金属面を導くエッチング組成物が提供される。さらに、いくつかの実施形態では、ポリアミンは、所望のpHに到達させるための、場合によっては高価である塩基性pHアジャスタを少しもまたは大量には必要としない。ポリアミンを含む典型的なエッチング組成物は、pHが約6から10のポリアミン(EDAなど)およびHを有する組成物を含む。他の実施形態では、水酸化テトラアルキルアンモニウムのような塩基性pHアジャスタが組成物に添加されてよい。いくつかの実施形態では、エッチング組成物は、硫酸(HSO)のような酸性pHアジャスタを有することにより、pHを下げる。5を上回るpHでは(提供される組成物が動作する)、酸性のpHアジャスタは、エッチ液中では塩として大部分が存在するだろう。したがって、例えば、「硫酸を含むエッチ液」という用語は、必須のpHに対する硫酸の適切な共役塩基を含む溶液のこととして解釈されるものとする。エッチング組成物のためにさまざまな酸性pHアジャスタが用いられてよい。いくつかの実施形態では、HClOのような毒性または爆発性のpHアジャスタは除外されることが望ましい。 Advantageously, an etching composition is provided that provides a very high etch rate and leads to a smooth metal surface having a very high reflectivity. Furthermore, in some embodiments, the polyamine does not require any or a large amount of a basic pH adjuster that is sometimes expensive to reach the desired pH. Typical etching compositions that include polyamines include compositions having a pH of about 6 to 10 polyamines (such as EDA) and H 2 O 2 . In other embodiments, a basic pH adjuster such as a tetraalkylammonium hydroxide may be added to the composition. In some embodiments, the etching composition lowers the pH by having an acidic pH adjuster such as sulfuric acid (H 2 SO 4 ). At pH above 5 (the provided composition works), the acidic pH adjuster will be mostly present as salt in the etchant. Thus, for example, the term “etchant containing sulfuric acid” shall be interpreted as a solution containing a suitable conjugate base of sulfuric acid for the required pH. Various acidic pH adjusters may be used for the etching composition. In some embodiments, it is desirable to exclude toxic or explosive pH adjusters such as HClO 4 .

1つの典型的なエッチング組成物は、エチレンジアミン、過酸化水素、および、オプションで硫酸を含む組成物であり、当該組成物のpHは、約6から10である。一般にエッチ液はさまざまな添加成分を含む場合があるが(例えば、界面活性剤、腐食防止剤など)いくつかの実施形態では、溶液は、基本的に水、ジアミン、トリアミン、テトラミンからなるグループから選ばれる二座配位子、三座配位子、四座配位子の錯化剤、酸化剤、および、オプションでpHアジャスタによって構成される。   One typical etching composition is a composition comprising ethylenediamine, hydrogen peroxide, and optionally sulfuric acid, with a pH of about 6 to 10 for the composition. In general, etchants may contain various additive components (eg, surfactants, corrosion inhibitors, etc.) In some embodiments, the solution is essentially from the group consisting of water, diamine, triamine, tetramine. Consists of a selected bidentate, tridentate, tetradentate complexing agent, oxidizing agent, and optionally a pH adjuster.

本発明の組成物の他の有利な特徴は、高いpHではエッチングをすばやく抑制することができる(すなわちエッチ速度を下げられる)ということである。例えば、工程中、エッチングを特定の時刻に停止することが必要な場合、塩基性pHアジャスタがシステムに供給されることにより、エッチ液のpHを例えば約10から12より高くしてよい。所望のエッチング組成物のエッチ速度は、pHが高いと低くなり、適切なpHアジャスタ(例えばOH含有アジャスタ)を導入することにより、エッチングは高いpHで停止してよい。適切な塩基性pHアジャスタは、水酸化テトラメチルアンモニウム(TMAH)のような水酸化テトラアルキルアンモニウムを含む。   Another advantageous feature of the compositions of the present invention is that etching can be suppressed quickly (ie, etch rate can be reduced) at high pH. For example, if it is necessary to stop etching at a particular time during the process, a basic pH adjuster may be provided to the system to increase the pH of the etchant, for example, from about 10-12. The etch rate of the desired etching composition decreases with higher pH, and etching may be stopped at higher pH by introducing an appropriate pH adjuster (eg, OH-containing adjuster). Suitable basic pH adjusters include tetraalkylammonium hydroxide such as tetramethylammonium hydroxide (TMAH).

研磨粒子を含まず、金属除去の間にパッドによる表面の機械磨耗に依存しないという点で、ケミカルメカニカルポリシング溶液またはスラリーとは異なる水溶液であるエッチング調合物が提供される。したがって、例えば、パッド(溶液中に研磨剤、または、パッドに保持または固定された研磨剤を有する、あるいはパッド中または溶液中に研磨剤を含まない)は、提供されるエッチング方法には必要なく、エッチングは、基板をエッチ液に浸漬させるか、または、溶液を基板に吹き付けることによって簡単に実現できる。多くの実施形態において、吹き付けは、エッチング組成物を基板上に供給するのに好適な方法である。一例示的実施態様では、エッチ液は、室温において回転する(例えば、約20から200rpmの速度で)基板の表面上に一筋スプレーするノズル(いわゆる「ファンノズル」)から吹き付けられる。一般的に、エッチ速度を上げるには温度を高くするのがよい。いくつかの例では、エッチ液を塗布する装置は、多くのEBR(エッジベベル面取り)またはSRD(スピンリンスドライヤー)用途に用いられるものを含む。その用途に適した装置および方法の例は、2001年10月30日発行の米国特許第6,309,981(マイヤーら)、および、2003年7月1日発行の米国特許第6,586,342(マイヤーら)にさらに詳細が記載されている。さらに、いくつかの実施形態では、エッチ液は、接触スピン法を用いて、または、薄膜リアクタにおいて基板と接触させてよい。   An etch formulation is provided that is an aqueous solution that is different from a chemical mechanical polishing solution or slurry in that it is free of abrasive particles and does not rely on mechanical wear of the surface by the pad during metal removal. Thus, for example, a pad (having an abrasive in solution, or an abrasive held or secured to the pad, or no abrasive in the pad or solution) is not required for the provided etching method. Etching can be easily realized by immersing the substrate in an etchant or spraying the solution onto the substrate. In many embodiments, spraying is a suitable method for supplying the etching composition onto the substrate. In one exemplary embodiment, the etchant is sprayed from a nozzle that sprays at a room temperature (eg, at a rate of about 20 to 200 rpm) onto the surface of the substrate (a so-called “fan nozzle”). In general, the temperature should be increased to increase the etch rate. In some examples, the apparatus for applying the etchant includes those used for many EBR (edge bevel chamfering) or SRD (spin rinse dryer) applications. Examples of apparatus and methods suitable for that application include US Pat. No. 6,309,981 (Meyer et al.) Issued October 30, 2001, and US Pat. No. 6,586, issued July 1, 2003. Further details are described in 342 (Meyer et al.). Further, in some embodiments, the etchant may be contacted with the substrate using a contact spin method or in a thin film reactor.

記載されたエッチング組成物は、半導体処理中のさまざまな状況において用いられてよい。例えば、組成物は、露出した誘電体が存在する場合、銅線に凹部を形成するために用いられてよい。さらに、記載された組成物は、拡散バリア材料(例えばタンタルおよび/または窒化タンタル)がある場合、銅の選択的エッチングに用いられてよい。他の例では、エッチング組成物は、銅積載部分を有する基板上に供給されることにより、当該銅積載部分を一部または完全に除去する。   The described etching composition may be used in a variety of situations during semiconductor processing. For example, the composition may be used to form a recess in a copper wire when exposed dielectric is present. Further, the described composition may be used for selective etching of copper when there is a diffusion barrier material (eg, tantalum and / or tantalum nitride). In another example, the etching composition is provided on a substrate having a copper loading portion to partially or completely remove the copper loading portion.

本願明細書中に記載される等方性エッチングのための組成物は、上記用途に限らず、銅の等方性エッチングが望まれるいかなる用途に用いられてよい。記載された組成物および方法は、集積回路(IC)製造を超越した多種多様な用途において、約400nm未満の幅を有する銅充填特徴部分を有する半導体デバイスの製造中に用いられるのに特に有利である。   The composition for isotropic etching described in the present specification is not limited to the above uses, and may be used for any use in which isotropic etching of copper is desired. The described compositions and methods are particularly advantageous for use in the manufacture of semiconductor devices having copper-filled features having a width of less than about 400 nm in a wide variety of applications beyond integrated circuit (IC) manufacturing. is there.

半導体処理において用いられる場合、銅エッチングの方法は、(a)銅領域を有する半導体基板を提供する段階と、(b)銅領域を本願明細書中に記載されるエッチング組成物と接触させる段階と、を含む。いくつかの実施形態では、エッチングの初期期間の後、エッチ液のpHを高めることによって(例えば、塩基性pHアジャスタを添加することによって)エッチング反応は抑制される(すなわち、エッチ速度が下げられるか、または、反応が停止する)。   When used in semiconductor processing, a method of copper etching comprises: (a) providing a semiconductor substrate having a copper region; and (b) contacting the copper region with an etching composition described herein. ,including. In some embodiments, after the initial period of etching, the etch reaction is suppressed (ie, the etch rate is reduced) by increasing the pH of the etchant (eg, by adding a basic pH adjuster). Or the reaction stops).

図1Aから1Cは、提供されたエッチング方法が用いられるダマスク構造の典型的な断面図である。図1Aは、埋め込まれた銅充填凹部111を有する基板101(誘電体など)を含む構造を示す。銅積載層109が基板のフィールド領域上に存在する。銅領域109および111と、基板領域101との間には薄いコンフォーマル拡散バリア層105(例えばタンタルおよび/または窒化タンタル)が存在する。一実施形態では、銅積載部分109は、基板と本願明細書中に提供される等方性ウェットエッチ液とを接触させることにより、完全にまたは一部エッチングされてよい。いくつかの実施形態では、CMPまたはエレクトロプラナリゼーション(electroplanarization)のような平坦化動作がウェットエッチングの前後に実行される。   1A-1C are exemplary cross-sectional views of damascene structures in which the provided etching method is used. FIG. 1A shows a structure including a substrate 101 (such as a dielectric) having an embedded copper filled recess 111. A copper loading layer 109 is present on the field region of the substrate. A thin conformal diffusion barrier layer 105 (eg, tantalum and / or tantalum nitride) exists between the copper regions 109 and 111 and the substrate region 101. In one embodiment, the copper loading portion 109 may be fully or partially etched by contacting the substrate with an isotropic wet etch solution provided herein. In some embodiments, planarization operations such as CMP or electroplanarization are performed before and after wet etching.

図1Bは、銅積載部分を除去した後に得られる基板を示す。拡散バリア層105は、フィールド領域内で露出し、銅層111は、銅充填凹部の最上部で露出する。いくつかの実施形態では、本願明細書中に提供される等方性エッチ液は、拡散バリア層105が選択的に存在する(拡散バリア層をエッチングしない)場合、基板上に供給されて、銅層111内に凹部を形成し、図1Cに示されるような、銅充填層111の上部に形成された凹みを示す構造になる。次に、いくつかの実施形態では、この凹みは、例えば、無電解メッキによってキャップ(例えばコバルト含有キャップ)が満たされてよい。このように覆われた銅相互接続は、相互接続のエレクトロマイグレーション特性を向上させるので、いくつかの実施形態において望ましい。   FIG. 1B shows the substrate obtained after removing the copper loading portion. The diffusion barrier layer 105 is exposed in the field region, and the copper layer 111 is exposed at the uppermost portion of the copper filling recess. In some embodiments, an isotropic etchant provided herein is provided on the substrate when the diffusion barrier layer 105 is selectively present (does not etch the diffusion barrier layer), A recess is formed in the layer 111, resulting in a structure showing a recess formed in the upper portion of the copper filling layer 111 as shown in FIG. 1C. Next, in some embodiments, the recess may be filled with a cap (eg, a cobalt-containing cap), for example, by electroless plating. Such a covered copper interconnect is desirable in some embodiments because it improves the electromigration properties of the interconnect.

本願明細著中で提供される等方性エッチング組成物の使用は、上記例示された実施形態(例えばエッチングおよびキャッピング)に制限されない。提供された等方性組成物は、ダマスク手順フロー(例えば多くの状況での銅積載部分エッチングなど)およびそれを越えたさまざまな状況において用いられてよい。例えば、提供されるエッチ液は、半導体基板のエッジ領域から、または、基板の背面から、不要な銅を除去するために用いられてよい。   The use of isotropic etching compositions provided herein is not limited to the above illustrated embodiments (eg, etching and capping). The provided isotropic compositions may be used in damask procedure flows (eg, copper-loaded partial etching in many situations) and in a variety of situations beyond. For example, the provided etchant may be used to remove unwanted copper from the edge region of the semiconductor substrate or from the backside of the substrate.

以下のセクションでは、エッチング組成物および方法の実験の詳細を説明する。
[実験]
銅エッチング組成物における配位子の比較
The following sections describe experimental details of the etching composition and method.
[Experiment]
Comparison of ligands in copper etching compositions

まず被覆銅フィルム層が堆積され、次にさまざまなウェットエッチング組成物を用いてエッチングが行われた。エッチングの前後に、銅表面の反射率の測定が波長約480nmで行われ、シリコン表面と相対的なパーセントで示された。以下の水溶液は、銅を等方的にエッチングする能力を試験された。
(a)エチレンジアミン(0.066M)、H(1.06M)、pH8.9、20℃。
この溶液は、4600Å/分という非常に高いエッチ速度を示し、かつ、優れた等方性を示した。6900Åの除去毎のエッチング後の銅表面の反射率は131%であった(エッチング前は134%)。
(b)グリシン(0.066M)、H(1.06M)、pH8.9、20℃。
この溶液は、エッチ速度1580Å/分であり、等方性を示した。エッチ速度はEDAに比べて遅い。1053Åの除去毎のエッチング後の銅表面の反射率は140%であった(エッチング前は134%)。
(c)過硫酸アンモニウム(0.066M)、過酸化水素なし、pH2.6、20℃。
この低pH(酸性)エッチ液は、エッチ速度1849Å/分であったが、エッチング後の銅表面の粗さが高かった。1849Åの除去毎のエッチング後の銅表面の反射率は、わずか61%であった(エッチング前は134%)。マットな仕上げが観察された。
(d)過硫酸アンモニウム(0.066M)、H(1.06M)、pH3.6、20℃。
この低pHエッチ液は、エッチ速度1163Å/分であったが、エッチング中および後において銅表面に赤/茶の酸化物が得られた。1163Åの除去毎のエッチング後の銅表面の反射率はたったの8.8%であった(エッチング前は134%)。
(e)過硫酸アンモニウム(0.066M)、過酸化水素なし、pH8.9、20℃。
このエッチ液は、エッチ速度が613Å/分であり、エッチング後、銅表面に赤/茶の酸化物が得られた。429Åの除去毎のエッチング後の銅表面の反射率は52%であった(エッチング前は134%)。
(f)水酸化アンモニウム(0.066M)、H(1.06M)、pH8.9、20℃。
このエッチ液は、エッチ速度が53Å/分であり、エッチング後、銅表面に赤/茶の酸化物が得られた。53Åの除去毎のエッチング後の銅表面の反射率は68%であった(エッチング前は134%)。
(g)EDTA(0.066M)、H(1.06M)、pH8.9、20℃。
エッチ液を含有したこのエチレンジアミン四酢酸(EDTA)は、エッチ速度がたったの5Å/分であった。5Åの除去毎のエッチング後の銅表面の反射率は135%であった(エッチング前は134%)。表面との相互作用はほとんどなかった(すなわち金属除去または被膜形成がほとんどない)。
(h)クエン酸(0.066M)、H(1.06M)、pH8.9、20℃。
このエッチ液では測定可能なエッチングは全く認められなかった。
(i)シュウ酸(0.066M)、H(1.06M)、pH8.9、20℃。このエッチ液でも測定可能なエッチングは全く認められなかった。
(j)酢酸アンモニウム(0.066M)、H(1.06M)、pH9.6、20℃。
このエッチ液は、エッチ速度が429Å/分であり、エッチング後、銅表面に赤/茶の酸化物が得られた。429Åの除去毎のエッチング後の銅表面の反射率は13%であった(エッチング前は134%)。
ケミカルエッチングとエッチ速度との依存関係を表す棒グラフが図2に示されている。
A coated copper film layer was first deposited and then etched using various wet etch compositions. Before and after the etching, the reflectivity of the copper surface was measured at a wavelength of about 480 nm and expressed as a percentage relative to the silicon surface. The following aqueous solutions were tested for the ability to etch copper isotropically.
(A) Ethylenediamine (0.066M), H 2 O 2 (1.06M), pH 8.9, 20 ° C.
This solution exhibited a very high etch rate of 4600 Å / min and excellent isotropy. The reflectivity of the copper surface after etching for every 6900 mm of removal was 131% (134% before etching).
(B) Glycine (0.066M), H 2 O 2 (1.06M), pH 8.9, 20 ° C.
This solution was isotropic with an etch rate of 1580 kg / min. Etch speed is slower than EDA. The reflectivity of the copper surface after etching for every 1053Å removal was 140% (134% before etching).
(C) Ammonium persulfate (0.066M), no hydrogen peroxide, pH 2.6, 20 ° C.
Although this low pH (acidic) etchant had an etch rate of 1849 Å / min, the roughness of the copper surface after etching was high. The reflectivity of the copper surface after etching every 1849 mm removal was only 61% (134% before etching). A matte finish was observed.
(D) Ammonium persulfate (0.066M), H 2 O 2 (1.06M), pH 3.6, 20 ° C.
Although this low pH etchant had an etch rate of 1163 Å / min, red / brown oxide was obtained on the copper surface during and after etching. The reflectivity of the copper surface after etching for every 1163mm removal was only 8.8% (134% before etching).
(E) Ammonium persulfate (0.066M), no hydrogen peroxide, pH 8.9, 20 ° C.
This etchant had an etch rate of 613 Å / min, and a red / brown oxide was obtained on the copper surface after etching. The reflectivity of the copper surface after etching for every 429 mm removal was 52% (134% before etching).
(F) Ammonium hydroxide (0.066M), H 2 O 2 (1.06M), pH 8.9, 20 ° C.
This etchant had an etch rate of 53 kg / min, and after etching, red / brown oxide was obtained on the copper surface. The reflectance of the copper surface after etching for every 53% removal was 68% (134% before etching).
(G) EDTA (0.066M), H 2 O 2 (1.06M), pH 8.9, 20 ° C.
This ethylenediaminetetraacetic acid (EDTA) containing etchant had an etch rate of only 5 liters / minute. The reflectivity of the copper surface after etching for every 5 mm removal was 135% (134% before etching). There was little interaction with the surface (ie little metal removal or film formation).
(H) Citric acid (0.066M), H 2 O 2 (1.06M), pH 8.9, 20 ° C.
In this etchant, no measurable etching was observed.
(I) Oxalic acid (0.066M), H 2 O 2 (1.06M), pH 8.9, 20 ° C. No measurable etching was observed with this etchant.
(J) Ammonium acetate (0.066M), H 2 O 2 (1.06M), pH 9.6, 20 ° C.
This etchant had an etch rate of 429 Å / min. After etching, red / brown oxide was obtained on the copper surface. The reflectivity of the copper surface after etching for every 429 mm removal was 13% (134% before etching).
A bar graph representing the dependency between chemical etching and etch rate is shown in FIG.

上記例から、EDAおよびグリシンのみが高いエッチ速度と等方性との両方を提供することがわかるであろう。水酸化アンモニウムおよびアンモニウム塩は、銅表面における酸化物の堆積、および/または、高い表面粗さを招く。EDTA、クエン酸、および、シュウ酸は感知できるほどのエッチ速度を示さない。EDAは、かなり高いエッチ速度を示すことからグリシンより優れている。
EDAおよびH 含有エッチ液
From the above example, it can be seen that only EDA and glycine provide both high etch rates and isotropic properties. Ammonium hydroxide and ammonium salts lead to oxide deposition and / or high surface roughness on the copper surface. EDTA, citric acid, and oxalic acid do not show appreciable etch rates. EDA is superior to glycine because it exhibits a much higher etch rate.
Etch solution containing EDA and H 2 O 2

基板上にEDA(4g/L、0.067M)、および、H(120g/Lの30%H、または、約1.9M)を含むエッチ液を吹き付けて銅フィルムをエッチングした。溶液のpHは8.9であり、温度は20℃とした。約4,600Å/分のエッチ速度が観察された。銅を7,000Å除去した後、反射率は122%であった(エッチング前の反射率は125%)。 Etching a copper film by spraying an etchant containing EDA (4 g / L, 0.067 M) and H 2 O 2 (120 g / L of 30% H 2 O 2 , or about 1.9 M) on the substrate. did. The pH of the solution was 8.9, and the temperature was 20 ° C. An etch rate of about 4,600 kg / min was observed. After removing 7,000 kg of copper, the reflectivity was 122% (the reflectivity before etching was 125%).

図3は、エッチングの吹き付け時間とエッチングされた銅の量との依存関係を示す。約120秒で約9,000Åが除去されたことがわかるだろう。エッチングされた銅の量は、エッチングの吹き付け時間と線形の依存関係にある。   FIG. 3 shows the dependency between etch spray time and the amount of etched copper. It can be seen that about 9,000 kg was removed in about 120 seconds. The amount of etched copper is linearly dependent on the etch spray time.

他の実験では、pHと銅のエッチ速度との依存関係が調べられた。エッチ速度とpHとの依存関係は図4に示されている。2g/L(0.033M)のEDAおよび30g/Lの30%H(9g/Lまたは0.47M)を含むエッチ液が用いられた。pHは、未修正のEDA/過酸化物混合値から、pHを上下させる必要に応じてHSOまたはTMAHによって調整された。pH値が7未満だと銅表面は粗くなり、表面がマットで反射せず、縞模様や渦巻きがあり、外観的に概して不均一であった。pHが高いと(11より上)、表面上は比較的変わらない(反射する/滑らか)ように見えるが、エッチ速度は低下した。EDAによるエッチングの好適なpHは、約7から10.5であり、より典型的には約8.5から10であることがわかった。 In other experiments, the dependence between pH and copper etch rate was investigated. The dependence between etch rate and pH is shown in FIG. An etchant containing 2 g / L (0.033 M) EDA and 30 g / L 30% H 2 O 2 (9 g / L or 0.47 M) was used. The pH was adjusted from uncorrected EDA / peroxide mix values with H 2 SO 4 or TMAH as needed to raise or lower the pH. When the pH value was less than 7, the copper surface was rough, the surface was not reflected by the mat, there were striped patterns and swirls, and the appearance was generally non-uniform. Higher pH (above 11) appeared to be relatively unchanged (reflecting / smooth) on the surface, but the etch rate decreased. A suitable pH for etching with EDA has been found to be about 7 to 10.5, and more typically about 8.5 to 10.

図5は、エッチ速度と、固定のpH8.9でのEDA(0.067M)およびH(10から40g/L)含有溶液におけるH濃度との依存関係を示す。H量が増えるにしたがってエッチ速度はゆるやかに上昇した。 FIG. 5 shows the dependence of etch rate on H 2 O 2 concentration in solutions containing EDA (0.067M) and H 2 O 2 (10 to 40 g / L) at a fixed pH of 8.9. The etch rate gradually increased as the amount of H 2 O 2 increased.

図6は、エッチ速度と、固定のpH8.9でのEDA(0から8g/LおよびH(1M)含有溶液におけるEDA濃度との依存関係を示す。エッチ速度は、EDA濃度に強く依存し、EDA濃度の上昇に伴いほぼ線形に近い状態で上昇する。 6 shows the dependence of the etch rate on the EDA concentration in EDA (0 to 8 g / L and H 2 O 2 (1M) containing solutions at a fixed pH of 8.9. The etch rate is strongly dependent on the EDA concentration. It increases depending on the EDA concentration and is almost linear.

表2は、EDAおよび過酸化水素を含有するエッチ液の反射率(等方性の基準)の変化を示す。ここでは、EDAは1から8g/Lの濃度であり、過酸化水素は約10から約40g/Lの濃度であり、pH値は、7から11.6の範囲である。すべてのケースで反射率の値は15%以下にならないことがわかるだろう。
表2 EDAを含む他の溶液でエッチングした後に得られた銅の表面粗さ。
アミノ基を含有する他の錯化剤を含むエッチ液
Table 2 shows the change in the reflectance (isotropy standard) of the etchant containing EDA and hydrogen peroxide. Here, EDA has a concentration of 1 to 8 g / L, hydrogen peroxide has a concentration of about 10 to about 40 g / L, and the pH value ranges from 7 to 11.6. It can be seen that in all cases the reflectance value does not fall below 15%.
Table 2 Surface roughness of copper obtained after etching with other solutions containing EDA.
Etch solution containing other complexing agents containing amino groups

異なる錯化剤を含有する多数の溶液が調べられた。pHは、TMAHまたはHSOにより8.9または8.8に調整された。
(a)N−メチルエチレンジアミン(0.066M)、H(1.00M)、pH8.9、20℃。
この溶液は、1575Å/分というかなりのエッチ速度および等方性を示した。1575Åの除去毎のエッチング後の銅表面の反射率は127%であった(エッチング前は134%)。
(b)サルコシン(0.066M)、H(1.00M)、pH8.9、20℃。
この溶液は、11.5Å/分のエッチ速度を示した。
(c)タウリン(0.066M)、H(1.00M)、pH8.9、20℃。
この溶液は、12Å/分のエッチ速度を示した。
(d)エタノールアミン(0.066M)、H(1.00M)、pH8.9、20℃。
この溶液は、感知できるほどのエッチングを示さなかった。
[項目1]
水溶液を含むウェットエッチング調合物であって、前記水溶液は、
(a)ジアミン、トリアミン、および、テトラミンからなるグループから選ばれる二座配位子、三座配位子、または、四座配位子の錯化剤と、
(b)酸化剤と、
を備え、
エッチ液のpHは、7から10.5であり、前記エッチング調合物は、銅を1,000Åエッチングする毎に、エッチングされた銅表面の反射率が15%以下にならないように等方性にエッチングすることができる、
ウェットエッチング調合物。
[項目2]
前記ウェットエッチング調合物は、少なくとも1,000Å/分のエッチ速度で銅をエッチングすることができる、項目1に記載のウェットエッチング調合物。
[項目3]
前記ウェットエッチング調合物は、エッチングされた銅領域の表面粗さを増大させずに、基板から少なくとも1,000Åの銅を除去することができる、項目1または2に記載のウェットエッチング調合物。
[項目4]
前記酸化剤は、過酸化水素(H )を含む、項目1から3の何れか1項に記載のウェットエッチング調合物。
[項目5]
前記錯化剤は、ジアミンを含む、項目1から4の何れか1項に記載のウェットエッチング調合物。
[項目6]
前記ジアミンは、エチレンジアミン(H NCH CH NH )、および、N−メチルエチレンジアミン(H CNHCH CH NH )の少なくとも1つである、項目5に記載のウェットエッチング調合物。
[項目7]
前記錯化剤は、トリアミンを含む、項目1から4の何れか1項に記載のウェットエッチング調合物。
[項目8]
前記トリアミンは、ジエチレントリアミン(H NCH CH NHCH CH NH )である、項目7に記載のウェットエッチング調合物。
[項目9]
前記錯化剤は、テトラミンを含む、項目1から4の何れか1項に記載のウェットエッチング調合物。
[項目10]
前記テトラミンは、トリス(2−アミノエチル)アミン(N(CH CH NH )である、項目9に記載のウェットエッチング調合物。
[項目11]
前記水溶液は、エチレンジアミン、および、過酸化水素を含む、項目1から6の何れか1項に記載のウェットエッチング調合物。
[項目12]
前記ウェットエッチング調合物は、pHアジャスタを含む、項目1から11の何れか1項に記載のウェットエッチング調合物。
[項目13]
前記pHアジャスタは、硫酸を含む、項目12に記載のウェットエッチング調合物。
[項目14]
銅領域を含む半製品の半導体基板における銅含有部分をエッチングする方法であって、
(a)露出した銅領域を有する前記半製品の半導体基板を収容する段階と、
(b)前記半製品の半導体基板と、pH5から12のウェットエッチ液とを接触させることにより、前記半製品の半導体基板上の銅をエッチングする段階と、
を備え、
前記ウェットエッチ液は、
(i)ジアミン、トリアミン、および、テトラミンからなるグループから選ばれる二座配位子、三座配位子、または、四座配位子の錯化剤と、
(ii)酸化剤と、
を含み、
前記基板と接触させることは、前記ウェットエッチ液を回転する基板に吹き付けること、接触スピン、および、前記基板と前記ウェットエッチ液とを薄膜リアクタ内で接触させること、からなるグループから選ばれる、
方法。
[項目15]
前記ウェットエッチ液は、pHアジャスタをさらに含む、項目14に記載の方法。
[項目16]
前記錯化剤は、ジアミンを含む、項目14または15に記載の方法。
[項目17]
前記ジアミンは、エチレンジアミン(H NCH CH NH )、または、N−メチルエチレンジアミン(H CNHCH CH NH )からなるグループから選ばれる、項目14から16の何れか1項に記載の方法。
[項目18]
前記ウェットエッチ液は、エチレンジアミン、および、過酸化水素を含む、項目14から17の何れか1項に記載の方法。
[項目19]
前記ウェットエッチ液は、pHアジャスタをさらに含む、項目18に記載の方法。
[項目20]
前記ウェットエッチ液は、6から10のpHを有する、項目19に記載の方法。
[項目21]
前記錯化剤は、トリアミンおよびテトラミンからなるグループから選ばれる、項目14または15に記載の方法。
[項目22]
エッチングされた前記銅領域は、銅積載部分を有する、項目14から21の何れか1項に記載の方法。
[項目23]
前記銅は、少なくとも1,000Å/分の速度でエッチングされる、項目14から22の何れか1項に記載の方法。
[項目24]
前記エッチングする段階は、等方的に行われる、項目14から23の何れか1項に記載の方法。
[項目25]
前記ウェットエッチ液の前記pHを上昇させることにより、前記エッチングする段階を停止させる段階をさらに備える、項目14から24の何れか1項に記載の方法。
[項目26]
前記ウェットエッチ液の前記pHを上昇させることは、前記ウェットエッチ液に塩基性pHアジャスタを添加することを含む、項目25に記載の方法。
[項目27]
前記接触させることは、前記ウェットエッチ液を前記回転する基板に吹き付けることを含む、項目14から26の何れか1項に記載の方法。
[項目28]
項目14から27の何れか1項に記載の方法により、銅含有部分をエッチングする段階を備える銅領域を含む半製品の半導体基板の製造方法。
A number of solutions containing different complexing agents were investigated. The pH was adjusted to 8.9 or 8.8 with TMAH or H 2 SO 4 .
(A) N-methylethylenediamine (0.066M), H 2 O 2 (1.00M), pH 8.9, 20 ° C.
This solution showed a considerable etch rate and isotropic of 1575 Å / min. The reflectivity of the copper surface after etching for every 1575 mm of removal was 127% (134% before etching).
(B) Sarcosine (0.066M), H 2 O 2 (1.00M), pH 8.9, 20 ° C.
This solution exhibited an etch rate of 11.5 kg / min.
(C) Taurine (0.066M), H 2 O 2 (1.00M), pH 8.9, 20 ° C.
This solution exhibited an etch rate of 12 kg / min.
(D) Ethanolamine (0.066M), H 2 O 2 (1.00M), pH 8.9, 20 ° C.
This solution did not show appreciable etching.
[Item 1]
A wet etching formulation comprising an aqueous solution, the aqueous solution comprising:
(A) a bidentate, tridentate, or tetradentate complexing agent selected from the group consisting of diamine, triamine, and tetramine;
(B) an oxidizing agent;
With
The pH of the etchant is 7 to 10.5, and the etching composition is isotropic so that the reflectivity of the etched copper surface does not fall below 15% each time 1000 mm of copper is etched. Can be etched,
Wet etching formulation.
[Item 2]
Item 2. The wet etch formulation of item 1, wherein the wet etch formulation is capable of etching copper at an etch rate of at least 1,000 Å / min.
[Item 3]
Item 3. The wet etch formulation of item 1 or 2, wherein the wet etch formulation is capable of removing at least 1,000 銅 of copper from the substrate without increasing the surface roughness of the etched copper region.
[Item 4]
The oxidizing agent, hydrogen peroxide containing (H 2 O 2), wet etching formulation according to any one of items 1 3.
[Item 5]
Item 5. The wet etching formulation according to any one of Items 1 to 4, wherein the complexing agent comprises a diamine.
[Item 6]
The diamine is ethylene diamine (H 2 NCH 2 CH 2 NH 2), and, N- is at least one of methyl ethylenediamine (H 3 CNHCH 2 CH 2 NH 2), wet etching formulation of claim 5.
[Item 7]
Item 5. The wet etching formulation according to any one of items 1 to 4, wherein the complexing agent comprises triamine.
[Item 8]
The triamine is diethylene triamine (H 2 NCH 2 CH 2 NHCH 2 CH 2 NH 2), wet etching formulation of claim 7.
[Item 9]
Item 5. The wet etching formulation according to any one of items 1 to 4, wherein the complexing agent comprises tetramine.
[Item 10]
The tetramine is tris (2-aminoethyl) amine (N (CH 2 CH 2 NH 2) 3), wet etching formulation of claim 9.
[Item 11]
The wet etching composition according to any one of items 1 to 6, wherein the aqueous solution contains ethylenediamine and hydrogen peroxide.
[Item 12]
12. The wet etch formulation according to any one of items 1 to 11, wherein the wet etch formulation comprises a pH adjuster.
[Item 13]
Item 13. The wet etch formulation of item 12, wherein the pH adjuster comprises sulfuric acid.
[Item 14]
A method for etching a copper-containing portion in a semi-finished semiconductor substrate including a copper region,
(A) receiving the semi-finished semiconductor substrate having an exposed copper region;
(B) etching the copper on the semi-finished semiconductor substrate by contacting the semi-finished semiconductor substrate with a wet etchant having a pH of 5 to 12;
With
The wet etchant is
(I) a bidentate, tridentate or tetradentate complexing agent selected from the group consisting of diamine, triamine and tetramine;
(Ii) an oxidizing agent;
Including
Contacting the substrate is selected from the group consisting of spraying the wet etchant onto a rotating substrate, contact spin, and contacting the substrate and the wet etchant in a thin film reactor,
Method.
[Item 15]
Item 15. The method according to Item 14, wherein the wet etchant further comprises a pH adjuster.
[Item 16]
16. A method according to item 14 or 15, wherein the complexing agent comprises a diamine.
[Item 17]
The diamine is ethylene diamine (H 2 NCH 2 CH 2 NH 2), or is selected from the group consisting of N- methylethylenediamine (H 3 CNHCH 2 CH 2 NH 2), according to any one of Items 14 16 the method of.
[Item 18]
Item 18. The method according to any one of Items 14 to 17, wherein the wet etchant includes ethylenediamine and hydrogen peroxide.
[Item 19]
The method of item 18, wherein the wet etchant further comprises a pH adjuster.
[Item 20]
20. A method according to item 19, wherein the wet etchant has a pH of 6 to 10.
[Item 21]
16. The method according to item 14 or 15, wherein the complexing agent is selected from the group consisting of triamine and tetramine.
[Item 22]
22. A method according to any one of items 14 to 21, wherein the etched copper region has a copper loading portion.
[Item 23]
23. A method according to any one of items 14 to 22, wherein the copper is etched at a rate of at least 1,000 liters / minute.
[Item 24]
24. A method according to any one of items 14 to 23, wherein the etching is performed isotropically.
[Item 25]
25. The method according to any one of items 14 to 24, further comprising stopping the etching step by increasing the pH of the wet etchant.
[Item 26]
26. The method of item 25, wherein raising the pH of the wet etch solution includes adding a basic pH adjuster to the wet etch solution.
[Item 27]
27. A method according to any one of items 14 to 26, wherein the contacting includes spraying the wet etchant onto the rotating substrate.
[Item 28]
28. A method of manufacturing a semi-finished semiconductor substrate including a copper region, comprising the step of etching a copper-containing portion by the method according to any one of items 14 to 27.

Claims (28)

ウェットエッチング用の水溶液を含むウェットエッチング調合物であって、前記水溶液は、
(a)ジアミン、トリアミン、および、テトラミンからなるグループから選ばれる二座配位子、三座配位子、または、四座配位子の錯化剤と、
(b)酸化剤と
を備え、
前記ウェットエッチング用の水溶液のpHは、7から10.5であり、
前記ウェットエッチング調合物は、pHアジャスタをさらに含み、かつ、
前記ウェットエッチング調合物は、銅を1,000Åエッチングする毎に、エッチングされた銅表面の波長480nmで測定され、シリコン表面と相対的なパーセントで示された反射率の低下が15%以下であるように等方性にエッチングすることができる、
ウェットエッチング調合物。

A wet etching formulation comprising an aqueous solution for wet etching, the aqueous solution comprising:
(A) a bidentate, tridentate, or tetradentate complexing agent selected from the group consisting of diamine, triamine, and tetramine;
(B) an oxidizing agent, and
The pH of the aqueous solution for wet etching is 7 to 10.5,
The wet etch formulation further comprises a pH adjuster; and
The wet etching formulation, copper each time 1,000Å etched, is measured at a wavelength 480nm of the etched copper surface, lowering of the reflectance indicated by the relative percent and the silicon surface is not more than 15% Can be isotropically etched,
Wet etching formulation.

前記ウェットエッチング調合物は、少なくとも1,000Å/分のエッチ速度で銅をエッチングすることができる、請求項1に記載のウェットエッチング調合物。   The wet etch formulation of claim 1, wherein the wet etch formulation is capable of etching copper at an etch rate of at least 1,000 liters / minute. 前記ウェットエッチング調合物は、エッチングされた銅領域の表面粗さを増大させずに、基板から少なくとも1,000Åの銅を除去することができる、請求項1または2に記載のウェットエッチング調合物。   The wet etch formulation of claim 1 or 2, wherein the wet etch formulation is capable of removing at least 1,000 Å of copper from the substrate without increasing the surface roughness of the etched copper region. 前記酸化剤は、過酸化水素(H)を含む、請求項1から3の何れか1項に記載のウェットエッチング調合物。 The wet etching formulation according to any one of claims 1 to 3, wherein the oxidizing agent comprises hydrogen peroxide (H 2 O 2 ). 前記錯化剤は、ジアミンを含む、請求項1から4の何れか1項に記載のウェットエッチング調合物。   The wet etching formulation according to any one of claims 1 to 4, wherein the complexing agent comprises a diamine. 前記ジアミンは、エチレンジアミン(HNCHCHNH)、および、N−メチルエチレンジアミン(HCNHCHCHNH)の少なくとも1つである、請求項5に記載のウェットエッチング調合物。 The diamine is ethylene diamine (H 2 NCH 2 CH 2 NH 2), and, N- is at least one of methyl ethylenediamine (H 3 CNHCH 2 CH 2 NH 2), wet etching formulation according to claim 5. 前記錯化剤は、トリアミンを含む、請求項1から4の何れか1項に記載のウェットエッチング調合物。   5. The wet etching formulation according to any one of claims 1 to 4, wherein the complexing agent comprises triamine. 前記トリアミンは、ジエチレントリアミン(HNCHCHNHCHCHNH)である、請求項7に記載のウェットエッチング調合物。 The wet etch formulation of claim 7, wherein the triamine is diethylenetriamine (H 2 NCH 2 CH 2 NHCH 2 CH 2 NH 2 ). 前記錯化剤は、テトラミンを含む、請求項1から4の何れか1項に記載のウェットエッチング調合物。   5. The wet etching formulation according to any one of claims 1 to 4, wherein the complexing agent comprises tetramine. 前記テトラミンは、トリス(2−アミノエチル)アミン(N(CHCHNH)である、請求項9に記載のウェットエッチング調合物。 The tetramine is tris (2-aminoethyl) amine (N (CH 2 CH 2 NH 2) 3), wet etching formulation according to claim 9. 前記水溶液は、エチレンジアミン、および、過酸化水素を含む、請求項1から6の何れか1項に記載のウェットエッチング調合物。   The wet etching composition according to any one of claims 1 to 6, wherein the aqueous solution contains ethylenediamine and hydrogen peroxide. 前記pHアジャスタは、硫酸を含む、請求項1から11の何れか1項に記載のウェットエッチング調合物。 12. The wet etching formulation according to any one of claims 1 to 11 , wherein the pH adjuster comprises sulfuric acid. 前記ウェットエッチング用の水溶液のpHは、8.5から10.5である、請求項1から12の何れか1項に記載のウェットエッチング調合物。13. The wet etching composition according to any one of claims 1 to 12, wherein the pH of the aqueous solution for wet etching is 8.5 to 10.5. 銅領域を含む半製品の半導体基板における銅含有部分をエッチングする方法であって、
(a)銅線および銅積載部分の何れか一方である露出した銅領域を有する前記半製品の半導体基板を収容する段階と、
(b)前記半製品の半導体基板と、pH5から12のウェットエッチ液とを接触させることにより、CMP法でないウェットエッチ法を用いて、前記半製品の半導体基板上の銅を露出した領域からエッチングする段階と
を備え、
前記ウェットエッチ液は、
(i)ジアミン、トリアミン、および、テトラミンからなるグループから選ばれる二座配位子、三座配位子、または、四座配位子の錯化剤と、
(ii)酸化剤と
を含み、
前記ウェットエッチ液は、pHアジャスタをさらに含む、
方法。
A method for etching a copper-containing portion in a semi-finished semiconductor substrate including a copper region,
(A) receiving the semi-finished semiconductor substrate having an exposed copper region that is one of a copper wire and a copper loading portion ;
(B) By contacting the semi-finished semiconductor substrate with a wet etchant having a pH of 5 to 12, using a wet etch method other than the CMP method, from the exposed copper region on the semi-finished semiconductor substrate. An etching step, and
The wet etchant is
(I) a bidentate, tridentate or tetradentate complexing agent selected from the group consisting of diamine, triamine and tetramine;
(Ii) an oxidizing agent and
The wet etchant further includes a pH adjuster,
Method.
前記半導体基板と前記ウェットエッチ液とを接触させることは、前記ウェットエッチ液を回転する基板に吹き付けること、および接触スピンからなるグループから選ばれる、請求項14に記載の方法。15. The method of claim 14, wherein contacting the semiconductor substrate and the wet etchant is selected from the group consisting of spraying the wet etchant onto a rotating substrate and contact spin. 前記錯化剤は、ジアミンを含む、請求項14または15に記載の方法。   The method of claim 14 or 15, wherein the complexing agent comprises a diamine. 前記ジアミンは、エチレンジアミン(HNCHCHNHおよびN−メチルエチレンジアミン(HCNHCHCHNH)からなるグループから選ばれる、請求項14から16の何れか1項に記載の方法。 The diamine is ethylene diamine (H 2 NCH 2 CH 2 NH 2) and is selected from the group consisting of N- methylethylenediamine (H 3 CNHCH 2 CH 2 NH 2), according to any one of claims 14 to 16 Method. 前記ウェットエッチ液は、エチレンジアミン、および、過酸化水素を含む、請求項14から17の何れか1項に記載の方法。   The method according to any one of claims 14 to 17, wherein the wet etchant includes ethylenediamine and hydrogen peroxide. 前記ウェットエッチ液は、8.5から10.5のpHを有する、請求項14から18の何れか1項に記載の方法。 19. A method according to any one of claims 14 to 18, wherein the wet etchant has a pH of 8.5 to 10.5 . 前記錯化剤は、トリアミンおよびテトラミンからなるグループから選ばれる、請求項14または15に記載の方法。   The method according to claim 14 or 15, wherein the complexing agent is selected from the group consisting of triamine and tetramine. エッチングされた前記銅領域は、銅積載部分を有する、請求項14から20の何れか1項に記載の方法。 21. A method according to any one of claims 14 to 20 , wherein the etched copper region has a copper loading portion. 前記銅は、少なくとも1,000Å/分の速度でエッチングされる、請求項14から21の何れか1項に記載の方法。 The method according to any one of claims 14 to 21 , wherein the copper is etched at a rate of at least 1,000 liters / minute. 前記エッチングする段階は、等方的に行われる、請求項14から22の何れか1項に記載の方法。 23. A method according to any one of claims 14 to 22 , wherein the etching is performed isotropically. 前記ウェットエッチ液のpHを上昇させることにより、前記エッチングする段階を停止させる段階をさらに備える、請求項14から23の何れか1項に記載の方法。 The method according to any one of claims 14 to 23 , further comprising stopping the etching step by increasing the pH of the wet etchant. 前記ウェットエッチ液のpHを上昇させることは、前記ウェットエッチ液に塩基性pHアジャスタを添加することを含む、請求項24に記載の方法。 Wherein raising the p H of the wet etch solution comprises adding a basic pH adjuster to the wet etch solution, The method of claim 24. 前記接触させることは、前記ウェットエッチ液を回転する基板に吹き付けることを含む、請求項14から25の何れか1項に記載の方法。 It said contacting comprises spraying the substrate for rotating the wet etching solution, a method according to any one of claims 14 to 25. 前記ウェットエッチ液のpHは、8.5から10である、請求項14から26の何れか1項に記載の方法。27. A method according to any one of claims 14 to 26, wherein the wet etchant has a pH of 8.5 to 10. 請求項14から27の何れか1項に記載の方法により、銅含有部分をエッチングする段階を備える銅領域を含む半製品の半導体基板の製造方法。   28. A method of manufacturing a semi-finished semiconductor substrate comprising a copper region comprising the step of etching a copper-containing portion by the method according to any one of claims 14 to 27.
JP2009207571A 2009-07-29 2009-09-08 Etching formulation for isotropic copper etching Active JP5605535B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009207571A JP5605535B2 (en) 2009-07-29 2009-09-08 Etching formulation for isotropic copper etching

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2009176175 2009-07-29
JP2009176175 2009-07-29
JP2009207571A JP5605535B2 (en) 2009-07-29 2009-09-08 Etching formulation for isotropic copper etching

Publications (3)

Publication Number Publication Date
JP2011049508A JP2011049508A (en) 2011-03-10
JP2011049508A5 JP2011049508A5 (en) 2012-09-06
JP5605535B2 true JP5605535B2 (en) 2014-10-15

Family

ID=43835516

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009207571A Active JP5605535B2 (en) 2009-07-29 2009-09-08 Etching formulation for isotropic copper etching

Country Status (1)

Country Link
JP (1) JP5605535B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6861566B2 (en) * 2017-04-07 2021-04-21 東京エレクトロン株式会社 Board processing method and board processing equipment

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3857474B2 (en) * 1999-10-08 2006-12-13 株式会社東芝 Aqueous dispersion for chemical mechanical polishing
US6394114B1 (en) * 1999-11-22 2002-05-28 Chartered Semiconductor Manufacturing Ltd. Method for stripping copper in damascene interconnects
JP4434632B2 (en) * 2003-06-10 2010-03-17 三菱瓦斯化学株式会社 Method for manufacturing printed wiring board
JP4736445B2 (en) * 2004-02-09 2011-07-27 三菱化学株式会社 Substrate cleaning solution for semiconductor device and cleaning method
JP2010232486A (en) * 2009-03-27 2010-10-14 Nagase Chemtex Corp Composition for etching

Also Published As

Publication number Publication date
JP2011049508A (en) 2011-03-10

Similar Documents

Publication Publication Date Title
US9447505B2 (en) Wet etching methods for copper removal and planarization in semiconductor processing
US6375693B1 (en) Chemical-mechanical planarization of barriers or liners for copper metallurgy
KR100715073B1 (en) Planarized copper cleaning for reduced defects
US20140017893A1 (en) Cmp polishing liquid and method for polishing substrate using the same
EP2539411B1 (en) Chemical-mechanical planarization of substrates containing copper, ruthenium, and tantalum layers
TWI605156B (en) Etching solution, etching method using the same and method for fabricating semiconductor device
EP2268761B1 (en) Compositions for polishing aluminum/copper and titanium in damascene structures
TW201723112A (en) Metal chemical mechanical polishing slurry
KR101126509B1 (en) Etching formulations for isotropic copper etching
EP1526163B1 (en) Method of second step polishing in copper CMP with a polishing fluid containing no oxidizing agent
CN110616044B (en) Tungsten chemical mechanical polishing composition
EP1572820A1 (en) Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
JP2018506609A (en) Use of chemical mechanical polishing (CMP) compositions for polishing substrates containing cobalt and / or cobalt alloys
US20040259366A1 (en) Method and composition for the chemical-vibrational-mechanical planarization of copper
JP5605535B2 (en) Etching formulation for isotropic copper etching
CN101988198A (en) Etching formulation for etching of isotropic copper
TW201104019A (en) Etching formulations for isotropic copper etching

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120719

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120719

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130315

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130709

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140422

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140701

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140729

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140812

R150 Certificate of patent or registration of utility model

Ref document number: 5605535

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250