JP5526988B2 - Substrate processing apparatus and substrate processing system - Google Patents

Substrate processing apparatus and substrate processing system Download PDF

Info

Publication number
JP5526988B2
JP5526988B2 JP2010104513A JP2010104513A JP5526988B2 JP 5526988 B2 JP5526988 B2 JP 5526988B2 JP 2010104513 A JP2010104513 A JP 2010104513A JP 2010104513 A JP2010104513 A JP 2010104513A JP 5526988 B2 JP5526988 B2 JP 5526988B2
Authority
JP
Japan
Prior art keywords
substrate processing
chamber
substrate
row
lid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2010104513A
Other languages
Japanese (ja)
Other versions
JP2011233788A (en
Inventor
芳彦 佐々木
誠治 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2010104513A priority Critical patent/JP5526988B2/en
Priority to TW100114634A priority patent/TW201212147A/en
Priority to KR1020110039339A priority patent/KR101289971B1/en
Priority to CN201110114908.1A priority patent/CN102243987B/en
Publication of JP2011233788A publication Critical patent/JP2011233788A/en
Application granted granted Critical
Publication of JP5526988B2 publication Critical patent/JP5526988B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は、複数の真空搬送室に複数の処理室を接続した基板処理装置及びこの基板処理装置を複数台有する基板処理システムに関する。   The present invention relates to a substrate processing apparatus in which a plurality of processing chambers are connected to a plurality of vacuum transfer chambers, and a substrate processing system having a plurality of such substrate processing apparatuses.

フラットパネルディスプレイ(FPD;Flat Panel Display)に使用されるガラス基板や半導体デバイスが形成される半導体基板(半導体ウエハ)を被処理体として、エッチング処理、CVD(Chemical Vapor Deposition)などによる成膜処理やアッシング処理などの処理を行う基板処理装置においては、スループットを向上させることなどを目的として、共通の真空搬送室に処理室を複数台接続したいわゆるマルチチャンバー方式の基板処理装置が知られている。   A glass substrate used for a flat panel display (FPD) or a semiconductor substrate (semiconductor wafer) on which semiconductor devices are formed is used as an object to be processed, such as etching, CVD (Chemical Vapor Deposition), etc. As a substrate processing apparatus that performs processing such as ashing processing, a so-called multi-chamber type substrate processing apparatus in which a plurality of processing chambers are connected to a common vacuum transfer chamber is known for the purpose of improving throughput.

図9には、例えば平面形状が四角形である真空搬送室4の側面に、3つの処理室5a〜5cと、予備真空室であるロードロック室3とを接続したマルチチャンバー方式の基板処理装置100の一例が記載されている。ロードロック室3の手前側には、ロードロック室3と外部との間での基板Sの搬入出を実行する大気ローダー2が配置されている。このように複数の処理室5a〜5cを備えた基板処理装置100は、例えば一つの処理室5aについてメンテナンスを行う必要が発生した場合であっても、残る処理室5b、5cにて基板Sの処理を継続することができる。   In FIG. 9, for example, a multi-chamber type substrate processing apparatus 100 in which three processing chambers 5 a to 5 c and a load lock chamber 3, which is a preliminary vacuum chamber, are connected to a side surface of a vacuum transfer chamber 4 having a square planar shape. An example is described. An atmospheric loader 2 that loads and unloads the substrate S between the load lock chamber 3 and the outside is disposed on the front side of the load lock chamber 3. As described above, the substrate processing apparatus 100 including the plurality of processing chambers 5a to 5c has the substrate S in the remaining processing chambers 5b and 5c even when, for example, maintenance needs to be performed on one processing chamber 5a. Processing can continue.

例えば筐体形状の処理室本体の上に、この処理室本体の上面側に向けて形成された開口部を塞ぐ蓋体を設けた処理室5a〜5cをメンテナンスする場合について考える。蓋体には、エッチングガスや成膜ガスなどの処理ガスを処理室5a〜5c内に供給するガスシャワーヘッドなどが設けられている場合があり、こうした蓋体は処理室本体から取り外した際に天地を反転させ、ガスシャワーヘッドを上面に向けるとメンテナンス時の作業性が向上する。   For example, consider the case where maintenance is performed on the processing chambers 5a to 5c provided with a lid that closes an opening formed on the upper surface side of the processing chamber main body on the housing-shaped processing chamber main body. The lid may be provided with a gas shower head or the like for supplying a processing gas such as an etching gas or a film forming gas into the processing chambers 5a to 5c. When such a lid is removed from the processing chamber body, Inverting the top and bottom and directing the gas shower head to the upper surface improves workability during maintenance.

例えば特許文献1には、各処理室5a〜5cの側方側に、蓋体の受け渡しなどを行うメンテナンス領域6を設け、このメンテナンス領域6に向けて処理室本体から取り外された蓋体を搬送する際に、当該蓋体を反転させるガイドレール機構を備えた基板処理装置が記載されている。   For example, in Patent Document 1, a maintenance area 6 for transferring a lid is provided on the side of each of the processing chambers 5a to 5c, and the lid removed from the processing chamber main body is conveyed toward the maintenance area 6. A substrate processing apparatus provided with a guide rail mechanism for reversing the lid when performing the process is described.

このほか、図9に示したタイプの基板処理装置100では、真空搬送室4はその周囲をロードロック室3や処理室5a〜5cによって囲まれているため、真空搬送室4内に設けられた基板搬送機構41のメンテナンスを行う場合には、真空搬送室4の天井面を取り外し、例えばクレーンなどによって基板搬送機構41を持ち上げて搬出する必要がある。このため工場内にクレーンを設ける必要があり、大掛かりな設備が必要となると共に、クレーンが届く領域に基板処理装置100を配置しなければならず、装置レイアウト上の制約も大きい。   In addition, in the substrate processing apparatus 100 of the type shown in FIG. 9, the vacuum transfer chamber 4 is provided in the vacuum transfer chamber 4 because the periphery thereof is surrounded by the load lock chamber 3 and the processing chambers 5 a to 5 c. When performing maintenance of the substrate transfer mechanism 41, it is necessary to remove the ceiling surface of the vacuum transfer chamber 4 and lift the substrate transfer mechanism 41 with a crane or the like, for example, and carry it out. For this reason, it is necessary to provide a crane in the factory, and a large-scale facility is required. In addition, the substrate processing apparatus 100 must be disposed in an area where the crane can reach, and there are great restrictions on the apparatus layout.

ところでマルチチャンバー方式の基板処理装置においては、より高いスループットの実現が求められており、こうした要求に応える一つの手法として真空搬送室に接続する処理室の設置台数を増やすことが考えられる。しかしながら基板処理装置は省スペース化が求められている一方、例えば図9に示した基板処理装置100に処理室を増設する場合には、メンテナンス性を損なうことなく如何にして新たな処理室を配置するかが大きな課題となる。   By the way, in the multi-chamber type substrate processing apparatus, it is required to realize higher throughput, and it is conceivable to increase the number of processing chambers connected to the vacuum transfer chamber as one method to meet such demand. However, while the substrate processing apparatus is required to save space, for example, when a processing chamber is added to the substrate processing apparatus 100 shown in FIG. 9, a new processing chamber is arranged without impairing maintainability. It will be a big issue.

特開2007−67218号公報:段落0024、図1、図4JP 2007-67218 A: Paragraph 0024, FIG. 1 and FIG.

本発明はこのような事情に鑑みてなされたものであり、その目的は、メンテナンス性が高く、配置スペース(フットプリント)の小さな基板処理装置及びこの基板処理装置を備えた基板処理システムを提供することにある。   The present invention has been made in view of such circumstances, and an object of the present invention is to provide a substrate processing apparatus having high maintainability and a small arrangement space (footprint), and a substrate processing system including the substrate processing apparatus. There is.

本発明に係る基板処理装置は、被処理体である基板を搬送する基板搬送機構を具備し、平面形状が五角形以上の多角形となるように複数の側面に囲まれた真空搬送室と、
前記真空搬送室の複数の側面のうち、外側にメンテナンス領域を備えた側面を除く側面に接続され、上部に蓋体を有する複数の処理室と、
前記処理室と前記メンテナンス領域との間にて、前記蓋体を搬送する蓋体搬送機構と、を備え
前記メンテナンス領域を外側に備えた側面と前記処理室が接続された側面とを除く前記真空搬送室の側面に、前記基板が置かれる雰囲気を、常圧雰囲気と真空雰囲気との間で切り替える予備真空室が接続され、前記予備真空室が接続された側面と前記メンテナンス領域を外側に備えた側面とが、対向していることを特徴とする。
A substrate processing apparatus according to the present invention includes a substrate transfer mechanism for transferring a substrate that is an object to be processed, and a vacuum transfer chamber surrounded by a plurality of side surfaces so that a planar shape is a polygon of a pentagon or more,
Among the plurality of side surfaces of the vacuum transfer chamber, connected to the side surface except for the side surface provided with a maintenance area on the outside, a plurality of processing chambers having a lid on the top,
A lid transport mechanism that transports the lid between the processing chamber and the maintenance area ;
Preliminary vacuum that switches the atmosphere in which the substrate is placed between the atmospheric pressure atmosphere and the vacuum atmosphere on the side surface of the vacuum transfer chamber excluding the side surface provided with the maintenance area outside and the side surface to which the processing chamber is connected The side face to which the chamber is connected, the side face to which the preliminary vacuum chamber is connected, and the side face having the maintenance area outside are opposed to each other .

前記基板処理装置は以下の特徴を備えていてもよい。
(a)前記外側にメンテナンス領域を備えた側面には、前記基板搬送機構を搬入出するための開口部と、この開口部を開閉するための開閉部材と、が設けられていること。
)前記真空搬送室は、三つ以上の部分に分割可能であること。
The substrate processing apparatus may have the following features.
(A) on the side surface provided with a maintenance area before Kisotogawa, that an opening for loading and unloading the substrate transfer mechanism, and the opening and closing member for opening and closing the opening, is provided.
( B ) The vacuum transfer chamber can be divided into three or more parts.

また他の発明に係る基板処理システムは、前記基板処理装置を複数台有する基板処理システムであって、
前記予備真空室を同じ方向に向けて、複数台の前記基板処理装置を左右方向に配置してなる第1の列と、
前記予備真空室を、前記第1の列の予備真空室の向きとは反対の向きとし、かつ外側にメンテナンス領域を備えた真空搬送室の側面が、前記第1の列のメンテナンス領域を備えた真空搬送室の側面と対向するように、複数台の前記基板処理装置を前記第1の列と平行に配置してなる第2の列とを備え、
前記第1の列と第2の列との間には、前記メンテナンス領域を結ぶ搬送路を構成することを特徴とする。
The substrate processing system according to another aspect of the present invention, the pre Kimoto plate processing apparatus comprising a substrate processing system having a plurality,
A first row in which a plurality of the substrate processing apparatuses are arranged in the left-right direction with the preliminary vacuum chamber facing in the same direction;
The preliminary vacuum chamber has a direction opposite to the direction of the preliminary vacuum chamber of the first row, and a side surface of the vacuum transfer chamber provided with a maintenance region on the outside has the maintenance region of the first row. A second row in which a plurality of the substrate processing apparatuses are arranged in parallel with the first row so as to face the side surface of the vacuum transfer chamber;
A conveyance path connecting the maintenance areas is formed between the first row and the second row.

前記基板処理システムは以下の特徴を備えていてもよい。
前記1の列における互いに隣り合う基板処理装置の間隔及び、第2の列における互いに隣り合う基板処理装置の間隔は、前記搬送路よりも狭いこと。
The substrate processing system may have the following features.
The interval between adjacent substrate processing apparatuses in the first row and the interval between adjacent substrate processing apparatuses in the second row are narrower than the transport path.

本発明によれば、平面形状が五角形以上の多角形の真空搬送室の側面に複数の処理室を接続し、各処理室の蓋体の搬送先などとなるメンテナンス領域を、複数の処理室間で共有化しているので、基板処理装置の省スペース化が図られる。そして各処理室からメンテナンス領域へと処理室の蓋体を搬送する蓋体搬送機構を備えているので、メンテナンス領域を共有化しても簡便に処理室を開放することができる。   According to the present invention, a plurality of processing chambers are connected to the side surface of a polygonal vacuum transfer chamber having a pentagonal shape or more in a planar shape, and a maintenance area serving as a transfer destination of a lid of each processing chamber is provided between the plurality of processing chambers. Therefore, the space for the substrate processing apparatus can be saved. And since the cover body conveyance mechanism which conveys the cover body of a process chamber from each process chamber to a maintenance area | region is provided, even if a maintenance area | region is shared, a process chamber can be open | released simply.

実施の形態に係る基板処理装置の外観構成を示す斜視図である。It is a perspective view which shows the external appearance structure of the substrate processing apparatus which concerns on embodiment. 前記基板処理装置の平面図である。It is a top view of the substrate processing apparatus. 前記基板処理装置に設けられている処理室の蓋体を取り外す動作を示す第1の説明図である。It is a 1st explanatory view showing the operation which removes the lid of the processing chamber provided in the substrate processing device. 前記蓋体を取り外す動作を示す第2の説明図である。It is the 2nd explanatory view showing operation which removes the above-mentioned lid. 前記基板処理装置の真空搬送室に設けられている基板搬送機構を取り外す動作を示す第1の説明図である。It is a 1st explanatory view showing operation which removes a substrate conveyance mechanism provided in a vacuum conveyance chamber of the substrate processing device. 前記基板搬送機構を取り外す動作を示す第2の説明図である。It is the 2nd explanatory view showing the operation which removes the substrate conveyance mechanism. 実施の形態及び従来例の基板処理装置を複数台有する基板処理システムの例を示す平面図である。It is a top view which shows the example of the substrate processing system which has multiple board | substrate processing apparatuses of embodiment and a prior art example. 真空搬送室の平面形状が扁平な六角形となっている基板処理装置の例を示す平面図である。It is a top view which shows the example of the substrate processing apparatus whose planar shape of a vacuum conveyance chamber is a flat hexagon. 従来の基板処理装置の一例を示す平面図である。It is a top view which shows an example of the conventional substrate processing apparatus.

本発明の実施の形態に係るマルチチャンバー型の基板処理装置の一例として、FPD用のガラス基板(以下、単に基板という)に対して、真空処理であるエッチング処理を実行する装置の全体構成について、図1、図2を参照しながら説明する。図1は基板処理装置1の外観構成を示す斜視図、図2はその内部構成を示す平面図である。   As an example of a multi-chamber type substrate processing apparatus according to an embodiment of the present invention, an overall configuration of an apparatus that performs an etching process, which is a vacuum process, on an FPD glass substrate (hereinafter simply referred to as a substrate). This will be described with reference to FIGS. FIG. 1 is a perspective view showing the external configuration of the substrate processing apparatus 1, and FIG. 2 is a plan view showing the internal configuration thereof.

本実施の形態に係る基板処理装置1は、外部から搬送されてきた多数枚の基板Sを収容するキャリアC1、C2とロードロック室3との間で基板Sの搬入出を実行する大気ローダー2と、大気ローダー2によって搬入されてきた基板Sが置かれる雰囲気を、常圧雰囲気と真空雰囲気との間で切り替える予備真空室であるロードロック室3と処理室5a〜5dとの間を真空雰囲気下で基板Sを搬送する真空搬送室4とを設けた構造となっている。真空搬送室4には基板Sに対するエッチング処理が行われる4つの処理室5a〜5dが接続されている。   The substrate processing apparatus 1 according to the present embodiment includes an atmospheric loader 2 that loads and unloads a substrate S between carriers C1 and C2 that accommodate a large number of substrates S that have been transported from outside and the load lock chamber 3. And a vacuum atmosphere between the load lock chamber 3 and the processing chambers 5a to 5d, which are preliminary vacuum chambers for switching the atmosphere in which the substrate S carried by the atmospheric loader 2 is placed between the normal pressure atmosphere and the vacuum atmosphere. A vacuum transfer chamber 4 for transferring the substrate S is provided below. Connected to the vacuum transfer chamber 4 are four processing chambers 5 a to 5 d for performing an etching process on the substrate S.

大気ローダー2は、キャリアC1、C2が載置される2つのキャリア載置部201、202と、これらのキャリアC1、C2とロードロック室3との間での基板Sの搬送を実行する大気側基板搬送機構23と、を備えている。各キャリア載置部201、202はキャリアC1、C2を昇降させるための昇降機構21を備えており、本例では一方側のキャリア載置部201には処理前の基板Sを収容したキャリアC1が載置され、他方側のキャリア載置部201には処理後の基板Sを収容するキャリアC2が載置される。   The atmospheric loader 2 is configured to perform transportation of the substrate S between the two carrier mounting portions 201 and 202 on which the carriers C1 and C2 are mounted and the carriers C1 and C2 and the load lock chamber 3. And a substrate transfer mechanism 23. Each of the carrier mounting portions 201 and 202 includes a lifting mechanism 21 for lifting and lowering the carriers C1 and C2. In this example, the carrier mounting portion 201 on one side has a carrier C1 containing a substrate S before processing. The carrier C2 that accommodates the processed substrate S is placed on the carrier placement portion 201 on the other side.

キャリア載置部201、202は、大気雰囲気下で基板Sの搬送を実行する大気側基板搬送機構23を挟んで設けられている。大気側基板搬送機構23は、例えば上下2段に連設された搬送アーム231と、これら搬送アーム231を進退自在、及び回転自在に支持する基台部232とを備えている。図1中、22は大気側基板搬送機構23を支持する支持台である。   The carrier mounting portions 201 and 202 are provided with an air-side substrate transport mechanism 23 that transports the substrate S in an air atmosphere. The atmosphere-side substrate transport mechanism 23 includes, for example, a transport arm 231 provided in two upper and lower stages, and a base portion 232 that supports the transport arm 231 so as to be movable forward and backward. In FIG. 1, reference numeral 22 denotes a support base that supports the atmosphere side substrate transport mechanism 23.

ロードロック室3は、大気ローダー2と真空搬送室4との間を搬送される基板Sを一旦収容する真空容器であり、本例では2つのロードロック室3が上下に積み重ねられている。これら2つのロードロック室3の構成はほぼ同様であり、例えば図2の平面図に示すように各ロードロック室3は基板Sを支持するバッファラック32と、基板Sの載置位置をガイドするポジショナー31とを備えている。また各ロードロック室3は不図示の排気手段に接続された排気ラインに接続されていて、各々の内部雰囲気を常圧雰囲気と真空雰囲気との間で切り替えることができる。   The load lock chamber 3 is a vacuum container that temporarily stores the substrate S that is transported between the atmospheric loader 2 and the vacuum transport chamber 4, and in this example, the two load lock chambers 3 are stacked one above the other. The configuration of these two load lock chambers 3 is substantially the same. For example, as shown in the plan view of FIG. 2, each load lock chamber 3 guides the buffer rack 32 that supports the substrate S and the placement position of the substrate S. And a positioner 31. Each load lock chamber 3 is connected to an exhaust line connected to an exhaust means (not shown), and each internal atmosphere can be switched between a normal pressure atmosphere and a vacuum atmosphere.

真空搬送室4は、各ロードロック室3と4つの処理室5a〜5dとの間を基板Sが搬送される空間であり、例えば不図示の排気手段に接続された排気管を介して内部雰囲気が排気されており、常時真空雰囲気に維持されている。真空搬送室4内には、昇降自在、及び回転軸周りに回転自在、また基板Sを保持するピック412が進退自在に構成された基板搬送機構41が設けられている。   The vacuum transfer chamber 4 is a space in which the substrate S is transferred between each load lock chamber 3 and the four processing chambers 5a to 5d. For example, the internal atmosphere is provided via an exhaust pipe connected to an exhaust means (not shown). Has been evacuated and is always maintained in a vacuum atmosphere. In the vacuum transfer chamber 4, there is provided a substrate transfer mechanism 41 configured to be movable up and down, rotatable around a rotation axis, and capable of advancing and retracting a pick 412 that holds the substrate S.

図6(a)に示すように、基板搬送機構41の下部には当該基板搬送機構41を駆動するための駆動機構411が設けられている。基板搬送機構41の本体が配置されている空間と、駆動機構411が配置されている空間とは、床板44によって各々搬送空間401と機械室402とに上下に区画されている。本例では床板44の上方側の搬送空間401は既述のように真空雰囲気に維持される一方、床板44の下方側の機械室402は大気雰囲気となっている。基板搬送機構41及び駆動機構411はメンテナンス時などにおいては上下に切り離して搬送空間401及び機械室402から別々に取り出すことができる。また図6(a)中、413は床板44から基板搬送機構41を突没させるための開口部をシールして搬送空間401の真空状態を維持するためのベローズである。   As shown in FIG. 6A, a drive mechanism 411 for driving the substrate transport mechanism 41 is provided below the substrate transport mechanism 41. The space in which the main body of the substrate transport mechanism 41 is disposed and the space in which the drive mechanism 411 is disposed are vertically divided into a transport space 401 and a machine room 402 by a floor plate 44, respectively. In this example, the conveyance space 401 above the floor plate 44 is maintained in a vacuum atmosphere as described above, while the machine room 402 below the floor plate 44 is in an atmospheric atmosphere. The substrate transport mechanism 41 and the drive mechanism 411 can be separated from the transport space 401 and the machine room 402 separately during the maintenance. In FIG. 6A, reference numeral 413 denotes a bellows for sealing the opening for projecting and retracting the substrate transport mechanism 41 from the floor plate 44 to maintain the vacuum state of the transport space 401.

図2の平面図に示すように、本例に係る真空搬送室4は平面形状がほぼ正六角形であり、当該真空搬送室4の1つの側面には既述のロードロック室3が接続されている。そしてロードロック室3が接続された側面、及び当該一の側面に対向する側面を除く、残り4つの側面には処理室5a〜5bが接続されている。この結果、図2に示すようにこれらロードロック室3や4つの処理室5a〜5bは、六角形の真空搬送室4を中心として放射状に配置されることになる。   As shown in the plan view of FIG. 2, the vacuum transfer chamber 4 according to the present example has a substantially regular hexagonal plan shape, and the load lock chamber 3 described above is connected to one side surface of the vacuum transfer chamber 4. Yes. Processing chambers 5a to 5b are connected to the remaining four side surfaces except for the side surface to which the load lock chamber 3 is connected and the side surface facing the one side surface. As a result, as shown in FIG. 2, the load lock chamber 3 and the four processing chambers 5 a to 5 b are arranged radially around the hexagonal vacuum transfer chamber 4.

処理室5a〜5dは、その内部において基板Sに対してエッチング処理を施すための直方体形状の処理容器であり、本実施の形態の真空処理室に相当する。本例において処理室5a〜5dは、例えば一辺が1500mm、他辺が1800mm程度の大きさの角型の基板Sを処理可能なように、横断平面の一辺が2.5m、他辺が2.2m程度の大きさに構成されている。   The processing chambers 5a to 5d are rectangular parallelepiped processing containers for etching the substrate S therein, and correspond to the vacuum processing chamber of the present embodiment. In this example, the processing chambers 5a to 5d have, for example, a side of a transverse plane of 2.5 m and a side of 2.5 so that a square substrate S having a size of about 1500 mm on one side and about 1800 mm on the other side can be processed. The size is about 2 m.

各処理室5a〜5dの内部には、基板Sが載置されると共に、下部電極をなす載置台や、前記載置台と上下に対向するように設けられ、処理室5a〜5d内に例えば塩素ガスなどのエッチングガスを供給するガス供給部を構成すると共に、上部電極をなすガスシャワーヘッドなどが設けられている。そして例えば載置台側に高周波電力を印加することにより、処理室5a〜5d内に供給されたエッチングガスをプラズマ化し、生成した活性種により基板Sのエッチングが実行される。図1、図2においては図示の便宜上、これら載置台及びガス供給部の記載は省略してある。   In each of the processing chambers 5a to 5d, a substrate S is mounted, and is provided so as to face the mounting table forming the lower electrode and the mounting table in the vertical direction. For example, chlorine is contained in the processing chambers 5a to 5d. A gas supply unit that supplies an etching gas such as a gas is provided, and a gas shower head that forms an upper electrode is provided. For example, by applying high-frequency power to the mounting table side, the etching gas supplied into the processing chambers 5a to 5d is turned into plasma, and etching of the substrate S is performed by the generated active species. In FIG. 1 and FIG. 2, the mounting table and the gas supply unit are not shown for convenience of illustration.

また大気ローダー2からロードロック室3内へと基板Sが搬入出される開口部、ロードロック室3と真空搬送室4との間、真空搬送室4と各処理室5a〜5dとの間には、これらを気密にシールし、かつ開閉可能に構成されたゲートバルブG1〜G3が各々介設されている。   Further, an opening through which the substrate S is carried into and out of the load lock chamber 3 from the atmospheric loader 2, between the load lock chamber 3 and the vacuum transfer chamber 4, and between the vacuum transfer chamber 4 and each of the processing chambers 5a to 5d. These are provided with gate valves G1 to G3 that are hermetically sealed and can be opened and closed.

そして図1に示すように本実施の形態に係る各処理室5a〜5dは、エッチング処理が行われる空間を形成し、その上面側が開口している処理室本体51と、この処理室本体51の上に設けられ当該処理室本体51の開口部を覆うと共に、例えば既述のガスシャワーヘッドなどが形成された蓋体52と、に上下に分割することができる。この結果、背景技術にて説明したように、ガスシャワーヘッドなどのメンテナンス時には、蓋体52を処理室本体51から取り外すことができる。   As shown in FIG. 1, each of the processing chambers 5 a to 5 d according to the present embodiment forms a space in which an etching process is performed, and a processing chamber main body 51 whose upper surface side is open, and the processing chamber main body 51. The upper portion of the processing chamber main body 51 is provided on the top and covers the opening of the processing chamber main body 51, and can be divided into a cover body 52 on which the gas shower head described above is formed, for example. As a result, as described in the background art, the lid 52 can be removed from the processing chamber main body 51 during maintenance of the gas shower head or the like.

以上に説明した基板処理装置1は、例えば真空搬送室4から搬出された基板搬送機構41や駆動機構411、各処理室5a〜5dから取り外された蓋体52をメンテナンスする際に利用できる共通のメンテナンス領域6を備えている。さらに基板処理装置1は各処理室5a〜5dから取り外された蓋体52をメンテナンス領域6へ向けて搬送するための蓋体搬送機構7を備えている。以下、メンテナンス領域6の配置位置や蓋体搬送機構7の構成について説明する。   The substrate processing apparatus 1 described above can be used, for example, when maintaining the substrate transport mechanism 41 and the drive mechanism 411 transported from the vacuum transport chamber 4 and the lid 52 removed from the processing chambers 5a to 5d. A maintenance area 6 is provided. Further, the substrate processing apparatus 1 includes a lid transport mechanism 7 for transporting the lid 52 removed from the processing chambers 5 a to 5 d toward the maintenance area 6. Hereinafter, the arrangement position of the maintenance area 6 and the configuration of the lid transport mechanism 7 will be described.

図2に示すように、本例に係る基板処理装置1では、平面形状が六角形に形成された真空搬送室4の6つの側面のうち、ロードロック室3が接続されている側面と対向する側面にはロードロック室3や処理室5a〜5dが接続されておらず、この側面の外方側にメンテナンス領域6を確保できるようになっている。この側面をメンテナンス面と呼ぶと、メンテナンス領域6は当該メンテナンス面の外方側に、当該メンテナンス面に臨む位置に形成されている。言い替えると、メンテナンス面は、外側にメンテナンス領域6を備えた側面であるといえる。そして、このメンテナンス領域6も他のロードロック室3、処理室5a〜5dと同様に、真空搬送室4を中心として放射状に配置されていることになる。   As shown in FIG. 2, in the substrate processing apparatus 1 according to the present example, among the six side surfaces of the vacuum transfer chamber 4 having a hexagonal plan shape, the side surface to which the load lock chamber 3 is connected is opposed. The load lock chamber 3 and the processing chambers 5a to 5d are not connected to the side surface, and the maintenance area 6 can be secured on the outer side of the side surface. When this side surface is called a maintenance surface, the maintenance area 6 is formed on the outer side of the maintenance surface at a position facing the maintenance surface. In other words, it can be said that the maintenance surface is a side surface having the maintenance region 6 on the outside. The maintenance area 6 is also arranged radially with the vacuum transfer chamber 4 as the center, like the other load lock chambers 3 and the processing chambers 5a to 5d.

メンテナンス領域6には、当該メンテナンス領域6に搬送されてきた蓋体52の受け渡しを行うことが可能な程度の面積が確保され、蓋体52は専用の搬送台である蓋体搬送治具61に受け渡される。図2、図4を参照しながら蓋体搬送治具61の構成について簡単に説明する。蓋体搬送治具61は蓋体52の外周側底面部を保持する枠体部611と、この枠体部611の対向する二辺の中央部を水平軸周りに回転可能に支持する回転支持部613と、この回転支持部613を介して枠体部611を所定の高さ位置に保持する支柱部材612と、この支柱部材612の下端に設けられた台車部614と、を備えている。   The maintenance area 6 has an area that allows the lid body 52 that has been transported to the maintenance area 6 to be delivered. The lid body 52 is attached to a lid body transporting jig 61 that is a dedicated transport base. Delivered. The configuration of the lid transport jig 61 will be briefly described with reference to FIGS. The lid transport jig 61 is a frame body portion 611 that holds the outer peripheral side bottom surface portion of the lid body 52, and a rotation support portion that rotatably supports the center portions of two opposite sides of the frame body portion 611 around a horizontal axis. 613, a column member 612 that holds the frame body portion 611 at a predetermined height position via the rotation support unit 613, and a carriage unit 614 provided at the lower end of the column member 612.

蓋体52の側周面には、前記枠体部611上に載置される不図示のブラケットを備えており、このブラケットにはボルト孔が設けられている。また枠体部611側にもこのブラケットに対応する位置にボルト孔が設けられており、これらブラケットと枠体部611をボルトで締結することにより、蓋体52は枠体部611上に固定して保持される。また、回転支持部613には、不図示の回転ポジショナーが設けられており、蓋体52を保持した枠体部611を180度回転させて、蓋体52の天地を反転させることにより、ガスシャワーヘッドを上面側へ向けることが可能であり、これによりメンテナンス時の作業性を高めている。   A bracket (not shown) placed on the frame body portion 611 is provided on the side peripheral surface of the lid body 52, and a bolt hole is provided in the bracket. Bolt holes are also provided at positions corresponding to the brackets on the frame body 611 side, and the lid 52 is fixed on the frame body 611 by fastening the brackets and the frame body 611 with bolts. Held. In addition, the rotation supporter 613 is provided with a rotation positioner (not shown), and the frame body 611 holding the lid 52 is rotated by 180 degrees to reverse the top and bottom of the lid 52 so that the gas shower The head can be directed to the upper surface side, thereby improving workability during maintenance.

次いで蓋体搬送機構7の構成について説明する。蓋体搬送機構7はメンテナンス領域6内に配置された前記蓋体搬送治具61と、各処理室5a〜5dとの間で蓋体52を搬送する役割を果たす。図1、図3、図4に示すように蓋体搬送機構7は、真空搬送室4の天井板の上に設けられ、当該天井板上を垂直軸周りに回転する回転テーブル721と、この回転テーブル721上に固定され、当該回転テーブル721の径方向、即ち、中心位置の真空搬送室4から各処理室5a〜5dが設けられている方向へ向けて伸び出すように設けられた支持アーム71と、この支持アーム71の基端側に設けられ、前記回転テーブル721を回転させることにより支持アーム71を回転移動させるための駆動機構73と、前記支持アーム71の先端側に設けられ、蓋体52を保持するためのチャック部741と、を備えている。   Next, the configuration of the lid transport mechanism 7 will be described. The lid transport mechanism 7 plays a role of transporting the lid 52 between the lid transport jig 61 disposed in the maintenance area 6 and the processing chambers 5a to 5d. As shown in FIGS. 1, 3, and 4, the lid transport mechanism 7 is provided on the ceiling plate of the vacuum transfer chamber 4, and rotates on the ceiling plate about a vertical axis. A support arm 71 fixed on the table 721 and extending so as to extend in the radial direction of the rotary table 721, that is, in the direction in which the processing chambers 5a to 5d are provided from the vacuum transfer chamber 4 at the center position. And a drive mechanism 73 for rotating the support arm 71 by rotating the rotary table 721 and a distal end side of the support arm 71. And a chuck portion 741 for holding 52.

支持アーム71は、例えば連結部材711によって連結された2枚の長い梁板により構成され、その先端側は真空搬送室4の周囲に連結された処理室5a〜5dへ向けて伸びだす一方、基端側は回転テーブル721を直径方向に横断するように当該回転テーブル721上に固定されている。図1、図4に示すようにチャック部741は、下端部がL字に折れ曲がった2つの鉤状の部材であり、これらの折れ曲がり部分を互いに対向させるように、支持アーム71が伸びる径方向内側位置と、外側位置とに配置されている。   The support arm 71 is composed of, for example, two long beam plates connected by a connecting member 711, and the tip side of the support arm 71 extends toward the processing chambers 5 a to 5 d connected around the vacuum transfer chamber 4. The end side is fixed on the turntable 721 so as to cross the turntable 721 in the diameter direction. As shown in FIGS. 1 and 4, the chuck portion 741 is two hook-shaped members whose lower end portions are bent in an L shape, and the support arm 71 extends radially inward so that these bent portions face each other. It is arrange | positioned in a position and an outer side position.

一方で各処理室5a〜5dの蓋体52の上面には逆L字に折れ曲がった2つの鉤状の部材からなる把手部53が設けられており、把手部53はこれらの折れ曲がり部分を互いに反対の方向に向けて配置されている。チャック部741は昇降機構742によって昇降自在に構成されており、チャック部741側の折れ曲がり部分と蓋体52の把手部53側の折れ曲がり部分とを互いに係合させた状態でチャック部741を上昇させることにより、他の処理室5a〜5dと干渉しない高さ位置まで蓋体52を持ち上げることができる。また支持アーム71は先端部に蓋体52を保持した際に剛性が保てるように、基端側が太く、先端側が細く形成されている。   On the other hand, a handle portion 53 made of two hook-shaped members bent in an inverted L shape is provided on the upper surface of the lid 52 of each processing chamber 5a to 5d, and the handle portion 53 has these bent portions opposite to each other. It is arranged toward the direction. The chuck portion 741 is configured to be movable up and down by an elevating mechanism 742, and raises the chuck portion 741 in a state where the bent portion on the chuck portion 741 side and the bent portion on the handle portion 53 side of the lid body 52 are engaged with each other. Thus, the lid body 52 can be lifted to a height position that does not interfere with the other processing chambers 5a to 5d. Further, the support arm 71 is formed so that the proximal end side is thick and the distal end side is thin so that rigidity can be maintained when the lid body 52 is held at the distal end portion.

図1に示すように、回転テーブル721の周囲には輪環状の歯車722が真空搬送室4の天板上に固定されている。一方、支持アーム71の一方側の梁板には、小型の歯車731を備えた駆動機構73が固定されている。輪環状の歯車722と駆動機構73側の歯車731とを歯合させて駆動機構73の歯車731を回転させることにより、輪環状の歯車722の周りで駆動機構73の歯車731が移動し、これより回転テーブル721及びその上に固定された支持アーム71を回転させることができる。この結果、図3(a)に示すように、各処理室5a〜5dの把手部53が設けられている位置、及びメンテナンス領域6に配置された蓋体搬送治具61の上方位置をチャック部741が通過するように、支持アーム71を回転させることが可能となる。   As shown in FIG. 1, an annular gear 722 is fixed on the top plate of the vacuum transfer chamber 4 around the rotary table 721. On the other hand, a driving mechanism 73 having a small gear 731 is fixed to a beam plate on one side of the support arm 71. The gear 731 of the drive mechanism 73 moves around the ring-shaped gear 722 by rotating the gear 731 of the drive mechanism 73 by meshing the ring-shaped gear 722 and the gear 731 on the drive mechanism 73 side. Further, the rotary table 721 and the support arm 71 fixed thereon can be rotated. As a result, as shown in FIG. 3A, the position where the handle 53 of each of the processing chambers 5a to 5d is provided and the upper position of the lid transport jig 61 arranged in the maintenance area 6 It is possible to rotate the support arm 71 so that 741 passes.

また既述のようにメンテナンス領域6は真空搬送室4の側面の1つであるメンテナンス面に臨む位置に設けられていることから、背景技術にて説明した従来の基板処理装置100のように真空搬送室4の天井面を取り外してクレーンなどにより基板搬送機構41を持ち上げて搬出することなく、前記アクセス面を構成する部材を取り外すだけで基板搬送機構41やその駆動機構411を横方向に引き出すことが可能となる。そこで、本実施の形態の真空搬送室4には、図6に示すように、搬送空間401から基板搬送機構41を取り出すための開口部431と、機械室402から駆動機構411を取り出すための開口部432とがアクセス面に設けられている。これらの開口部431、432は、基板処理装置1の運転期間中においては、各々開閉部材421、422によって閉じられている。   In addition, as described above, the maintenance area 6 is provided at a position facing the maintenance surface, which is one of the side surfaces of the vacuum transfer chamber 4, so that a vacuum is provided like the conventional substrate processing apparatus 100 described in the background art. Without removing the ceiling surface of the transfer chamber 4 and lifting the substrate transfer mechanism 41 by a crane or the like and carrying it out, the substrate transfer mechanism 41 and its drive mechanism 411 can be pulled out in the horizontal direction simply by removing the members constituting the access surface. Is possible. Therefore, in the vacuum transfer chamber 4 of the present embodiment, as shown in FIG. 6, an opening 431 for taking out the substrate transfer mechanism 41 from the transfer space 401 and an opening for taking out the drive mechanism 411 from the machine chamber 402. A portion 432 is provided on the access surface. These openings 431 and 432 are closed by opening and closing members 421 and 422, respectively, during the operation period of the substrate processing apparatus 1.

以上の構成を備えた基板処理装置1は、図2に示すように制御部8と接続されている。制御部8は図示しないCPUと記憶部とを備えたコンピュータからなり、記憶部には基板処理装置1にて基板Sのエッチング処理をする際の動作、並びに処理室5a〜5dのメンテナンスを行う際に、蓋体搬送機構7によりメンテナンス領域6まで蓋体52を搬送する動作に係わる制御についてのステップ(命令)群が組まれたプログラムが記録されている。このプログラムは、例えばハードディスク、コンパクトディスク、マグネットオプティカルディスク、メモリーカード等の記憶媒体に格納され、そこからコンピュータにインストールされる。   The substrate processing apparatus 1 having the above configuration is connected to a control unit 8 as shown in FIG. The control unit 8 includes a computer including a CPU and a storage unit (not shown). The storage unit performs operations when the substrate processing apparatus 1 performs etching processing on the substrate S and performs maintenance of the processing chambers 5a to 5d. In addition, a program in which a group of steps (commands) for control related to the operation of transporting the lid 52 to the maintenance area 6 by the lid transport mechanism 7 is recorded. This program is stored in a storage medium such as a hard disk, a compact disk, a magnetic optical disk, or a memory card, and installed in the computer therefrom.

以下、図1〜図6を参照しながら本実施の形態の基板処理装置1の作用について説明する。処理室5aについてメンテナンスの必要が生じたとする。この場合には、真空搬送室4の真空が確保されるようにゲートバルブG3を閉じ、処理室5a内の真空状態を解除し、処理室本体51から蓋体52を取り外す準備をする。このとき、他の処理室5b〜5dでは基板Sのエッチング処理を継続することができる。   Hereinafter, the operation of the substrate processing apparatus 1 according to the present embodiment will be described with reference to FIGS. It is assumed that the processing chamber 5a needs to be maintained. In this case, the gate valve G3 is closed so that the vacuum in the vacuum transfer chamber 4 is secured, the vacuum state in the processing chamber 5a is released, and preparations for removing the lid 52 from the processing chamber main body 51 are made. At this time, the etching process of the substrate S can be continued in the other processing chambers 5b to 5d.

しかる後、図1に示すように、支持アーム71の先端のチャック部741を降下させたのち支持アーム71を回転させ、処理室5aの蓋体52に設けられている把手部53の折れ曲がり部分の下方位置に、チャック部741の折れ曲がり部分を進入させる。そしてチャック部741を上昇させることによりチャック部741及び把手部53の折れ曲がり部分を互いに係合させ蓋体52を上方に持ち上げる。ここで搬送時に蓋体52の把手部53がチャック部741からすべり落ちたりしないように、これら把手部53とチャック部741とをボルトで締結するようにしてもよい。   After that, as shown in FIG. 1, after lowering the chuck portion 741 at the tip of the support arm 71, the support arm 71 is rotated, and the bent portion of the handle portion 53 provided in the lid 52 of the processing chamber 5a is rotated. The bent portion of the chuck portion 741 is made to enter the lower position. Then, by raising the chuck portion 741, the bent portions of the chuck portion 741 and the grip portion 53 are engaged with each other to lift the lid 52 upward. Here, the grip portion 53 and the chuck portion 741 may be fastened with a bolt so that the grip portion 53 of the lid 52 does not slide off from the chuck portion 741 during conveyance.

こうして処理室5aの蓋体52を、隣の処理室5bと干渉しない高さ位置まで持ち上げたら、駆動機構73を作動させて図3(a)に示す処理室5aの上方位置から、メンテナンス領域6にて待機している蓋体搬送治具61の上方位置まで蓋体52を搬送する。そして図4(a)に示すようにチャック部741を降下させ、蓋体52を蓋体搬送治具61の枠体部611上に載置してこれら蓋体52と枠体部611とを締結し、チャック部741をさらに降下させて把手部53とチャック部741との係合状態を解除する。なお、図4(a)〜図4(c)においては図示の便宜上、真空搬送室4に接続されている処理室5c、5dの記載を省略して示してある。   When the lid 52 of the processing chamber 5a is lifted to a position where it does not interfere with the adjacent processing chamber 5b, the drive mechanism 73 is operated to start the maintenance region 6 from the upper position of the processing chamber 5a shown in FIG. The lid body 52 is transported to the upper position of the lid body transporting jig 61 that is standing by. 4A, the chuck portion 741 is lowered, the lid body 52 is placed on the frame body portion 611 of the lid body transporting jig 61, and the lid body 52 and the frame body portion 611 are fastened. Then, the chuck portion 741 is further lowered to release the engaged state between the handle portion 53 and the chuck portion 741. 4A to 4C, the processing chambers 5c and 5d connected to the vacuum transfer chamber 4 are not shown for convenience of illustration.

次に支持アーム71を回転させて把手部53の上方位置から退避させた後、図4(b)に示すように枠体部611を180度回転させて蓋体52の天地を反転させることによりガスシャワーヘッドを上面に向け、メンテナンスを行う。また必要に応じて、蓋体搬送治具61を移動させて、蓋体52を所定のメンテナンス室まで搬送することもできる。(図4(c))。   Next, after the support arm 71 is rotated and retracted from the position above the handle portion 53, the frame portion 611 is rotated 180 degrees to reverse the top and bottom of the lid 52 as shown in FIG. Maintenance is performed with the gas shower head facing up. If necessary, the lid transport jig 61 can be moved to transport the lid 52 to a predetermined maintenance chamber. (FIG. 4 (c)).

また、他の処理室5b〜5dを開放する場合においても図3、図4の各図を用いて説明した動作と同様の動作を実行することにより、処理室本体51から蓋体52を取り外し、各々処理室本体51側、蓋体52側のメンテナンスを行うことができる。
メンテナンスが終了した場合には、取り外し時とは反対の順序で蓋体搬送治具61や蓋体搬送機構7を動作させ、処理室本体51の開口部を蓋体52によって気密に塞ぐ。しかる後、エッチングガスや排気ラインの接続を復帰し、処理室5a内を真空状態にして稼動開始の準備を整えた後、ゲートバルブG3を開いて真空搬送室4から基板Sを受け入れてエッチングを再開する。
In addition, when the other processing chambers 5b to 5d are opened, the lid 52 is removed from the processing chamber main body 51 by performing the same operations as those described with reference to FIGS. Maintenance can be performed on the processing chamber body 51 side and the lid body 52 side, respectively.
When the maintenance is completed, the lid transport jig 61 and the lid transport mechanism 7 are operated in the order opposite to that at the time of removal, and the opening of the processing chamber body 51 is hermetically closed by the lid 52. After that, the connection of the etching gas and the exhaust line is restored, the inside of the processing chamber 5a is evacuated and preparations for starting the operation are made. Then, the gate valve G3 is opened and the substrate S is received from the vacuum transfer chamber 4 for etching. Resume.

次に、基板搬送機構41やその駆動機構411を取り外す際の動作について説明する。この場合には基板処理装置1全体の運転を停止し、真空搬送室4内の真空状態を解除した後、アクセス面に設けられている例えば搬送空間401側の開閉部材421を取り外す(図5(a)、図6(a))。そして基板搬送機構41の本体とその駆動機構411とを切り離し、基板搬送機構41をアクセス面側に引き出すことにより、クレーンなどを用いることなく基板搬送機構41をメンテナンス領域6へと搬出することができる。このとき、基板搬送機構41の引き出し作業が容易となるように、例えば基板搬送機構41の底面部にキャスターなどを設けたり、搬送空間401の床板44にレールを敷設したりしてもよい。また駆動機構411を取り出す際も同様に、機械室402の開閉部材422を取り外し(図5(a)、図6(b))、基板搬送機構41の本体と分離された後の駆動機構411をアクセス面側へと引き出すことにより、機械室402からメンテナンス領域6への駆動機構411の搬出を簡便に行うことができる(図6(c))。   Next, an operation when removing the substrate transport mechanism 41 and the drive mechanism 411 will be described. In this case, after the operation of the entire substrate processing apparatus 1 is stopped and the vacuum state in the vacuum transfer chamber 4 is released, the opening / closing member 421 provided on the access surface, for example, on the transfer space 401 side is removed (FIG. 5 ( a) and FIG. 6 (a)). The main body of the substrate transport mechanism 41 and its drive mechanism 411 are separated, and the substrate transport mechanism 41 is pulled out to the access surface side, whereby the substrate transport mechanism 41 can be transported to the maintenance area 6 without using a crane or the like. . At this time, for example, a caster or the like may be provided on the bottom surface of the substrate transfer mechanism 41 or a rail may be laid on the floor plate 44 of the transfer space 401 so that the drawing operation of the substrate transfer mechanism 41 is facilitated. Similarly, when the drive mechanism 411 is taken out, the opening / closing member 422 of the machine chamber 402 is removed (FIGS. 5A and 6B), and the drive mechanism 411 after being separated from the main body of the substrate transport mechanism 41 is removed. By pulling out toward the access surface side, the drive mechanism 411 can be easily carried out from the machine room 402 to the maintenance area 6 (FIG. 6C).

次に、以上に説明した基板処理装置1を複数台有する基板処理システムの例を従来の基板処理装置100の基板処理システムの場合と比較しながら説明する。図7(a)、図7(b)は、各々、実施の形態に係る基板処理装置1及び図9に示した従来例に係る基板処理装置100に係る基板処理システムの例を模式的に示した説明図である。これらの図では各基板処理装置1、100の大気ローダー2の記載を省略し、これら大気ローダー2が配置される領域を大気ローダー配置領域20として総括的に表現してある。   Next, an example of the substrate processing system having a plurality of the substrate processing apparatuses 1 described above will be described in comparison with the case of the substrate processing system of the conventional substrate processing apparatus 100. FIGS. 7A and 7B schematically show examples of the substrate processing system 1 according to the substrate processing apparatus 1 according to the embodiment and the substrate processing apparatus 100 according to the conventional example shown in FIG. 9, respectively. FIG. In these drawings, the description of the atmospheric loader 2 of each of the substrate processing apparatuses 1 and 100 is omitted, and an area where the atmospheric loader 2 is arranged is collectively expressed as an atmospheric loader arrangement area 20.

図7(a)に示した基板処理システムは、実施の形態に係る基板処理装置1のロードロック室3を同じ方向に向けて、複数台の基板処理装置1を左右方向に配置することにより第1の列11を形成している。また、第1の列11のロードロック室3の向きとは反対の向きに基板処理装置1のロードロック室3を向け、メンテナンス領域6が、第1の列11のメンテナンス領域6と対向するように複数台の基板処理装置1を前記第1の列11と平行に配置して第2の列12を形成している。即ち、第1の列11と第2の列12とは、各列11、12内の基板処理装置1におけるアクセス面が互いに対向する方向を向くように平行に配置されていることになる。そして、これら第1の列11と第2の列12との間は、基板処理装置1から取り外された機器などを搬送するために前記メンテナンス領域を結ぶ搬送路60が構成されている。   In the substrate processing system shown in FIG. 7A, the load lock chamber 3 of the substrate processing apparatus 1 according to the embodiment is directed in the same direction, and a plurality of substrate processing apparatuses 1 are arranged in the left-right direction. 1 row 11 is formed. Further, the load lock chamber 3 of the substrate processing apparatus 1 is directed in the direction opposite to the direction of the load lock chamber 3 in the first row 11 so that the maintenance area 6 faces the maintenance area 6 in the first row 11. A plurality of substrate processing apparatuses 1 are arranged in parallel with the first row 11 to form a second row 12. That is, the first row 11 and the second row 12 are arranged in parallel so that the access surfaces in the substrate processing apparatus 1 in each row 11 and 12 face each other. Between the first row 11 and the second row 12, a conveyance path 60 that connects the maintenance areas is formed to convey equipment and the like removed from the substrate processing apparatus 1.

図7(a)に示した例では、搬送路60の幅は、既述の蓋体搬送治具61を通過させることができる程度に、当該蓋体搬送治具61の幅に合わせて設定されている。このとき本例では、図7(a)に示すようにロードロック室3や真空搬送室4などの構造物が設けられていないメンテナンス領域6を対向させて第1の列11と第2の列12とを平行に配置しているので、メンテナンス領域6側に伸びだしている各基板処理装置1の処理室5に蓋体搬送治具61が接触しない程度の位置まで、第1の列11と第2の列12とを近づけることができる。   In the example shown in FIG. 7A, the width of the transport path 60 is set in accordance with the width of the lid transport jig 61 to such an extent that the above-described lid transport jig 61 can be passed. ing. At this time, in this example, as shown in FIG. 7A, the first row 11 and the second row are made to face each other in the maintenance area 6 where the structure such as the load lock chamber 3 and the vacuum transfer chamber 4 is not provided. 12 are arranged in parallel, so that the first row 11 and the first row 11 are arranged so that the lid transport jig 61 does not come into contact with the processing chamber 5 of each substrate processing apparatus 1 extending to the maintenance region 6 side. The second column 12 can be brought closer.

各基板処理装置1では、4つの処理室5の各蓋体52をメンテナンス領域に移動させる蓋体搬送機構7(図7(a)では図示を省略)を備えているので、各列11、12内にて左右方向に隣り合う基板処理装置1同士の間には、蓋体搬送治具61を通過させるための搬送路60を設ける必要がない。
ここで図7(a)に示した例では、第1の列11側の基板処理装置1と第2の列12側の基板処理装置1とが前後方向(縦方向)に一直線上に並んでいる状態となっているが、基板処理装置1は第1の列11と第2の列12との間で左右方向(横方向)にずれていてもよい。
Each substrate processing apparatus 1 includes a lid transport mechanism 7 (not shown in FIG. 7A) that moves the lids 52 of the four processing chambers 5 to the maintenance area. There is no need to provide a transport path 60 for allowing the lid transport jig 61 to pass between the substrate processing apparatuses 1 adjacent in the left-right direction.
Here, in the example shown in FIG. 7A, the substrate processing apparatus 1 on the first row 11 side and the substrate processing apparatus 1 on the second row 12 side are aligned in a straight line in the front-rear direction (vertical direction). However, the substrate processing apparatus 1 may be shifted in the left-right direction (lateral direction) between the first row 11 and the second row 12.

一方、図7(b)には、実施の形態に係る基板処理装置1の場合と同様の考え方に基づき、複数台の基板処理装置100のロードロック室3を同じ方向へ向けて配置することにより第1の列101を形成し、この第1の列101のロードロック室3の向きとは反対の向きにロードロック室3を向けて、複数台の基板処理装置100を前記第1の列101と平行に配置することにより第2の列102を形成した基板処理システムの例を示している。この例においても、両列101、102の間は図7(a)の搬送路60と同じ幅の搬送路60となっている。ここで図9に示した従来例に係る基板処理装置100では各処理室5に隣接する位置にメンテナンス領域6が設けられている。しかし場合によっては、全てのメンテナンス領域6に蓋体搬送治具61を移動させる必要があるため、各列101、102内においては、左右方向に隣り合う基板処理装置100同士の間にも搬送路60が設けられている。   On the other hand, in FIG. 7B, the load lock chambers 3 of the plurality of substrate processing apparatuses 100 are arranged in the same direction based on the same concept as that of the substrate processing apparatus 1 according to the embodiment. A first row 101 is formed, and the load lock chamber 3 is directed in a direction opposite to the direction of the load lock chamber 3 in the first row 101, so that a plurality of substrate processing apparatuses 100 are placed in the first row 101. The example of the substrate processing system which formed the 2nd row | line | column 102 by arrange | positioning in parallel with is shown. Also in this example, the conveyance path 60 having the same width as the conveyance path 60 in FIG. Here, in the substrate processing apparatus 100 according to the conventional example shown in FIG. 9, a maintenance area 6 is provided at a position adjacent to each processing chamber 5. However, in some cases, it is necessary to move the lid transport jig 61 to all the maintenance areas 6. Therefore, in each row 101, 102, a transport path is also provided between the substrate processing apparatuses 100 adjacent in the left-right direction. 60 is provided.

以上に説明した基板処理装置1、100に係る基板処理システムを比較すると、実施の形態に係る基板処理装置1では複数の処理室5に対してメンテナンス領域6が共有化されていることから、基板処理装置100の場合とは異なり左右に隣り合う基板処理装置1間に搬送路60を設ける必要がない。このため図7(a)と図7(b)とを比較して分かるように、配置領域の横幅「B」の範囲内に各列11、12に5台、両列11、12の合計で10台(処理室5の総数は40個)の基板処理装置1を配置することができている。一方、基板処理装置100の場合には、隣り合う基板処理装置100間にも搬送路60を設けていることから、基板処理装置100の配置台数は、各列101、102に4台、両列の合計で8台(処理室5の総数は24個)となっている。   Comparing the substrate processing systems according to the substrate processing apparatuses 1 and 100 described above, the substrate processing apparatus 1 according to the embodiment has a common maintenance area 6 for a plurality of processing chambers 5. Unlike the case of the processing apparatus 100, it is not necessary to provide the transfer path 60 between the substrate processing apparatuses 1 adjacent to the left and right. For this reason, as can be seen by comparing FIG. 7A and FIG. 7B, the total of the both rows 11 and 12 is five in each row 11 and 12 within the range of the horizontal width “B” of the arrangement region. Ten substrate processing apparatuses 1 (the total number of processing chambers 5 is 40) can be arranged. On the other hand, in the case of the substrate processing apparatus 100, since the transfer path 60 is also provided between the adjacent substrate processing apparatuses 100, the number of the substrate processing apparatuses 100 arranged is four in each row 101, 102, both rows. In total, there are 8 units (the total number of processing chambers 5 is 24).

また、基板処理装置1、100の横幅を各装置単体で見た場合においても、従来例の基板処理装置100では真空搬送室4とその左右に設けられた2つの処理室5とが一直線上に並んでいる。これに対し、本例の基板処理装置1では、各処理室5は左右方向に伸ばした直線に対して傾きを持って配置されるので、この傾きに応じて左右方向の横幅が小さくなる。このため例えば各基板処理装置1、100において真空搬送室4の中心から処理室5の接続位置までの距離が同じであれば、基板処理装置1の装置の横幅「b1」は基板処理装置100の装置の横幅「b2」よりも小さくなる。   Even when the widths of the substrate processing apparatuses 1 and 100 are viewed as individual units, in the conventional substrate processing apparatus 100, the vacuum transfer chamber 4 and the two processing chambers 5 provided on the left and right sides thereof are aligned. Are lined up. On the other hand, in the substrate processing apparatus 1 of this example, each processing chamber 5 is arranged with an inclination with respect to a straight line extending in the left-right direction, so that the horizontal width in the left-right direction is reduced according to this inclination. Therefore, for example, if the distance from the center of the vacuum transfer chamber 4 to the connection position of the processing chamber 5 is the same in each substrate processing apparatus 1, 100, the lateral width “b1” of the apparatus of the substrate processing apparatus 1 is the same as that of the substrate processing apparatus 100. It becomes smaller than the width “b2” of the apparatus.

次に縦方向の幅について図7(a)、図7(b)に示した基板処理システムを比較すると、基板処理装置1の場合には縦方向に伸びる直線に対して各処理室5が傾きをもって配置されているのに対し、基板処理装置100の場合にはロードロック室3、真空搬送室4、処理室5が縦方向に一直線上に配置されている。このため各列11、12間及び各列101、102間の搬送路60の幅を同じとすると、図7(a)に示した基板処理装置1の基板処理システムにおける縦方向の幅「A1」と、図7(b)に示した基板処理装置100の基板処理システムにおける縦方向の幅「A2」とを比較したとき、真空搬送室4の縦方向の幅が同じであれば「A1」の方が小さくなる。   Next, comparing the substrate processing system shown in FIGS. 7A and 7B with respect to the width in the vertical direction, in the case of the substrate processing apparatus 1, each processing chamber 5 is inclined with respect to a straight line extending in the vertical direction. In the case of the substrate processing apparatus 100, the load lock chamber 3, the vacuum transfer chamber 4, and the processing chamber 5 are arranged in a straight line in the vertical direction. Therefore, assuming that the width of the transport path 60 between the rows 11 and 12 and between the rows 101 and 102 is the same, the vertical width “A1” in the substrate processing system of the substrate processing apparatus 1 shown in FIG. And the vertical width “A2” in the substrate processing system of the substrate processing apparatus 100 shown in FIG. 7B, if the vertical width of the vacuum transfer chamber 4 is the same, “A1” Is smaller.

また基板処理装置1、100を各装置単体で見ると、従来例の基板処理装置100ではロードロック室3、真空搬送室4、処理室5が縦方向に直線状に並んでいる一方、本例の基板処理装置1ではロードロック室3、真空搬送室4、メンテナンス領域6が縦方向に直線状に並んでいる。そして、メンテナンス領域6は搬送路60の一部を共有できるので、真空搬送室4の縦方向の幅が同じであれば基板処理装置1の縦方向の幅「a1」は基板処理装置100の縦方向の幅「a2」よりも小さくできる。   Further, when the substrate processing apparatuses 1 and 100 are viewed as a single unit, in the conventional substrate processing apparatus 100, the load lock chamber 3, the vacuum transfer chamber 4, and the processing chamber 5 are arranged in a straight line in the vertical direction. In the substrate processing apparatus 1, the load lock chamber 3, the vacuum transfer chamber 4, and the maintenance region 6 are arranged in a straight line in the vertical direction. Since the maintenance area 6 can share a part of the transfer path 60, the vertical width “a 1” of the substrate processing apparatus 1 is the vertical width of the substrate processing apparatus 100 as long as the vertical width of the vacuum transfer chamber 4 is the same. The width in the direction can be smaller than “a2”.

本実施の形態に係る基板処理装置1によれば以下の効果がある。平面形状が六角形の真空搬送室4の側面に、複数の処理室5を接続し、各処理室5の蓋体52や基板搬送機構41の搬送先となるメンテナンス領域6を、複数の処理室5間で共有化しているので、基板処理装置1の省スペース化が図られる。そして各処理室5からメンテナンス領域6へと処理室5の蓋体52を搬送する蓋体搬送機構7を備えているので、メンテナンス領域6を共有化しても簡便に処理室5を開放することができる。   The substrate processing apparatus 1 according to the present embodiment has the following effects. A plurality of processing chambers 5 are connected to the side surface of the hexagonal vacuum transfer chamber 4 having a planar shape, and a maintenance area 6 serving as a transfer destination of the lid body 52 and the substrate transfer mechanism 41 of each processing chamber 5 is provided with a plurality of processing chambers. Since it is shared among the five, space saving of the substrate processing apparatus 1 can be achieved. Since the lid transport mechanism 7 for transporting the lid 52 of the processing chamber 5 from each processing chamber 5 to the maintenance area 6 is provided, the processing chamber 5 can be easily opened even if the maintenance area 6 is shared. it can.

ここで真空搬送室4は、平面形状が正六角形となるように構成する場合に限定されない。例えば図8に示すように真空搬送室4を扁平な六角形とし、その幅広の一辺に例えば搬入用、搬出用の2つのロードロック室3a、3bを設けて基板Sの搬入出速度を高速化してもよい。
また、処理室5a、5b間及び、処理室5c、5dの間に各々別の処理室を備えるような八角形の真空搬送室でも良い。あるいは処理室5c、5dが設けられた二つの側面を一つの側面とし、一つの処理室のみを設けた構成でも良い。
真空搬送室の形状は六角形に限定されず、五角形以上の多角形であれば良い。特に隣り合う処理室が接続される二つの側面の法線の成す角度が90°未満である側面を有する多角形であれば、四角形の場合に比べてより円形に近い領域内に効率的に処理室を配置できる。
さらに、真空搬送室は大型となるため、複数の部材と締結部材及びシール部材によって構成し、例えば三つ以上の部分に分割可能であるようにしても良い。
Here, the vacuum transfer chamber 4 is not limited to a case where the planar shape is a regular hexagon. For example, as shown in FIG. 8, the vacuum transfer chamber 4 has a flat hexagonal shape, and two load lock chambers 3a and 3b for loading and unloading, for example, are provided on one wide side to increase the loading / unloading speed of the substrate S. May be.
Further, it may be an octagonal vacuum transfer chamber provided with different processing chambers between the processing chambers 5a and 5b and between the processing chambers 5c and 5d. Alternatively, the configuration may be such that two side surfaces provided with the processing chambers 5c and 5d are one side surface and only one processing chamber is provided.
The shape of the vacuum transfer chamber is not limited to a hexagon, and may be a polygon that is a pentagon or more. In particular, if the polygon has a side surface in which the angle formed by the normals of two side surfaces to which adjacent processing chambers are connected is less than 90 °, the processing is efficiently performed in a region closer to a circle than in the case of a quadrangle. A room can be arranged.
Furthermore, since the vacuum transfer chamber is large, it may be constituted by a plurality of members, a fastening member, and a seal member, and may be divided into, for example, three or more portions.

また蓋体搬送機構7の構成は、図1に示した片持ち梁式の蓋体搬送機構7の例に限られるものではない。例えば図1に示した蓋体搬送機構7の支持アーム71を径方向に伸縮自在に構成したり、また真空搬送室4の上面に小型のクレーンを設けたりして作業半径を可変とすることにより、図8に示すように真空搬送室4を扁平な六角形とした場合でも各処理室5a〜5dとメンテナンス領域6との間で蓋体52を自在に搬送することができる。また例えば真空搬送室4から見て処理室5a〜5dの外側の位置と、真空搬送室4の上面とに互いに平行となるようにレールを敷設し、このレール上を走行する門形クレーン(橋形クレーン)が、各処理室5a〜5d及びメンテナンス領域6の上方位置を移動するように蓋体搬送機構7を構成してもよい。   The configuration of the lid transport mechanism 7 is not limited to the example of the cantilever lid transport mechanism 7 shown in FIG. For example, by making the support arm 71 of the lid transport mechanism 7 shown in FIG. 1 extendable in the radial direction, or by providing a small crane on the upper surface of the vacuum transfer chamber 4, the working radius can be made variable. As shown in FIG. 8, even when the vacuum transfer chamber 4 is a flat hexagon, the lid 52 can be freely transferred between the processing chambers 5a to 5d and the maintenance area 6. Further, for example, rails are laid so as to be parallel to each other at positions outside the processing chambers 5a to 5d when viewed from the vacuum transfer chamber 4 and the upper surface of the vacuum transfer chamber 4, and a portal crane (bridge) that runs on the rails. The lid transport mechanism 7 may be configured so that the shape crane) moves above the processing chambers 5a to 5d and the maintenance area 6.

そしてメンテナンス領域6を形成する位置は図2に示した基板処理装置1のように、真空搬送室4の6つの側面において、ロードロック室3が接続されている側面に対向する側面の外方側に形成する場合に限定されるものではない。例えば図2においてメンテナンス領域6が設けられている位置に処理室5を設け、これに代えて図2にて処理室5a〜5dが接続されているいずれかの側面の外方側の位置にメンテナンス領域6を形成してもよい。この場合にも基板処理装置1を省ペース化する効果は得ることができる。   The position where the maintenance region 6 is formed is the outer side of the side surface opposite to the side surface to which the load lock chamber 3 is connected on the six side surfaces of the vacuum transfer chamber 4 as in the substrate processing apparatus 1 shown in FIG. However, the present invention is not limited to this. For example, in FIG. 2, the processing chamber 5 is provided at a position where the maintenance area 6 is provided, and in place of this, maintenance is performed at a position on the outer side of one of the side surfaces to which the processing chambers 5a to 5d are connected in FIG. Region 6 may be formed. Even in this case, the effect of saving the pace of the substrate processing apparatus 1 can be obtained.

また本例では処理室5a〜5dにて基板Sのエッチング処理を実行する例を示したが、これらの処理室5a〜5d内で実行される真空処理の種類はこれに限定されるものではなく、例えばCVD(Chemical Vapor Deposition)などの成膜処理やアッシング処理を行ってもよい。また各処理室5a〜5dで実施される真空処理の種類は、同種のものに限定されず、例えば同じ基板処理装置1内でエッチング処理を行った後、アッシング処理を行うことができるように異種の真空処理を行ってもよい。さらにはロードロック室3に接続されている側面及びメンテナンス領域6に臨む側面を除く真空搬送室4の残る4つの側面の全てに処理室5を接続しなくてもよく、処理室5の数は2個〜3個であってもよい。
そして基板処理装置1で処理される基板Sの種類は実施の形態中に示した角型のガラス基板に限られるものではなく、例えば半導体ウエハなどの円形基板に適用してもよいことは勿論である。
Moreover, although the example which performs the etching process of the board | substrate S in the processing chambers 5a-5d was shown in this example, the kind of vacuum processing performed in these processing chambers 5a-5d is not limited to this. For example, a film forming process such as CVD (Chemical Vapor Deposition) or an ashing process may be performed. The types of vacuum processing performed in each of the processing chambers 5a to 5d are not limited to the same type. For example, different types of vacuum processing can be performed so that ashing can be performed after performing etching processing in the same substrate processing apparatus 1. You may perform vacuum processing of. Furthermore, the processing chambers 5 do not have to be connected to all of the remaining four side surfaces of the vacuum transfer chamber 4 except for the side surface connected to the load lock chamber 3 and the side surface facing the maintenance area 6. Two to three may be sufficient.
The type of the substrate S to be processed by the substrate processing apparatus 1 is not limited to the square glass substrate shown in the embodiment, and may be applied to a circular substrate such as a semiconductor wafer. is there.

S 基板
1、100 基板処理装置
2 大気ローダー
3、3a、3b
ロードロック室
4、4a 真空搬送室
41 基板搬送機構
421、422
開閉部材
431、432
開口部
5、5a〜5d
処理室
51 処理室本体
52 蓋体
6 メンテナンス領域
61 蓋体搬送治具
7 蓋体搬送機構
8 制御部
S substrate 1, 100 substrate processing apparatus 2 atmospheric loader 3, 3a, 3b
Load lock chamber 4, 4a Vacuum transfer chamber 41 Substrate transfer mechanism 421, 422
Opening and closing members 431 and 432
Opening 5, 5a-5d
Processing chamber 51 Processing chamber body 52 Cover body 6 Maintenance area 61 Cover body transport jig 7 Cover body transport mechanism 8 Control unit

Claims (5)

被処理体である基板を搬送する基板搬送機構を具備し、平面形状が五角形以上の多角形となるように複数の側面に囲まれた真空搬送室と、
前記真空搬送室の複数の側面のうち、外側にメンテナンス領域を備えた側面を除く側面に接続され、上部に蓋体を有する複数の処理室と、
前記処理室と前記メンテナンス領域との間にて、前記蓋体を搬送する蓋体搬送機構と、を備え
前記メンテナンス領域を外側に備えた側面と前記処理室が接続された側面とを除く前記真空搬送室の側面に、前記基板が置かれる雰囲気を、常圧雰囲気と真空雰囲気との間で切り替える予備真空室が接続され、前記予備真空室が接続された側面と前記メンテナンス領域を外側に備えた側面とが、対向していることを特徴とする基板処理装置。
A vacuum transfer chamber that includes a substrate transfer mechanism for transferring a substrate to be processed, and is surrounded by a plurality of side surfaces so that the planar shape is a polygon that is a pentagon or more;
Among the plurality of side surfaces of the vacuum transfer chamber, connected to the side surface except for the side surface provided with a maintenance area on the outside, a plurality of processing chambers having a lid on the top,
A lid transport mechanism that transports the lid between the processing chamber and the maintenance area ;
Preliminary vacuum that switches the atmosphere in which the substrate is placed between the atmospheric pressure atmosphere and the vacuum atmosphere on the side surface of the vacuum transfer chamber excluding the side surface provided with the maintenance area outside and the side surface to which the processing chamber is connected A substrate processing apparatus , wherein a chamber is connected, and a side surface to which the preliminary vacuum chamber is connected and a side surface provided with the maintenance region on the outside face each other .
前記外側にメンテナンス領域を備えた側面には、前記基板搬送機構を搬入出するための開口部と、この開口部を開閉するための開閉部材と、が設けられていることを特徴とする請求項1に記載の基板処理装置。 The side surface provided with a maintenance area on the outside is provided with an opening for carrying in and out the substrate transport mechanism and an opening / closing member for opening and closing the opening. 2. The substrate processing apparatus according to 1. 前記真空搬送室は、三つ以上の部分に分割可能であることを特徴とする請求項1または2に記載の基板処理装置。 Said vacuum transfer chamber, the substrate processing apparatus according to claim 1 or 2, characterized in that it is divided into three or more parts. 請求項に記載された基板処理装置を複数台有する基板処理システムであって、
前記予備真空室を同じ方向に向けて、複数台の前記基板処理装置を左右方向に配置してなる第1の列と、
前記予備真空室を、前記第1の列の予備真空室の向きとは反対の向きとし、かつ外側にメンテナンス領域を備えた真空搬送室の側面が、前記第1の列のメンテナンス領域を備えた真空搬送室の側面と対向するように、複数台の前記基板処理装置を前記第1の列と平行に配置してなる第2の列とを備え、
前記第1の列と第2の列との間には、前記メンテナンス領域を結ぶ搬送路を構成することを特徴とする基板処理システム。
A substrate processing system having a plurality of substrate processing apparatuses according to claim 1 ,
A first row in which a plurality of the substrate processing apparatuses are arranged in the left-right direction with the preliminary vacuum chamber facing in the same direction;
The preliminary vacuum chamber has a direction opposite to the direction of the preliminary vacuum chamber of the first row, and a side surface of the vacuum transfer chamber provided with a maintenance region on the outside has the maintenance region of the first row. A second row in which a plurality of the substrate processing apparatuses are arranged in parallel with the first row so as to face the side surface of the vacuum transfer chamber;
A substrate processing system comprising a conveyance path connecting the maintenance areas between the first row and the second row.
前記1の列における互いに隣り合う基板処理装置の間隔及び、第2の列における互いに隣り合う基板処理装置の間隔は、前記搬送路よりも狭いことを特徴とする請求項に記載の基板処理システム。 5. The substrate processing system according to claim 4 , wherein an interval between adjacent substrate processing apparatuses in the first row and an interval between adjacent substrate processing devices in the second row are narrower than the transport path. .
JP2010104513A 2010-04-28 2010-04-28 Substrate processing apparatus and substrate processing system Expired - Fee Related JP5526988B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2010104513A JP5526988B2 (en) 2010-04-28 2010-04-28 Substrate processing apparatus and substrate processing system
TW100114634A TW201212147A (en) 2010-04-28 2011-04-27 Substrate processing apparatus and substrate processing system
KR1020110039339A KR101289971B1 (en) 2010-04-28 2011-04-27 Substrate processing apparatus and substrate processing system
CN201110114908.1A CN102243987B (en) 2010-04-28 2011-04-28 Substrate processing device and substrate processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010104513A JP5526988B2 (en) 2010-04-28 2010-04-28 Substrate processing apparatus and substrate processing system

Publications (2)

Publication Number Publication Date
JP2011233788A JP2011233788A (en) 2011-11-17
JP5526988B2 true JP5526988B2 (en) 2014-06-18

Family

ID=44961974

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010104513A Expired - Fee Related JP5526988B2 (en) 2010-04-28 2010-04-28 Substrate processing apparatus and substrate processing system

Country Status (4)

Country Link
JP (1) JP5526988B2 (en)
KR (1) KR101289971B1 (en)
CN (1) CN102243987B (en)
TW (1) TW201212147A (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5585238B2 (en) * 2010-06-24 2014-09-10 東京エレクトロン株式会社 Substrate processing equipment
JP5575558B2 (en) * 2010-06-30 2014-08-20 東京エレクトロン株式会社 Processing equipment
CN103556126A (en) * 2013-10-14 2014-02-05 中国科学院半导体研究所 Multi-chamber MOCVD reaction system with optimal configuration
KR20200110813A (en) * 2018-02-15 2020-09-25 램 리써치 코포레이션 Move the substrate transfer chamber
JP7210960B2 (en) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 Vacuum processing apparatus and substrate transfer method
JP2023083962A (en) 2021-12-06 2023-06-16 東京エレクトロン株式会社 System for processing substrate and maintenance method therefor

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3335831B2 (en) * 1996-01-29 2002-10-21 株式会社日立製作所 Vacuum processing equipment
JPH1140643A (en) * 1997-07-22 1999-02-12 Dainippon Screen Mfg Co Ltd Substrate processor
JP2002313690A (en) 2001-04-10 2002-10-25 Canon Inc Device production apparatus
KR100622846B1 (en) * 2004-10-06 2006-09-19 주식회사 에이디피엔지니어링 Apparatus for manufacturing fpd
CN200990756Y (en) * 2005-06-02 2007-12-12 应用材料公司 Electronic device producing room
JP4791110B2 (en) * 2005-09-02 2011-10-12 東京エレクトロン株式会社 Vacuum chamber and vacuum processing equipment
JP4355314B2 (en) * 2005-12-14 2009-10-28 東京エレクトロン株式会社 Substrate processing apparatus and lid fishing support apparatus
JP5030542B2 (en) * 2006-11-10 2012-09-19 株式会社日立ハイテクノロジーズ Vacuum processing equipment
US8758514B2 (en) * 2007-03-02 2014-06-24 Asm Japan K.K. Cluster type semiconductor processing apparatus
JP4784599B2 (en) * 2007-12-28 2011-10-05 東京エレクトロン株式会社 Vacuum processing apparatus, vacuum processing method, and storage medium
JP4903728B2 (en) 2008-01-11 2012-03-28 株式会社アルバック A transfer chamber in which a transfer robot is installed and a maintenance method thereof.

Also Published As

Publication number Publication date
KR101289971B1 (en) 2013-07-26
TW201212147A (en) 2012-03-16
JP2011233788A (en) 2011-11-17
CN102243987B (en) 2014-03-12
CN102243987A (en) 2011-11-16
KR20110120235A (en) 2011-11-03

Similar Documents

Publication Publication Date Title
TWI379378B (en)
JP4912253B2 (en) Substrate transport apparatus, substrate processing apparatus, and substrate transport method
JP5274339B2 (en) Substrate processing apparatus and substrate transfer method
JP5526988B2 (en) Substrate processing apparatus and substrate processing system
KR100549786B1 (en) Processing unit and processing method for substrate
JP5482500B2 (en) Substrate processing equipment
TWI773092B (en) Wafer transport assembly with integrated buffers
JP4989398B2 (en) Substrate processing equipment
KR20170017538A (en) Apparatus for substrate processing
US10872798B2 (en) Substrate transfer mechanism, substrate processing apparatus, and substrate transfer method
JP6747136B2 (en) Substrate processing equipment
KR102244354B1 (en) Substrate transfer mechanism, substrate processing apparatus, and substrate processing method
JP2017183579A (en) Substrate processing apparatus
JP2017163103A (en) Substrate processing apparatus
JP2013074183A (en) Load port for side and efem
KR20120032435A (en) Processing device and maintenance method thereof
TWI512878B (en) Substrate processing device
JP7136612B2 (en) Conveyor with local purge function
JP5722092B2 (en) Substrate processing equipment
JP5488227B2 (en) Substrate processing equipment
TWI740301B (en) Load lock room
JP2014060338A (en) Substrate processing apparatus
JP2582578Y2 (en) Multi-chamber semiconductor processing equipment
KR20080069295A (en) A transportation system for processing semiconductor material
JP5090291B2 (en) Substrate processing equipment

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130227

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140318

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140331

R150 Certificate of patent or registration of utility model

Ref document number: 5526988

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees