JP5507654B2 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP5507654B2
JP5507654B2 JP2012262017A JP2012262017A JP5507654B2 JP 5507654 B2 JP5507654 B2 JP 5507654B2 JP 2012262017 A JP2012262017 A JP 2012262017A JP 2012262017 A JP2012262017 A JP 2012262017A JP 5507654 B2 JP5507654 B2 JP 5507654B2
Authority
JP
Japan
Prior art keywords
film
semiconductor wafer
chamber
temperature
connection hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2012262017A
Other languages
Japanese (ja)
Other versions
JP2013110418A (en
Inventor
卓也 二瀬
博 飛松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2012262017A priority Critical patent/JP5507654B2/en
Publication of JP2013110418A publication Critical patent/JP2013110418A/en
Application granted granted Critical
Publication of JP5507654B2 publication Critical patent/JP5507654B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明は、半導体装置の製造技術に関し、特に、絶縁膜に開口された接続孔の内部に金属膜を埋め込む半導体装置の製造工程に適用して有効な技術に関するものである。   The present invention relates to a manufacturing technique of a semiconductor device, and more particularly to a technique effective when applied to a manufacturing process of a semiconductor device in which a metal film is embedded in a connection hole opened in an insulating film.

日本特許公開2003−324108号公報(対応米国はUSP6864183)には、三フッ化窒素ガス、フッ化水素ガス、六フッ化二炭素ガス、四フッ化炭素ガスおよび六フッ化硫黄ガスよりなる群から選ばれる少なくとも1種以上のフッ化系ガスとアルゴンガスとの混合ガスを用いてプラズマエッチングを行うことにより、シリコン基板およびゲート電極の表面に存在する自然酸化膜を除去する方法が開示されている(特許文献1参照)。   Japanese Patent Publication No. 2003-324108 (corresponding US is USP 6864183) includes a group consisting of nitrogen trifluoride gas, hydrogen fluoride gas, hexafluorocarbon gas, carbon tetrafluoride gas and sulfur hexafluoride gas. A method of removing a natural oxide film present on the surface of a silicon substrate and a gate electrode by performing plasma etching using a mixed gas of at least one selected fluorinated gas and argon gas is disclosed. (See Patent Document 1).

また、日本特許公開平2−256235号公報(対応米国はUSP5030319)には、ハロゲン元素を含むガスと塩基性ガスとによりハロゲン塩を生成し、これを被処置体の酸化膜と反応させる、あるいはハロゲン塩ガスを直接酸化膜と反応させて、下地にダメージを与えることなく酸化膜を選択的にエッチング、除去する方法が開示されている(特許文献2参照)。   JP-A-2-256235 (corresponding US is USP 5030319) discloses that a halogen salt is generated by a gas containing a halogen element and a basic gas, and this is reacted with an oxide film of an object to be treated. A method is disclosed in which a halogen salt gas is directly reacted with an oxide film to selectively etch and remove the oxide film without damaging the underlying layer (see Patent Document 2).

また、日本特許公開平3−116727号公報には、表面に酸化膜の形成された半導体基板をフッ素原子を含むガス中に曝したのち、還元性ガス中あるいは不活性ガス中あるいは真空中でアニールすることにより、該酸化膜を除去するクリーニング方法が開示されている(特許文献3参照)。   Japanese Patent Publication No. 3-116727 discloses that a semiconductor substrate having an oxide film formed on the surface thereof is exposed to a gas containing fluorine atoms and then annealed in a reducing gas, an inert gas, or in a vacuum. Thus, a cleaning method for removing the oxide film is disclosed (see Patent Document 3).

特開2003−324108号公報JP 2003-324108 A 特開平2−256235号公報JP-A-2-256235 特開平3−116727号公報Japanese Patent Laid-Open No. 3-116727

半導体装置の高集積化が進むにつれて、電界効果トランジスタはスケーリング則に従い微細化され、ゲートやソース・ドレインと配線とを繋ぐため、層間絶縁膜に形成される接続孔においては0.1μm以下の口径が要求されている。しかし、接続孔の底部に露出する導電材料の表面(例えばゲートを構成する導電膜、ソース・ドレインを構成する半導体領域、あるいは上記導電膜や半導体領域上に形成されるシリサイド層などの表面)には自然酸化膜が形成されやすく、上記接続孔の内部に金属膜を埋め込む際は、良好な導通をとるために自然酸化膜を除去する必要がある。特に、口径が0.1μm以下の微細な接続孔では、接続孔の底部の自然酸化膜の除去は難しく、従来から様々なクリーニング方法や処理装置等が提案されている。   As semiconductor devices become more highly integrated, field effect transistors are miniaturized according to the scaling law and connect gates, sources / drains, and wirings, so that connection holes formed in interlayer insulating films have a diameter of 0.1 μm or less. Is required. However, on the surface of the conductive material exposed at the bottom of the connection hole (for example, the surface of the conductive film constituting the gate, the semiconductor region constituting the source / drain, or the silicide layer formed on the conductive film or the semiconductor region). Is easy to form a natural oxide film, and when the metal film is embedded in the connection hole, it is necessary to remove the natural oxide film for good conduction. In particular, with a fine connection hole having a diameter of 0.1 μm or less, it is difficult to remove the natural oxide film at the bottom of the connection hole, and various cleaning methods and processing apparatuses have been proposed.

ところで、接続孔の底部に形成される自然酸化膜の厚さにはばらつきがある。このため、本発明者らは、オーバーエッチングすることなく接続孔の底部の自然酸化膜や不純物を完全に除去する方法として、接続孔を形成した後に、例えばHFガスとNHガスまたはNFガスとNHガス等の還元ガスを用いたドライクリーニング処理、あるいはNFガスとNHガスまたはNFガスとHガス等の還元ガスを含むArガスの反応性プラズマを用いたドライクリーニング処理を行っている。 By the way, the thickness of the natural oxide film formed at the bottom of the connection hole varies. For this reason, as a method for completely removing the natural oxide film and impurities at the bottom of the connection hole without over-etching, the present inventors have formed, for example, HF gas and NH 3 gas or NF 3 gas after forming the connection hole. and NH 3 dry cleaning treatment with a reducing gas such as gas, or a dry cleaning process using a reactive plasma of Ar gas containing NF 3 gas and NH 3 gas or NF 3 gas and the reducing gas of the H 2 gas, etc. Is going.

しかしながら、上記ドライクリーニング処理については、以下に説明する種々の技術的課題が存在する。   However, the dry cleaning process has various technical problems described below.

ドライクリーニング処置を行った接続孔の底部および側面にはケイフッ化アンモニウム((NHSiF)が生成される。接続孔の内部には、一般にバリアメタル膜(例えばチタン膜上に窒化チタン膜を積み重ねた積層膜)を介して主導電材料となる金属膜が埋め込まれるが、上記生成物が残留していると、接続孔の底面においては、バリアメタル膜とその下の導電材料との接触抵抗がばらつき、接続孔の側面においては、バリアメタル膜が剥がれるなどの問題が生ずる。 Ammonium silicofluoride ((NH 4 ) 2 SiF 6 ) is generated at the bottom and side surfaces of the connection hole subjected to the dry cleaning treatment. Generally, a metal film as a main conductive material is embedded in the connection hole through a barrier metal film (for example, a laminated film in which a titanium nitride film is stacked on a titanium film), but the product remains The contact resistance between the barrier metal film and the underlying conductive material varies on the bottom surface of the connection hole, and the barrier metal film peels off on the side surface of the connection hole.

そこで、ドライクリーニング処理を行った接続孔部分を上記生成物の昇華温度である100℃程度で暖めることによって接続孔の底面および側面から生成物を除去する検討を行った。ところが、100℃程度の温度で接続孔部分を暖めても生成物を完全に昇華させることができず、上記問題を回避することはできないことが明らかとなった。これは、接続孔の底面および側面に生成する生成物の組成が全て(NHSiFではなく、(NHSiFから僅かにずれた組成(非化学量論的組成の化合物、これらも混乱のないときは便宜的にケイフッ化アンモニウムまたは((NHSiF)で表示する)も含まれており、その組成が僅かにずれた生成物が100℃程度の温度では昇華せずに接続孔の底面および側面に残留したためと考えられる。 Then, the examination which removes a product from the bottom face and side surface of a connection hole was performed by heating the connection hole part which performed the dry cleaning process at about 100 degreeC which is the sublimation temperature of the said product. However, it has been clarified that the product cannot be sublimated completely even when the connecting hole portion is heated at a temperature of about 100 ° C., and the above problem cannot be avoided. This connecting hole bottom and composition of the product produced in the side all (NH 4) rather than 2 SiF 6, (NH 4) 2 composition slightly deviates from SiF 6 (non-stoichiometric compound composition When these are not confused, for the sake of convenience, ammonium silicofluoride or ((NH 4 ) 2 SiF 6 ) is also included, and a product with a slightly deviated composition is about 100 ° C. This is considered to be due to remaining on the bottom and side surfaces of the connection hole without sublimation.

その他の課題と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。   Other problems and novel features will become apparent from the description of the specification and the accompanying drawings.

一実施の形態によれば、絶縁膜に開口した接続孔の内部にバリアメタル膜を形成する前に、第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程と、ウエハステージの上方に設置されたシャワーヘッドを介して還元ガスを供給し、接続孔の内部をドライクリーニング処理する工程と、シャワーヘッドの加熱温度を利用した100から150℃の第1の温度で半導体ウエハを熱処理する工程と、半導体ウエハを第1のチャンバから第2のチャンバへ搬送する工程と、第2のチャンバにおいて150から400℃の第2の温度で半導体ウエハを熱処理する工程とを含むものである。   According to one embodiment, before forming the barrier metal film inside the connection hole opened in the insulating film, placing the semiconductor wafer on the wafer stage provided in the first chamber, and installing the semiconductor wafer above the wafer stage A step of supplying a reducing gas through the showerhead and dry-cleaning the inside of the connection hole; and a step of heat-treating the semiconductor wafer at a first temperature of 100 to 150 ° C. using the heating temperature of the showerhead; A step of transporting the semiconductor wafer from the first chamber to the second chamber and a step of heat-treating the semiconductor wafer at a second temperature of 150 to 400 ° C. in the second chamber.

一実施の形態によれば、接続孔部分における電気的特性のばらつきを低減することができるので、半導体装置の信頼性および製造歩留まりを向上させることができる。   According to one embodiment, since variation in electrical characteristics in the connection hole portion can be reduced, the reliability and manufacturing yield of the semiconductor device can be improved.

実施の形態1であるCMOSデバイスの製造工程を示す半導体基板の要部断面図である。FIG. 6 is a main-portion cross-sectional view of the semiconductor substrate showing the manufacturing process of the CMOS device according to the first embodiment; 図1に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。FIG. 2 is a fragmentary cross-sectional view of the same portion as that in FIG. 1 of the CMOS device during the manufacturing step following that of FIG. 1; 図2に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。FIG. 3 is a fragmentary cross-sectional view of the same portion as that in FIG. 1 of the CMOS device during the manufacturing step following that of FIG. 2; 図3に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。FIG. 4 is a fragmentary cross-sectional view of the same portion as that in FIG. 1 of the CMOS device during the manufacturing step following that of FIG. 3; (a)は図4に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図であり、(b)は同図(a)に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。(A) is principal part sectional drawing of the same location in FIG. 1 in the manufacturing process of the CMOS device following FIG. 4, (b) is the same as FIG. 1 in the manufacturing process of the CMOS device following the same figure (a). It is principal part sectional drawing of a location. 実施の形態1によるバリアメタル膜の成膜装置の概略平面図である。1 is a schematic plan view of a barrier metal film forming apparatus according to a first embodiment. 実施の形態1によるバリアメタル膜の成膜工程図である。FIG. 4 is a film formation process diagram of a barrier metal film according to the first embodiment. 実施の形態1によるバリアメタル膜の成膜装置に備わるドライクリーニング処理用チャンバの概略断面図である。2 is a schematic cross-sectional view of a dry cleaning processing chamber provided in the barrier metal film forming apparatus according to Embodiment 1. FIG. (a)、(b)および(c)は、それぞれ実施の形態1によるバリアメタル膜の成膜装置に備わるドライクリーニング処理用チャンバにおける半導体ウエハの処理工程を説明するためのチャンバの概略断面図である。(A), (b) and (c) are schematic sectional views of a chamber for explaining a semiconductor wafer processing step in a dry cleaning processing chamber provided in the barrier metal film forming apparatus according to the first embodiment. is there. nMISを形成した後に、ドライクリーニング処理および熱処理を半導体基板に施した場合のゲート電極と半導体基板との間に流れる電流と熱処理温度との関係を示すグラフ図である。It is a graph which shows the relationship between the electric current which flows between a gate electrode and a semiconductor substrate at the time of giving a dry cleaning process and heat processing to a semiconductor substrate after forming nMIS, and a heat processing temperature. 図5に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。FIG. 6 is a fragmentary cross-sectional view of the same portion as that in FIG. 1 of the CMOS device during a manufacturing step following that of FIG. 5; 図11に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。FIG. 12 is a fragmentary cross-sectional view of the same portion as that of FIG. 1 during a manufacturing step of the CMOS device following that of FIG. 11; 図12に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。FIG. 13 is a fragmentary cross-sectional view of the same portion as that of FIG. 1 during a manufacturing step of the CMOS device following that of FIG. 12; 図13に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。FIG. 14 is an essential part cross-sectional view of the same portion as that of FIG. 1 of the CMOS device during a manufacturing step following that of FIG. 13; 実施の形態2によるバリアメタル膜の成膜工程図である。FIG. 6 is a film forming process diagram of a barrier metal film according to a second embodiment. (a)、(b)および(c)は、それぞれ実施の形態2によるバリアメタル膜の成膜装置に備わるドライクリーニング処理用チャンバにおける半導体ウエハの処理工程を説明するためのチャンバの概略断面図である。(A), (b), and (c) are schematic sectional views of a chamber for explaining a semiconductor wafer processing step in a dry cleaning processing chamber provided in the barrier metal film forming apparatus according to the second embodiment. is there. (a)、(b)および(c)は、それぞれ実施の形態3によるバリアメタル膜の成膜装置に備わるドライクリーニング処理用チャンバの概略断面図である。(A), (b), and (c) are schematic sectional views of a dry cleaning processing chamber provided in the barrier metal film forming apparatus according to Embodiment 3, respectively.

本実施の形態において、便宜上その必要があるときは、複数のセクションまたは実施の形態に分割して説明するが、特に明示した場合を除き、それらはお互いに無関係なものではなく、一方は他方の一部または全部の変形例、詳細、補足説明等の関係にある。また、本実施の形態において、要素の数等(個数、数値、量、範囲等を含む)に言及する場合、特に明示した場合および原理的に明らかに特定の数に限定される場合等を除き、その特定の数に限定されるものではなく、特定の数以上でも以下でもよい。さらに、本実施の形態において、その構成要素(要素ステップ等も含む)は、特に明示した場合および原理的に明らかに必須であると考えられる場合等を除き、必ずしも必須のものではないことは言うまでもない。同様に、本実施の形態において、構成要素等の形状、位置関係等に言及するときは、特に明示した場合および原理的に明らかにそうでないと考えられる場合等を除き、実質的にその形状等に近似または類似するもの等を含むものとする。このことは、上記数値および範囲についても同様である。   In this embodiment, when it is necessary for the sake of convenience, the description will be divided into a plurality of sections or embodiments. However, unless otherwise specified, they are not irrelevant to each other. Some or all of the modifications, details, supplementary explanations, and the like are related. Further, in this embodiment, when referring to the number of elements (including the number, numerical value, quantity, range, etc.), unless otherwise specified, or in principle limited to a specific number in principle. It is not limited to the specific number, and may be a specific number or more. Further, in the present embodiment, the constituent elements (including element steps and the like) are not necessarily essential unless particularly specified and apparently essential in principle. Yes. Similarly, in this embodiment, when referring to the shape, positional relationship, etc. of the component, etc., the shape, etc. substantially, unless otherwise specified, or otherwise considered in principle. It shall include those that are approximate or similar to. The same applies to the above numerical values and ranges.

また、本実施の形態においては、電界効果トランジスタを代表するMIS・FET(Metal Insulator Semiconductor Field Effect Transistor)をMISと略し、pチャネル型のMIS・FETをpMISと略し、nチャネル型のMIS・FETをnMISと略す。また、便宜的にMOSと記載しても非酸化膜を除外するものではない。また、本実施の形態において、ウエハと言うときは、Si(Silicon)単結晶ウエハを主とするが、それのみではなく、SOI(Silicon On Insulator)ウエハ、集積回路をその上に形成するための絶縁膜基板等を広く指すものとする。その形も円形またはほぼ円形のみでなく、正方形、長方形等も含むものとする。また、シリコン膜、シリコン部、シリコン部材等というときは、明らかにそうでないときまたはそうでない旨明示されているときを除き、純粋なシリコンばかりでなく、不純物を含むもの、SiGeまたはSiGeC等のシリコンを主要な成分の一つとする合金等(歪シリコンを含む)、添加物を含むものを含むことはいうまでもない。また、多結晶シリコン等というときも、明らかにそうでないときまたはそうでない旨明示されているときを除き、典型的なものばかりでなく、アモルファスシリコン等も含むことはいうまでもない。   In the present embodiment, a MIS • FET (Metal Insulator Semiconductor Field Effect Transistor) representing a field effect transistor is abbreviated as MIS, a p-channel type MIS • FET is abbreviated as pMIS, and an n-channel type MIS • FET. Is abbreviated as nMIS. In addition, the description of MOS for convenience does not exclude a non-oxide film. In this embodiment, the term “wafer” mainly refers to a Si (Silicon) single crystal wafer, but not only to this, but also to form an SOI (Silicon On Insulator) wafer and an integrated circuit thereon. It shall refer broadly to an insulating film substrate or the like. The shape includes not only a circle or a substantially circle but also a square, a rectangle and the like. In addition, when referring to a silicon film, a silicon portion, a silicon member, etc., it is not only pure silicon but a substance containing impurities, silicon such as SiGe or SiGeC, unless clearly stated otherwise or otherwise. Needless to say, alloys containing one of the main components (including strained silicon) include additives. In addition, when it is called polycrystalline silicon or the like, it is needless to say that amorphous silicon or the like is included as well as typical ones, unless it is clearly not or is clearly stated otherwise.

また、本実施の形態を説明するための全図において、同一機能を有するものは原則として同一の符号を付し、その繰り返しの説明は省略する。以下、本実施の形態を図面に基づいて詳細に説明する。   In all the drawings for explaining the embodiments, components having the same function are denoted by the same reference numerals in principle, and repeated description thereof is omitted. Hereinafter, the present embodiment will be described in detail with reference to the drawings.

また、ドライクリーニング技術に関しては、一之瀬らの日本国特許出願第2006−3704号(2006.1.11出願)、日本国特許出願第2006−12355号(2006.1.20出願)、日本国特許出願第2006−107780号(2006.4.10出願)に開示されているので、それと重複する部分については、原則として繰り返さないこことする。   Regarding dry cleaning technology, Japanese Patent Application No. 2006-3704 (2006.1.11 application), Japanese Patent Application No. 2006-12355 (application for 2006.1.20), Japanese Patent by Ichinose et al. Since it is disclosed in Japanese Patent Application No. 2006-107780 (2006. 4.10 application), the overlapping part will not be repeated in principle.

(実施の形態1)
実施の形態1によるCMOS(Complementary Metal Oxide Semiconductor)デバイスの製造方法を図1から図14を用いて説明する。図1から図5および図11から図14はCMOSデバイスの要部断面図、図6はバリアメタル成膜装置の概略平面図、図7はバリアメタル膜の成膜工程図、図8はバリアメタル成膜装置に備わるドライクリーニング処理用チャンバの概略断面図、図9(a)、(b)および(c)はそれぞれバリアメタル成膜装置に備わるドライクリーニング処理用チャンバにおける半導体ウエハの処理工程を説明するためのチャンバの概略断面図、図10はnMISを形成した後に、ドライクリーニング処理および熱処理を半導体基板に施した場合のゲート電極と半導体基板との間に流れる電流と熱処理温度との関係を示すグラフ図である。
(Embodiment 1)
A method of manufacturing a complementary metal oxide semiconductor (CMOS) device according to the first embodiment will be described with reference to FIGS. 1 to 5 and FIGS. 11 to 14 are cross-sectional views of the main part of the CMOS device, FIG. 6 is a schematic plan view of a barrier metal film forming apparatus, FIG. 7 is a film forming process diagram of a barrier metal film, and FIG. FIGS. 9A, 9B, and 9C are schematic cross-sectional views of a dry cleaning processing chamber provided in the film forming apparatus, respectively. FIGS. 9A, 9B, and 9C illustrate a semiconductor wafer processing process in the dry cleaning processing chamber provided in the barrier metal film forming apparatus. FIG. 10 is a schematic cross-sectional view of a chamber for forming the nMIS, and FIG. 10 shows the relationship between the current flowing between the gate electrode and the semiconductor substrate and the heat treatment temperature when dry cleaning treatment and heat treatment are performed on the semiconductor substrate after forming the nMIS. FIG.

まず、図1に示すように、例えばp型の単結晶シリコンからなる半導体基板(半導体ウエハと称する平面略円形状の半導体の薄板)1を用意する。次に、半導体基板1の主面に素子分離領域4を形成する。素子分離領域4は、半導体基板1をエッチングして深さ0.35μmの溝を形成し、続いて半導体基板1の主面上にCVD(Chemical Vapor Deposition)法により絶縁膜、例えば酸化シリコン膜を堆積した後、溝の外部の酸化シリコン膜をCMP(Chemical Mechanical Polishing)法により除去することによって形成する。   First, as shown in FIG. 1, a semiconductor substrate (semiconductor plate having a substantially planar shape called a semiconductor wafer) 1 made of, for example, p-type single crystal silicon is prepared. Next, the element isolation region 4 is formed on the main surface of the semiconductor substrate 1. In the element isolation region 4, a groove having a depth of 0.35 μm is formed by etching the semiconductor substrate 1, and then an insulating film such as a silicon oxide film is formed on the main surface of the semiconductor substrate 1 by a CVD (Chemical Vapor Deposition) method. After the deposition, the silicon oxide film outside the trench is formed by removing by a CMP (Chemical Mechanical Polishing) method.

次に、半導体基板1のnMIS形成領域にp型不純物、例えばボロン(B)をイオン注入してp型ウェル6を形成し、半導体基板1のpMIS形成領域にn型不純物、例えばリン(P)をイオン注入してn型ウェル8を形成する。この後、p型ウェル6またはn型ウェル8にnMISまたはpMISのしきい値を制御するための不純物をイオン注入してもよい。   Next, a p-type impurity such as boron (B) is ion-implanted into the nMIS formation region of the semiconductor substrate 1 to form a p-type well 6, and an n-type impurity such as phosphorus (P) is formed in the pMIS formation region of the semiconductor substrate 1. Are implanted to form an n-type well 8. Thereafter, an impurity for controlling the threshold value of nMIS or pMIS may be ion-implanted into the p-type well 6 or the n-type well 8.

次に、例えばフッ酸水溶液を用いたウェットエッチングにより半導体基板1の表面を洗浄した後、半導体基板1を熱酸化して、例えば厚さ5nmのゲート絶縁膜9を半導体基板1の表面(p型ウェル6およびn型ウェル8のそれぞれの表面)に形成する。   Next, after cleaning the surface of the semiconductor substrate 1 by, for example, wet etching using a hydrofluoric acid aqueous solution, the semiconductor substrate 1 is thermally oxidized, and the gate insulating film 9 having a thickness of, for example, 5 nm is formed on the surface of the semiconductor substrate 1 (p-type). Each surface is formed on the well 6 and the n-type well 8.

次に、図2に示すように、ゲート絶縁膜9上に、例えば厚さ0.2μmのゲート電極用の導体膜を形成した後、レジストパターンをマスクとしたドライエッチングによりゲート電極用の導体膜を加工して導体膜からなるゲート電極10n,10pを形成する。ゲート電極用の導体膜は、例えばCVD法により形成された多結晶シリコン膜からなり、nMIS形成領域にはn型不純物が導入された多結晶シリコン膜からなるゲート電極10n、pMIS形成領域にはp型不純物が導入された多結晶シリコン膜からなるゲート電極10pが形成される。   Next, as shown in FIG. 2, a gate electrode conductor film having a thickness of, for example, 0.2 μm is formed on the gate insulating film 9, and then the gate electrode conductor film is formed by dry etching using the resist pattern as a mask. Are processed to form gate electrodes 10n and 10p made of a conductor film. The conductive film for the gate electrode is made of, for example, a polycrystalline silicon film formed by a CVD method, the gate electrode 10n is made of a polycrystalline silicon film into which an n-type impurity is introduced in the nMIS formation region, and p is formed in the pMIS formation region. A gate electrode 10p made of a polycrystalline silicon film doped with type impurities is formed.

次に、p型ウェル6にn型不純物、例えばヒ素(As)をイオン注入し、nMISのゲート電極10nに対して自己整合的に相対的に低濃度なソース・ドレイン拡張領域11を形成する。同様に、n型ウェル8にp型不純物、例えばフッ化ボロン(BF)をイオン注入し、pMISのゲート電極10pに対して自己整合的に相対的に低濃度なソース・ドレイン拡張領域12を形成する。上記ソース・ドレイン拡張領域11,12の深さは、例えば30nmである。 Next, an n-type impurity such as arsenic (As) is ion-implanted into the p-type well 6 to form a relatively low concentration source / drain extension region 11 in a self-aligned manner with respect to the gate electrode 10n of the nMIS. Similarly, a p-type impurity, for example, boron fluoride (BF 2 ) is ion-implanted into the n-type well 8 to form a relatively low concentration source / drain extension region 12 in a self-aligned manner with respect to the gate electrode 10p of the pMIS. Form. The depth of the source / drain extension regions 11 and 12 is, for example, 30 nm.

次に、図3に示すように、半導体基板1の主面上に、例えば厚さ10nmの酸化シリコン膜13をCVD法により堆積した後、さらに酸化シリコン膜13上に窒化シリコン膜をCVD法により堆積する。続いて窒化シリコン膜をRIE(Reactive Ion Etching)法により異方性エッチングして、nMISのゲート電極10nおよびpMISのゲート電極10pのそれぞれの側壁にサイドウォール15を形成する。その後、p型ウェル6にn型不純物、例えばヒ素をイオン注入し、nMISのゲート電極10nおよびサイドウォール15に対して自己整合的に相対的に高濃度なソース・ドレイン拡散領域16を形成する。同様に、n型ウェル8にp型不純物、例えばフッ化ボロンをイオン注入し、pMISのゲート電極10pおよびサイドウォール15に対して自己整合的に相対的に高濃度なソース・ドレイン拡散領域17を形成する。上記ソース・ドレイン拡散領域16,17の深さは、例えば50nmである。   Next, as shown in FIG. 3, after a silicon oxide film 13 having a thickness of, for example, 10 nm is deposited on the main surface of the semiconductor substrate 1 by a CVD method, a silicon nitride film is further formed on the silicon oxide film 13 by a CVD method. accumulate. Subsequently, the silicon nitride film is anisotropically etched by RIE (Reactive Ion Etching) to form sidewalls 15 on the respective sidewalls of the nMIS gate electrode 10n and the pMIS gate electrode 10p. Thereafter, an n-type impurity, for example, arsenic is ion-implanted into the p-type well 6 to form a relatively high concentration source / drain diffusion region 16 in a self-aligned manner with respect to the gate electrode 10 n and the sidewall 15 of the nMIS. Similarly, a p-type impurity such as boron fluoride is ion-implanted into the n-type well 8 to form a source / drain diffusion region 17 having a relatively high concentration in a self-aligned manner with respect to the gate electrode 10p and the side wall 15 of the pMIS. Form. The depth of the source / drain diffusion regions 16 and 17 is, for example, 50 nm.

次に、サリサイド技術によりnMISのゲート電極10nおよびソース・ドレイン拡散領域16の表面およびpMISのゲート電極10pおよびソース・ドレイン拡散領域17の表面に低抵抗のニッケルシリサイド(NiSi)層18を形成する。なお、ここではニッケルシリサイド層を例示したが、他のシリサイド層、例えばチタンシリサイド層またはコバルトシリサイド層等を形成してもよい。ニッケルシリサイド層18は、例えば以下に説明する方法により形成される。   Next, a low resistance nickel silicide (NiSi) layer 18 is formed on the surface of the nMIS gate electrode 10n and the source / drain diffusion region 16 and the surface of the pMIS gate electrode 10p and the source / drain diffusion region 17 by the salicide technique. Although the nickel silicide layer is illustrated here, other silicide layers such as a titanium silicide layer or a cobalt silicide layer may be formed. The nickel silicide layer 18 is formed, for example, by the method described below.

まず、半導体基板1の主面上にスパッタリング法によりニッケル膜および窒化チタン膜を順次堆積する。ニッケル膜の厚さは、例えば10nm、窒化チタン膜の厚さは、例えば15nmである。窒化チタン膜はニッケル膜の酸化を防止するためにニッケル膜上に設けられ、窒化チタン膜に代えてチタン膜を用いてもよい。続いて半導体基板1にRTA(Rapid Thermal Anneal)法を用いて、例えば温度320℃の熱処理を30秒施すことにより、ニッケル膜とnMISのゲート電極10nを構成するn型多結晶シリコン膜およびニッケル膜とnMISのソース・ドレイン拡散領域16が形成された半導体基板1を構成する単結晶シリコンとを選択的に反応させてニッケルシリサイド層18を形成する。同様に、ニッケル膜とpMISのゲート電極10pを構成するp型多結晶シリコン膜およびニッケル膜とpMISのソース・ドレイン拡散領域17が形成された半導体基板1を構成する単結晶シリコンとを選択的に反応させてニッケルシリサイド層18を形成する。続いて硫酸を用いたウエット洗浄、または硫酸と過酸化水素水とを用いたウエット洗浄等により、未反応のニッケル膜および窒化チタン膜を除去した後、半導体基板1にRTA法を用いて、例えば温度550℃の熱処置を30秒施すことにより、ニッケルシリサイド層18の低抵抗化を行う。   First, a nickel film and a titanium nitride film are sequentially deposited on the main surface of the semiconductor substrate 1 by sputtering. The nickel film has a thickness of 10 nm, for example, and the titanium nitride film has a thickness of 15 nm, for example. The titanium nitride film is provided on the nickel film to prevent oxidation of the nickel film, and a titanium film may be used instead of the titanium nitride film. Subsequently, the semiconductor substrate 1 is subjected to, for example, a heat treatment at a temperature of 320 ° C. for 30 seconds using an RTA (Rapid Thermal Anneal) method, whereby an n-type polycrystalline silicon film and a nickel film constituting the nMIS gate electrode 10n are formed. The nickel silicide layer 18 is formed by selectively reacting the single crystal silicon constituting the semiconductor substrate 1 on which the source / drain diffusion regions 16 of nMIS are formed. Similarly, the nickel film and the p-type polycrystalline silicon film constituting the pMIS gate electrode 10p and the nickel film and the single crystal silicon constituting the semiconductor substrate 1 on which the pMIS source / drain diffusion regions 17 are formed are selectively used. A nickel silicide layer 18 is formed by reaction. Subsequently, after removing the unreacted nickel film and titanium nitride film by wet cleaning using sulfuric acid or wet cleaning using sulfuric acid and hydrogen peroxide solution, the RTA method is applied to the semiconductor substrate 1, for example, By applying a heat treatment at a temperature of 550 ° C. for 30 seconds, the resistance of the nickel silicide layer 18 is reduced.

次に、図4に示すように、半導体基板1の主面上にCVD法により窒化シリコン膜を堆積して第1絶縁膜19aを形成する。続いて第1絶縁膜19a上にプラズマCVD法によりTEOS(Tetra Ethyl Ortho Silicate)膜を堆積して第2絶縁膜19bを形成し、第1および第2絶縁膜19a,19bからなる層間絶縁膜を形成する。その後、第2絶縁膜19bの表面をCMP法により研磨する。下地段差に起因して第1絶縁膜19aの表面に凹凸形状が形成されていても、第2絶縁膜19bの表面をCMP法により研磨することにより、その表面が平坦化された層間絶縁膜が得られる。   Next, as shown in FIG. 4, a silicon nitride film is deposited on the main surface of the semiconductor substrate 1 by a CVD method to form a first insulating film 19a. Subsequently, a TEOS (Tetra Ethyl Ortho Silicate) film is deposited on the first insulating film 19a by plasma CVD to form a second insulating film 19b, and an interlayer insulating film made up of the first and second insulating films 19a and 19b is formed. Form. Thereafter, the surface of the second insulating film 19b is polished by a CMP method. Even if an uneven shape is formed on the surface of the first insulating film 19a due to the base step, by polishing the surface of the second insulating film 19b by the CMP method, an interlayer insulating film whose surface is flattened is formed. can get.

次に、レジストパターンをマスクとして第1および第2絶縁膜19a,19bをエッチングし、接続孔20を所定の箇所、例えばnMISのゲート電極10nおよびソース・ドレイン拡散領域16、ならびにpMISのゲート電極10pおよびソース・ドレイン拡散領域17の上方に位置する第1および第2絶縁膜19a,19bに形成する。接続孔20の口径は0.1μm以下、例えば0.08μmである。   Next, the first and second insulating films 19a and 19b are etched using the resist pattern as a mask, and the connection holes 20 are formed at predetermined positions, for example, the nMIS gate electrode 10n and the source / drain diffusion region 16, and the pMIS gate electrode 10p. And formed on the first and second insulating films 19a and 19b located above the source / drain diffusion region 17. The diameter of the connection hole 20 is 0.1 μm or less, for example, 0.08 μm.

次に、図5(a)に示すように、接続孔20の内部を含む半導体基板1の主面上にチタン膜21を堆積し、さらに図5(b)に示すように、チタン膜21上に窒化チタン膜22を堆積する。チタン膜21は酸素原子を25at%まで固溶できることからニッケルシリサイド層18表面の還元材として用いられて、ニッケルシリサイド層18との接触抵抗を低減する機能を有する。また、窒化チタン膜22は後の工程で接続孔20の内部に埋め込まれる金属膜の構成原子が拡散するのを抑制または防止する機能を有する。なお、以下の説明においては、チタン膜21上に窒化チタン膜22を積み重ねた積層膜をバリアメタル膜と言い、接続孔20の内部に埋め込まれて主導電材料となる金属膜とは区別する。   Next, as shown in FIG. 5A, a titanium film 21 is deposited on the main surface of the semiconductor substrate 1 including the inside of the connection hole 20, and further, on the titanium film 21 as shown in FIG. Then, a titanium nitride film 22 is deposited. Since the titanium film 21 can dissolve oxygen atoms up to 25 at%, it is used as a reducing material on the surface of the nickel silicide layer 18 and has a function of reducing contact resistance with the nickel silicide layer 18. The titanium nitride film 22 has a function of suppressing or preventing diffusion of constituent atoms of a metal film embedded in the connection hole 20 in a later process. In the following description, a laminated film in which the titanium nitride film 22 is stacked on the titanium film 21 is referred to as a barrier metal film, and is distinguished from a metal film embedded in the connection hole 20 and serving as a main conductive material.

チタン膜21および窒化チタン膜22の成膜には、図6に示す成膜装置50が用いられる。以下に、チタン膜21および窒化チタン膜22の形成方法について図6から図9を用いて詳細に説明する。   A film forming apparatus 50 shown in FIG. 6 is used for forming the titanium film 21 and the titanium nitride film 22. Hereinafter, a method for forming the titanium film 21 and the titanium nitride film 22 will be described in detail with reference to FIGS.

成膜装置50は、第1搬送室51aと第2搬送室51bの2つの搬送室が配置され、第1搬送室51aの周囲に開閉手段であるゲートバルブ52を介してローダ53、アンローダ54および3つのチャンバ55,56,57が備わり、第2搬送室51bの周囲に開閉手段であるゲートバルブ52を介して2つのチャンバ58,59が備わったマルチチャンバタイプである。さらに、第1搬送室51aと第2搬送室51bとの間には2つの搬送用のチャンバ60,61が備わっている。第1搬送室51aは排気機構等により所定の真空度に保持され、その中央部には半導体ウエハSWを搬送するための多関節アーム構造の搬送用ロボット62aが設けられている。同様に、第2搬送室51bは排気機構等により所定の真空度に保持され、その中央部には半導体ウエハSWを搬送するための多関節アーム構造の搬送用ロボット62bが設けられている。   The film forming apparatus 50 includes two transfer chambers, a first transfer chamber 51a and a second transfer chamber 51b. Around the first transfer chamber 51a, a loader 53, an unloader 54, This is a multi-chamber type in which three chambers 55, 56 and 57 are provided, and two chambers 58 and 59 are provided around the second transfer chamber 51b via a gate valve 52 which is an opening / closing means. Further, two transfer chambers 60 and 61 are provided between the first transfer chamber 51a and the second transfer chamber 51b. The first transfer chamber 51a is maintained at a predetermined degree of vacuum by an exhaust mechanism or the like, and a transfer robot 62a having an articulated arm structure for transferring the semiconductor wafer SW is provided at the center thereof. Similarly, the second transfer chamber 51b is maintained at a predetermined degree of vacuum by an exhaust mechanism or the like, and a transfer robot 62b having an articulated arm structure for transferring the semiconductor wafer SW is provided at the center thereof.

第1搬送室51aに備わるチャンバ55,56は、例えば150℃以上の高温の加熱処理を行う加熱処理用チャンバ、チャンバ57はドライクリーニング処置用チャンバである。第2搬送室51bに備わるチャンバ58は高指向性スパッタリング法またはプラズマCVD法によりチタン膜21を堆積するチタン成膜用チャンバ、チャンバ59はMOCVD(Metal Organic Chemical Vapor Deposition)法またはプラズマCVD法により窒化チタン膜22を堆積する窒化チタン成膜用チャンバである。第1搬送室51aと第2搬送室51bとの間に備わるチャンバ60,61は第1搬送室51aと第2搬送室51bとの間での半導体ウエハSWの受け渡しを行う受渡用チャンバであり、また半導体ウエハSWの冷却にも用いられる冷却用チャンバである。なお、成膜装置50では、第1搬送室51aのみに備わるチャンバを3つとし、第2搬送室51bのみに備わるチャンバを2つとしたが、これに限定されるものではなく、同じ用途のチャンバまたは他の用途のチャンバを追加することも可能である。   The chambers 55 and 56 provided in the first transfer chamber 51a are heat treatment chambers for performing heat treatment at a high temperature of, for example, 150 ° C. or higher, and the chamber 57 is a dry cleaning treatment chamber. The chamber 58 provided in the second transfer chamber 51b is a titanium film forming chamber for depositing the titanium film 21 by a highly directional sputtering method or a plasma CVD method, and the chamber 59 is nitrided by a MOCVD (Metal Organic Chemical Vapor Deposition) method or a plasma CVD method. This is a titanium nitride film forming chamber in which the titanium film 22 is deposited. The chambers 60 and 61 provided between the first transfer chamber 51a and the second transfer chamber 51b are transfer chambers for transferring the semiconductor wafer SW between the first transfer chamber 51a and the second transfer chamber 51b. The cooling chamber is also used for cooling the semiconductor wafer SW. In the film forming apparatus 50, three chambers are provided only in the first transfer chamber 51a and two chambers are provided only in the second transfer chamber 51b. However, the present invention is not limited to this, and chambers for the same purpose are used. It is also possible to add chambers for other applications.

まず、ローダ53に複数の半導体ウエハSWを搭載したフープ(Front Open Unified Pod)を載せた後(図7の工程P1)、搬送用ロボット62aによってフープから1枚の半導体ウエハSWを取り出し、第1搬送室51aへ真空搬入する。フープは半導体ウエハSWのバッチ搬送用の密閉収納容器であり、通常25枚、12枚、6枚等のバッチ単位で半導体ウエハSWを収納する。フープの容器外壁は微細な通気フィルタ部を除いて機密構造になっており、塵埃はほぼ完全に排除される。従って、クラス1000の雰囲気で搬送しても、内部はクラス1の清浄度が保てるようになっている。成膜装置50とのドッキングは、フープの扉を成膜装置50の内部に引き込むことによって清浄さを保持した状態で行われる。   First, after placing a hoop (Front Open Unified Pod) on which a plurality of semiconductor wafers SW are mounted on the loader 53 (step P1 in FIG. 7), one semiconductor wafer SW is taken out from the hoop by the transfer robot 62a. Vacuum transfer into the transfer chamber 51a. The FOUP is a sealed storage container for batch transfer of semiconductor wafers SW, and normally stores the semiconductor wafers SW in batch units of 25 sheets, 12 sheets, 6 sheets, and the like. The outer wall of the container of the hoop has a secret structure except for a fine ventilation filter portion, and dust is almost completely eliminated. Therefore, even if transported in a class 1000 atmosphere, the inside can maintain a class 1 cleanliness. Docking with the film forming apparatus 50 is performed in a state in which cleanliness is maintained by drawing the door of the hoop into the film forming apparatus 50.

次に、搬送用ロボット62aによって半導体ウエハSWを第1搬送室51aからドライクリーニング処理用のチャンバ57へ真空搬送する(図7の工程P2)。図8にチャンバ57の概略断面図を示す。チャンバ57は主としてウエハステージ57a、ウエハリフトピン57b、シャワーヘッド57cおよびリモートプラズマ発生装置57dによって構成される。ウエハステージ57aおよびウエハリフトピン57bは独立した昇降機構を持ち、シャワーヘッド57cと半導体ウエハSWとの距離および半導体ウエハSWとウエハステージ57aとの距離を任意に制御することができる。また、ウエハステージ57aの上方に設置されたシャワーヘッド57cは常に一定温度に維持されており、その温度は例えば180℃である。   Next, the semiconductor wafer SW is vacuum-transferred from the first transfer chamber 51a to the dry cleaning processing chamber 57 by the transfer robot 62a (step P2 in FIG. 7). FIG. 8 shows a schematic cross-sectional view of the chamber 57. The chamber 57 is mainly composed of a wafer stage 57a, wafer lift pins 57b, a shower head 57c, and a remote plasma generator 57d. The wafer stage 57a and the wafer lift pin 57b have independent lifting mechanisms and can arbitrarily control the distance between the shower head 57c and the semiconductor wafer SW and the distance between the semiconductor wafer SW and the wafer stage 57a. The shower head 57c installed above the wafer stage 57a is always maintained at a constant temperature, and the temperature is, for example, 180 ° C.

チャンバ57へ半導体ウエハSWを搬入する時は、図9(a)に示すように、ウエハステージ57aを下降させ、ウエハリフトピン57bを上昇させて、ウエハリフトピン57b上に半導体ウエハSWを載せる。シャワーヘッド57cと半導体ウエハSWとの距離は、例えば16.5±12.7mm、半導体ウエハSWとウエハステージ57aとの距離は、例えば25.4±17.8mmに設定される。   When the semiconductor wafer SW is carried into the chamber 57, as shown in FIG. 9A, the wafer stage 57a is lowered, the wafer lift pins 57b are raised, and the semiconductor wafer SW is placed on the wafer lift pins 57b. The distance between the shower head 57c and the semiconductor wafer SW is set to, for example, 16.5 ± 12.7 mm, and the distance between the semiconductor wafer SW and the wafer stage 57a is set to, for example, 25.4 ± 17.8 mm.

続いて半導体ウエハSWの主面上をドライクリーニング処理する時は、図9(b)に示すように、ウエハステージ57aを上昇させ、ウエハリフトピン57bを下降させて、ウエハステージ57a上に半導体ウエハSWを載せる。シャワーヘッド57cと半導体ウエハSWとの距離は、例えば17.8±5.1mmに設定される。   Subsequently, when dry-cleaning the main surface of the semiconductor wafer SW, as shown in FIG. 9B, the wafer stage 57a is raised, the wafer lift pins 57b are lowered, and the semiconductor wafer SW is placed on the wafer stage 57a. Put on. The distance between the shower head 57c and the semiconductor wafer SW is set to 17.8 ± 5.1 mm, for example.

ドライクリーニング処理時には、リモートプラズマ発生装置57dにおいて還元ガス、例えばNFガスおよびNHガスを添加したArガスまたはNFガスおよびHガスを添加したArガスを励起させてプラズマを生成し、このプラズマをチャンバ57内へ導入する。チャンバ57内に導入されたプラズマをシャワーヘッド57cを介して半導体ウエハSWの主面上に供給することにより、プラズマとニッケルシリサイド層18の表面に形成された自然酸化膜との間で起きる、例えば式(1)に示す還元反応によって自然酸化膜が除去される。ドライクリーニング処理時におけるプロセス条件は、例えばシャワーヘッド温度180℃、NFガス流量14sccm、NHガス流量70sccm、圧力400Pa、プラズマパワー30Wである。 During the dry cleaning process, plasma is generated by exciting a reducing gas such as Ar gas added with NF 3 gas and NH 3 gas or Ar gas added with NF 3 gas and H 2 gas in the remote plasma generator 57d. Plasma is introduced into the chamber 57. By supplying the plasma introduced into the chamber 57 onto the main surface of the semiconductor wafer SW via the shower head 57c, it occurs between the plasma and a natural oxide film formed on the surface of the nickel silicide layer 18, for example. The natural oxide film is removed by the reduction reaction shown in Formula (1). The process conditions during the dry cleaning process are, for example, a shower head temperature of 180 ° C., an NF 3 gas flow rate of 14 sccm, an NH 3 gas flow rate of 70 sccm, a pressure of 400 Pa, and a plasma power of 30 W.

SiO+NF+NH→ (NHSiF+O 式(1)
この時、還元反応により生成された生成物((NHSiF)が接続孔20の内部を含む半導体ウエハSWの主面上に残留する。さらに、半導体ウエハSWはウエハステージ57a上に載せてあるだけであり、上記生成物は半導体ウエハSWの側面および裏面の一部にも残留する。半導体ウエハSWの側面および裏面の一部に残留する生成物は、半導体ウエハSWを他のチャンバへ搬送する場合などにおいて剥がれ、汚染や発塵の原因となる。そこで、ドライクリーニング処置に続いて、チャンバ57内において半導体ウエハSWに熱処理を施すことにより、半導体ウエハSWの主面上に残留する生成物を除去すると同時に、半導体ウエハSWの側面および裏面の一部に残留する生成物を除去する。
SiO 2 + NF 3 + NH 3 → (NH 4 ) 2 SiF 6 + O 2 Formula (1)
At this time, the product ((NH 4 ) 2 SiF 6 ) generated by the reduction reaction remains on the main surface of the semiconductor wafer SW including the inside of the connection hole 20. Furthermore, the semiconductor wafer SW is only placed on the wafer stage 57a, and the product remains on part of the side surface and the back surface of the semiconductor wafer SW. The product remaining on a part of the side surface and the back surface of the semiconductor wafer SW is peeled off when the semiconductor wafer SW is transported to another chamber or the like, causing contamination and dust generation. Therefore, by subjecting the semiconductor wafer SW to a heat treatment in the chamber 57 following the dry cleaning treatment, products remaining on the main surface of the semiconductor wafer SW are removed, and at the same time, part of the side and back surfaces of the semiconductor wafer SW. The product remaining in is removed.

続いて半導体ウエハSWを熱処理する時は、図9(c)に示すように、ウエハステージ57aを下降させ、ウエハリフトピン57bを上昇させて、半導体ウエハSWを温度180℃に設定されたシャワーヘッド57cへ近づける。シャワーヘッド57cと半導体ウエハSWとの距離は、例えば3.8±2.6mm、半導体ウエハSWとウエハステージ57aとの距離は、例えば5.9mm以上に設定される。   Subsequently, when the semiconductor wafer SW is heat-treated, as shown in FIG. 9C, the wafer stage 57a is lowered, the wafer lift pins 57b are raised, and the shower head 57c in which the temperature of the semiconductor wafer SW is set to 180 ° C. Move closer. The distance between the shower head 57c and the semiconductor wafer SW is set to, for example, 3.8 ± 2.6 mm, and the distance between the semiconductor wafer SW and the wafer stage 57a is set to, for example, 5.9 mm or more.

熱処理時には、シャワーヘッド57cの加熱温度(180℃)を利用して半導体ウエハSWが加熱される。半導体ウエハSWの温度は100から150℃となり、上記ドライクリーニング処置時に半導体ウエハSWの主面上に形成された生成物((NHSiF)が、例えば式(2)に示す反応によって昇華し除去される。さらに、この熱処理によって半導体ウエハSWの側面および裏面も加熱されて、側面および裏面の一部に残留した生成物も除去される。 During the heat treatment, the semiconductor wafer SW is heated using the heating temperature (180 ° C.) of the shower head 57c. The temperature of the semiconductor wafer SW becomes 100 to 150 ° C., and the product ((NH 4 ) 2 SiF 6 ) formed on the main surface of the semiconductor wafer SW during the dry cleaning treatment is caused, for example, by the reaction shown in the formula (2). Sublimated and removed. Furthermore, the side surface and the back surface of the semiconductor wafer SW are also heated by this heat treatment, and the product remaining on a part of the side surface and the back surface is also removed.

(NHSiF→ SiF+2NH+2HF 式(2)
しかしながら、上記ドライクリーニング処理時に半導体ウエハSWに形成された生成物の組成が(NHSiFから僅かでもずれていると、温度100から150℃の熱処理では式(2)の反応が起こり難く、完全に生成物を除去することができなくなり、極微少の生成物が半導体ウエハSWの主面上に残留する。前述したように、接続孔20の内部に微少でも生成物が残留していると、その後接続孔20に内部に形成されるチタン膜21とニッケルシリサイド層18との接触抵抗のばらつきなどの問題が生じる。そこで、次工程において、半導体ウエハSWに150℃よりも高い温度の熱処理を施して、半導体ウエハSWの主面上に残留した微少の生成物を除去する。
(NH 4 ) 2 SiF 6 → SiF 4 + 2NH 3 + 2HF Formula (2)
However, if the composition of the product formed on the semiconductor wafer SW during the dry cleaning process is slightly deviated from (NH 4 ) 2 SiF 6 , the reaction of formula (2) occurs in the heat treatment at a temperature of 100 to 150 ° C. It is difficult to completely remove the product, and a very small amount of product remains on the main surface of the semiconductor wafer SW. As described above, even if a small amount of product remains in the connection hole 20, problems such as variations in contact resistance between the titanium film 21 and the nickel silicide layer 18 formed in the connection hole 20 after that occur. Arise. Therefore, in the next step, the semiconductor wafer SW is subjected to a heat treatment at a temperature higher than 150 ° C. to remove minute products remaining on the main surface of the semiconductor wafer SW.

次に、搬送用ロボット62aによって半導体ウエハSWをドライクリーニング処理用のチャンバ57から加熱処理用のチャンバ55(またはチャンバ56)へ第1搬送室51aを介して真空搬送し、チャンバ55(またはチャンバ56)に備わるステージ上に載せる(図7の工程P3)。チャンバ55(またはチャンバ56)のステージ上に半導体ウエハSWを載せることにより、半導体ウエハSWを所定の温度で加熱し、100から150℃の温度では昇華せずに半導体ウエハSWの主面上に残留した生成物を昇華させて除去する。半導体ウエハSWの主面上での温度は、例えば150から400℃が適切な範囲と考えられる(他の条件によってはこの範囲に限定されないことはもとよりである)。また、量産に適した範囲としては165から350℃が考えられるが、さらに180から220℃等の200℃を中心値とする範囲が最も好適と考えられる。   Next, the semiconductor wafer SW is vacuum-transferred from the dry cleaning processing chamber 57 to the heat processing chamber 55 (or chamber 56) via the first transfer chamber 51a by the transfer robot 62a, and the chamber 55 (or chamber 56) is transferred. ) (Step P3 in FIG. 7). By placing the semiconductor wafer SW on the stage of the chamber 55 (or the chamber 56), the semiconductor wafer SW is heated at a predetermined temperature and remains on the main surface of the semiconductor wafer SW without being sublimated at a temperature of 100 to 150 ° C. The product is removed by sublimation. The temperature on the main surface of the semiconductor wafer SW is considered to be an appropriate range of, for example, 150 to 400 ° C. (not to be limited to this range depending on other conditions). Further, a range suitable for mass production is 165 to 350 ° C., but a range having a central value of 200 ° C. such as 180 to 220 ° C. is considered most suitable.

図10は、nMIS(図10の挿入図)を形成した後に、ドライクリーニング処理および熱処理を半導体基板に施した場合のゲート電極と半導体基板との間に流れる電流(リーク電流)と熱処理温度との関係を示すグラフ図である。   FIG. 10 shows the current (leakage current) flowing between the gate electrode and the semiconductor substrate and the heat treatment temperature when dry cleaning treatment and heat treatment are performed on the semiconductor substrate after forming the nMIS (inset of FIG. 10). It is a graph which shows a relationship.

正常なnMISのゲート電流は1×10−13A/μm程度であるが、nMISの表面にドライクリーニング処理により生成した生成物が残留すると、その生成物を通して5×10−11A/μm以上の表面リーク電流が流れる。しかし、150℃よりも高い温度で熱処理を行うと、温度の増加に従って表面リーク電流が減少し、例えば160℃程度の温度で熱処理をすると、リーク電流は1×10−12A/μm程度に減少し、さらに180℃程度の温度で熱処理をすると、リーク電流は1×10−13A/μm程度に減少する。このことから、180℃よりも高い温度で熱処理をすることにより、nMISの表面にリーク電流が流れない状態まで生成物を除去することができると考えられる。 The gate current of normal nMIS is about 1 × 10 −13 A / μm 2 , but if the product generated by the dry cleaning process remains on the surface of nMIS, 5 × 10 −11 A / μm 2 is passed through the product. The above surface leakage current flows. However, when heat treatment is performed at a temperature higher than 150 ° C., the surface leakage current decreases as the temperature increases. For example, when heat treatment is performed at a temperature of about 160 ° C., the leakage current is about 1 × 10 −12 A / μm 2 . When heat treatment is further performed at a temperature of about 180 ° C., the leakage current is reduced to about 1 × 10 −13 A / μm 2 . From this, it is considered that the product can be removed by performing the heat treatment at a temperature higher than 180 ° C. until no leakage current flows on the surface of the nMIS.

次に、搬送用ロボット62aによって半導体ウエハSWを加熱処理用のチャンバ55(またはチャンバ56)から冷却・受渡用のチャンバ60(またはチャンバ61)へ第1搬送室51aを介して真空搬送し、チャンバ60(またはチャンバ61)に備わるステージ上に載せる(図7の工程P4)。チャンバ60(またはチャンバ61)のステージ上に半導体ウエハSWを載せることにより、半導体ウエハSWは冷却される。   Next, the semiconductor wafer SW is vacuum-transferred from the heat treatment chamber 55 (or the chamber 56) to the cooling / delivery chamber 60 (or the chamber 61) via the first transfer chamber 51a by the transfer robot 62a. 60 (or chamber 61) is placed on a stage (step P4 in FIG. 7). By placing the semiconductor wafer SW on the stage of the chamber 60 (or the chamber 61), the semiconductor wafer SW is cooled.

次に、搬送用ロボット62bによって半導体ウエハSWを冷却・受渡用のチャンバ60(またはチャンバ61)からチタン成膜用のチャンバ58へ第2搬送室51bを介して真空搬送する(図7の工程P5)。チャンバ58内を排気機構により所定の真空度、例えば1.33×10−6Paとした後、半導体ウエハSWを所定の温度に加熱し、チャンバ58内へArガスを所定の流量により導入して高指向性スパッタリング法により半導体ウエハSWの主面上へチタン膜21を堆積する。チタン膜21の厚さは、例えば20nmである。なお、チタン膜21は高指向性スパッタリング法の他に、プラズマCVD法により堆積することができる。 Next, the semiconductor wafer SW is vacuum-transferred from the cooling / delivery chamber 60 (or chamber 61) to the titanium film-forming chamber 58 via the second transfer chamber 51b by the transfer robot 62b (process P5 in FIG. 7). ). After the inside of the chamber 58 is set to a predetermined degree of vacuum by an exhaust mechanism, for example, 1.33 × 10 −6 Pa, the semiconductor wafer SW is heated to a predetermined temperature, and Ar gas is introduced into the chamber 58 at a predetermined flow rate. A titanium film 21 is deposited on the main surface of the semiconductor wafer SW by a highly directional sputtering method. The thickness of the titanium film 21 is 20 nm, for example. The titanium film 21 can be deposited by a plasma CVD method in addition to the high directivity sputtering method.

次に、搬送用ロボット62bによって半導体ウエハSWをチタン成膜用のチャンバ58から窒化チタン成膜用のチャンバ59へ第2搬送室51bを介して真空搬送する(図7の工程P6)。チャンバ59内を排気機構により所定の真空度とした後、半導体ウエハSWを所定の温度に加熱し、チャンバ59内へ所定のガスを所定の流量により導入してMOCVD法またはプラズマCVD法により半導体ウエハSWの主面上へ窒化チタン膜22を堆積する。窒化チタン膜22の厚さは、例えば5nmである。   Next, the semiconductor wafer SW is vacuum-transferred from the titanium film forming chamber 58 to the titanium nitride film forming chamber 59 through the second transfer chamber 51b by the transfer robot 62b (step P6 in FIG. 7). After the chamber 59 is evacuated to a predetermined degree by an exhaust mechanism, the semiconductor wafer SW is heated to a predetermined temperature, a predetermined gas is introduced into the chamber 59 at a predetermined flow rate, and the semiconductor wafer is formed by MOCVD or plasma CVD. A titanium nitride film 22 is deposited on the main surface of the SW. The thickness of the titanium nitride film 22 is, for example, 5 nm.

次に、搬送用ロボット62bによって半導体ウエハSWを窒化チタン成膜用のチャンバ59から冷却・受渡用のチャンバ60(またはチャンバ61)へ第2搬送室51bを介して真空搬送する(図7の工程P7)。   Next, the semiconductor wafer SW is vacuum-transferred from the titanium nitride film forming chamber 59 to the cooling / delivery chamber 60 (or the chamber 61) via the second transfer chamber 51b by the transfer robot 62b (step of FIG. 7). P7).

次に、搬送用ロボット62aによって半導体ウエハSWを冷却・受渡用のチャンバ60(またはチャンバ61)からアンローダ54へ真空搬出し(図7の工程P8)、アンローダ54に置かれたフープへ載せる。   Next, the semiconductor wafer SW is transferred from the cooling / delivery chamber 60 (or the chamber 61) to the unloader 54 by the transfer robot 62a (step P8 in FIG. 7), and placed on the hoop placed on the unloader 54.

このように、ドライクリーニング処理の工程(図7の工程P2)とチタン膜21を堆積する工程(図7の工程P5)との間に150から400℃の熱処理を半導体基板1に施すことによって(図7の工程P3)、接続孔20の底面および側面にドライクリーニング処置時に生成された生成物が除去されるので、接続孔20の底面におけるチタン膜21とニッケルシリサイド層18との接触抵抗のばらつきを低減することができる。さらに、接続孔20の側面におけるチタン膜21の剥がれを防止することができる。   As described above, the semiconductor substrate 1 is subjected to heat treatment at 150 to 400 ° C. between the dry cleaning process (process P2 in FIG. 7) and the process of depositing the titanium film 21 (process P5 in FIG. 7) ( Step P3 in FIG. 7, since the product generated during the dry cleaning treatment is removed from the bottom and side surfaces of the connection hole 20, variation in contact resistance between the titanium film 21 and the nickel silicide layer 18 on the bottom surface of the connection hole 20. Can be reduced. Furthermore, peeling of the titanium film 21 on the side surface of the connection hole 20 can be prevented.

その後、図11に示すように、接続孔20の内部を含む半導体基板1の主面上にタングステン膜23をCVD法により堆積し、例えばCMP法によりタングステン膜23の表面を平坦化することによって接続孔20の内部にタングステン膜23を埋め込み、タングステン膜23を主導電材料とするプラグを形成する。   Thereafter, as shown in FIG. 11, a tungsten film 23 is deposited on the main surface of the semiconductor substrate 1 including the inside of the connection hole 20 by a CVD method, and the surface of the tungsten film 23 is flattened by, for example, a CMP method to be connected. A tungsten film 23 is embedded in the hole 20 to form a plug using the tungsten film 23 as a main conductive material.

なお、前述した接続孔20の内部にプラグを形成する工程では、プラグの主導電材料をタングステン膜23とし、バリアメタル膜をチタン膜21上に窒化チタン膜22を積み重ねた積層膜としたが、これに限定されるものではなく、種々変更することは可能である。例えば(1)プラグの主導電材料をタングステン膜とし、バリアメタル膜を窒化タングステン膜とする。1台のタングステン成膜装置を用いて窒化タングステン膜およびタングステン膜を順次成膜することができるので、スループットが向上し、コストを低減することができる。(2)プラグの主導電材料を銅膜とし、バリアメタル膜を窒化チタン膜とする。(3)プラグの主導電材料を銅膜とし、バリアメタル膜を窒化タンタル膜とする。窒化タンタル膜を用いることにより、バリアメタル膜に窒化チタン膜を用いた場合よりも銅原子が拡散するのを抑制または防止することができる。(4)プラグの主導電材料を銅膜とし、バリアメタル膜を窒化タンタル膜上にタンタル膜を積み重ねた積層膜とする。銅膜と窒化タンタル膜との間にタンタル膜を挿入することにより、銅膜と窒化タンタル膜との密着性を向上させることができる。(5)プラグの主導電材料を銅膜とし、バリアメタル膜を窒化タンタル膜上にルテニウム膜を積み重ねた積層膜とする。銅膜と窒化タンタル膜との間にルテニウム膜を挿入することにより、銅膜と窒化タンタル膜との密着性を向上させることができる。(6)プラグの主導電材料をアルミニウム膜とし、バリアメタル膜をチタン膜上に窒化チタン膜を積み重ねた積層膜、または窒化タングステン膜とする。   In the step of forming the plug inside the connection hole 20 described above, the main conductive material of the plug is the tungsten film 23, and the barrier metal film is a laminated film in which the titanium nitride film 22 is stacked on the titanium film 21, The present invention is not limited to this, and various changes can be made. For example, (1) the main conductive material of the plug is a tungsten film, and the barrier metal film is a tungsten nitride film. Since a tungsten nitride film and a tungsten film can be sequentially formed using one tungsten film forming apparatus, throughput can be improved and cost can be reduced. (2) The main conductive material of the plug is a copper film, and the barrier metal film is a titanium nitride film. (3) The main conductive material of the plug is a copper film, and the barrier metal film is a tantalum nitride film. By using a tantalum nitride film, it is possible to suppress or prevent copper atoms from diffusing more than when a titanium nitride film is used as the barrier metal film. (4) The main conductive material of the plug is a copper film, and the barrier metal film is a laminated film in which a tantalum film is stacked on a tantalum nitride film. By inserting the tantalum film between the copper film and the tantalum nitride film, the adhesion between the copper film and the tantalum nitride film can be improved. (5) The main conductive material of the plug is a copper film, and the barrier metal film is a laminated film in which a ruthenium film is stacked on a tantalum nitride film. By inserting a ruthenium film between the copper film and the tantalum nitride film, the adhesion between the copper film and the tantalum nitride film can be improved. (6) The main conductive material of the plug is an aluminum film, and the barrier metal film is a laminated film in which a titanium nitride film is stacked on a titanium film, or a tungsten nitride film.

次に、図12に示すように、半導体基板1の主面上にストッパ絶縁膜24および配線形成用の絶縁膜25を順次形成する。ストッパ絶縁膜24は絶縁膜25への溝加工の際にエッチングストッパとなる膜であり、絶縁膜25に対してエッチング選択比を有する材料を用いる。ストッパ絶縁膜24は、例えばプラズマCVD法により形成される窒化シリコン膜とし、絶縁膜25は、例えばプラズマCVD法により形成される酸化シリコン膜とすることができる。なお、ストッパ絶縁膜24と絶縁膜25には次に説明する第1層目の配線が形成される。   Next, as shown in FIG. 12, a stopper insulating film 24 and a wiring forming insulating film 25 are sequentially formed on the main surface of the semiconductor substrate 1. The stopper insulating film 24 is a film that becomes an etching stopper when a groove is formed in the insulating film 25, and a material having an etching selectivity with respect to the insulating film 25 is used. The stopper insulating film 24 can be a silicon nitride film formed by, for example, plasma CVD, and the insulating film 25 can be, for example, a silicon oxide film formed by plasma CVD. The stopper insulating film 24 and the insulating film 25 are formed with the first layer wiring described below.

次に、シングルダマシン法により第1層目の配線を形成する。まず、レジストパターンをマスクとしたドライエッチングによってストッパ絶縁膜24および絶縁膜25の所定の領域に配線溝26を形成した後、半導体基板1の主面上にバリアメタル膜27を形成する。バリアメタル膜27は、例えば窒化チタン膜、窒化タンタル膜、窒化タンタル膜上にタンタル膜を積み重ねた積層膜、または窒化タンタル膜上にルテニウム膜を積み重ねた積層膜である。続いてCVD法またはスパッタリング法によりバリアメタル膜27上に銅のシード層を形成し、さらに電解めっき法を用いてシード層上に銅めっき膜を形成する。銅めっき膜により配線溝26の内部を埋め込む。続いて配線溝26以外の領域の銅めっき膜、シード層およびバリアメタル膜27をCMP法により除去して、銅膜を主導電材料とする第1層目の配線M1を形成する。   Next, a first layer wiring is formed by a single damascene method. First, after forming a wiring groove 26 in a predetermined region of the stopper insulating film 24 and the insulating film 25 by dry etching using a resist pattern as a mask, a barrier metal film 27 is formed on the main surface of the semiconductor substrate 1. The barrier metal film 27 is, for example, a titanium nitride film, a tantalum nitride film, a stacked film in which a tantalum film is stacked on a tantalum nitride film, or a stacked film in which a ruthenium film is stacked on a tantalum nitride film. Subsequently, a copper seed layer is formed on the barrier metal film 27 by CVD or sputtering, and a copper plating film is further formed on the seed layer by electrolytic plating. The inside of the wiring groove 26 is filled with a copper plating film. Subsequently, the copper plating film, the seed layer, and the barrier metal film 27 in a region other than the wiring groove 26 are removed by CMP to form a first layer wiring M1 using the copper film as a main conductive material.

次に、デュアルダマシン法により第2層目の配線を形成する。まず、図13に示すように、半導体基板1の主面上にキャップ絶縁膜28、層間絶縁膜29および配線形成用のストッパ絶縁膜30を順次形成する。キャップ絶縁膜28および層間絶縁膜29には、後に説明するように接続孔が形成される。キャップ絶縁膜28は、層間絶縁膜29に対してエッチング選択比を有する材料で構成され、例えばプラズマCVD法により形成される窒化シリコン膜とすることができる。さらにキャップ絶縁膜28は第1層目の配線M1を構成する銅の拡散を防止する保護膜としての機能を有している。層間絶縁膜29は、例えばプラズマCVD法により形成されるTEOS膜とすることができる。ストッパ絶縁膜30は、層間絶縁膜29および後にストッパ絶縁膜30の上層に堆積される配線形成用の絶縁膜に対してエッチング選択比を有する絶縁材料で構成され、例えばプラズマCVD法により形成される窒化シリコン膜とすることができる。   Next, a second layer wiring is formed by a dual damascene method. First, as shown in FIG. 13, a cap insulating film 28, an interlayer insulating film 29, and a wiring forming stopper insulating film 30 are sequentially formed on the main surface of the semiconductor substrate 1. As will be described later, connection holes are formed in the cap insulating film 28 and the interlayer insulating film 29. The cap insulating film 28 is made of a material having an etching selectivity with respect to the interlayer insulating film 29, and can be a silicon nitride film formed by, for example, a plasma CVD method. Further, the cap insulating film 28 has a function as a protective film for preventing diffusion of copper constituting the first layer wiring M1. The interlayer insulating film 29 can be a TEOS film formed by, for example, a plasma CVD method. The stopper insulating film 30 is made of an insulating material having an etching selectivity with respect to the interlayer insulating film 29 and an insulating film for wiring formation deposited later on the stopper insulating film 30, and is formed by, for example, a plasma CVD method. A silicon nitride film can be formed.

次に、孔形成用のレジストパターンをマスクとしたドライエッチングによりストッパ絶縁膜30を加工した後、ストッパ絶縁膜30上に配線形成用の絶縁膜31を形成する。絶縁膜31は、例えばTEOS膜とすることができる。   Next, after the stopper insulating film 30 is processed by dry etching using a resist pattern for hole formation as a mask, an insulating film 31 for wiring formation is formed on the stopper insulating film 30. The insulating film 31 can be a TEOS film, for example.

次に、配線溝形成用のレジストパターンをマスクとしたドライエッチングにより絶縁膜31を加工する。この際、ストッパ絶縁膜30がエッチングストッパとして機能する。続いてストッパ絶縁膜30および配線溝形成用のレジストパターンをマスクとしたドライエッチングにより層間絶縁膜29を加工する。この際、キャップ絶縁膜28がエッチングストッパとして機能する。続いて露出したキャップ絶縁膜28をドライエッチングにより除去することにより、キャップ絶縁膜28および層間絶縁膜29に接続孔32が形成され、ストッパ絶縁膜30および絶縁膜31に配線溝33が形成される。   Next, the insulating film 31 is processed by dry etching using a resist pattern for wiring trench formation as a mask. At this time, the stopper insulating film 30 functions as an etching stopper. Subsequently, the interlayer insulating film 29 is processed by dry etching using the stopper insulating film 30 and the resist pattern for forming the wiring trench as a mask. At this time, the cap insulating film 28 functions as an etching stopper. Subsequently, the exposed cap insulating film 28 is removed by dry etching, whereby a connection hole 32 is formed in the cap insulating film 28 and the interlayer insulating film 29, and a wiring groove 33 is formed in the stopper insulating film 30 and the insulating film 31. .

次に、接続孔32および配線溝33の内部に第2層目の配線を形成する。第2層目の配線は、バリアメタル層および主導電材料である銅膜からなり、この配線と下層配線である第1層目の配線M1とを接続する接続部材は第2層目の配線と一体に形成される。まず、接続孔32および配線溝33の内部を含む半導体基板1の主面上にバリアメタル膜34を形成する。バリアメタル膜34は、例えば窒化チタン膜、窒化タンタル膜、窒化タンタル膜上にタンタル膜を積み重ねた積層膜、または窒化タンタル膜上にルテニウム膜を積み重ねた積層膜である。バリアメタル膜34を形成する前には前述したドライクリーニング処理が行われるが、このドライクリーニング処理においてもその後、前述した100から150℃の温度での加熱と150℃よりも高い温度での加熱とを半導体ウエハに対して行い、接続孔32の底面ならびに接続孔32および配線溝33の側壁に生成した生成物の除去を行ってもよい。これにより、バリアメタル膜34と第1層目の配線M1との接触抵抗のばらつきを低減することができ、また、キャップ絶縁膜28、層間絶縁膜29、ストッパ絶縁膜30および絶縁膜31からのバリアメタル膜34の剥がれを防止することができる。続いてCVD法またはスパッタリング法によりバリアメタル膜34上に銅のシード層を形成し、さらに電解めっき法を用いてシード層上に銅めっき膜を形成する。銅めっき膜により接続孔32および配線溝33の内部を埋め込む。続いて接続孔32および配線溝33以外の領域の銅めっき膜、シード層およびバリアメタル膜34をCMP法により除去して、銅膜を主導電材料とする第2層目の配線M2を形成する。   Next, a second layer wiring is formed inside the connection hole 32 and the wiring groove 33. The second layer wiring is made of a barrier metal layer and a copper film that is a main conductive material, and a connecting member that connects this wiring and the first layer wiring M1 that is a lower layer wiring is the second layer wiring. It is integrally formed. First, the barrier metal film 34 is formed on the main surface of the semiconductor substrate 1 including the insides of the connection holes 32 and the wiring grooves 33. The barrier metal film 34 is, for example, a titanium nitride film, a tantalum nitride film, a stacked film in which a tantalum film is stacked on a tantalum nitride film, or a stacked film in which a ruthenium film is stacked on a tantalum nitride film. The dry cleaning process described above is performed before the barrier metal film 34 is formed. In this dry cleaning process, the heating at a temperature of 100 to 150 ° C. and the heating at a temperature higher than 150 ° C. are performed thereafter. The product formed on the bottom surface of the connection hole 32 and the side walls of the connection hole 32 and the wiring groove 33 may be removed. As a result, variation in contact resistance between the barrier metal film 34 and the first-layer wiring M1 can be reduced, and from the cap insulating film 28, the interlayer insulating film 29, the stopper insulating film 30, and the insulating film 31. The barrier metal film 34 can be prevented from peeling off. Subsequently, a copper seed layer is formed on the barrier metal film 34 by a CVD method or a sputtering method, and a copper plating film is further formed on the seed layer by an electrolytic plating method. The inside of the connection hole 32 and the wiring groove 33 is embedded with a copper plating film. Subsequently, the copper plating film, the seed layer, and the barrier metal film 34 in regions other than the connection hole 32 and the wiring groove 33 are removed by CMP to form a second-layer wiring M2 using the copper film as a main conductive material. .

その後、図14に示すように、例えば前述した第2層目の配線M2と同様な方法によりさらに上層の配線を形成する。図14では、第3層目から第6層目の配線M3,M4,M5,M6を形成したCMOSデバイスを例示している。続いて第6層目の配線M6上に窒化シリコン膜35を形成し、窒化シリコン膜35上に酸化シリコン膜36を形成する。これら窒化シリコン膜35および酸化シリコン膜36は、外部からの水分や不純物の侵入防止およびα線の透過の抑制を行うパッシベーション膜として機能する。   After that, as shown in FIG. 14, for example, an upper layer wiring is formed by the same method as the above-described second layer wiring M2. FIG. 14 illustrates a CMOS device in which wirings M3, M4, M5, and M6 from the third layer to the sixth layer are formed. Subsequently, a silicon nitride film 35 is formed on the sixth-layer wiring M 6, and a silicon oxide film 36 is formed on the silicon nitride film 35. The silicon nitride film 35 and the silicon oxide film 36 function as a passivation film that prevents moisture and impurities from entering from the outside and suppresses the transmission of α rays.

次に、窒化シリコン膜35および酸化シリコン膜36をレジストパターンをマスクとしたエッチングにより加工して、第6層目の配線M6の一部(ボンディングパッド部)を露出させる。続いて露出した第6層目の配線M6上に金膜およびニッケル膜等の積層膜からなるバンプ下地電極37を形成し、バンプ下地電極37上に金または半田等からなるバンプ電極38を形成することにより、実施の形態1であるCMOSデバイスが略完成する。なお、このバンプ電極38は外部接続用電極となる。この後、半導体ウエハSWから半導体チップに個々に切り分けられ、パッケージ基板等に実装されて半導体装置が完成するが、それらの説明は省略する。   Next, the silicon nitride film 35 and the silicon oxide film 36 are processed by etching using a resist pattern as a mask to expose a part of the sixth layer wiring M6 (bonding pad portion). Subsequently, a bump base electrode 37 made of a laminated film such as a gold film and a nickel film is formed on the exposed sixth layer wiring M6, and a bump electrode 38 made of gold, solder, or the like is formed on the bump base electrode 37. As a result, the CMOS device according to the first embodiment is almost completed. The bump electrode 38 serves as an external connection electrode. Thereafter, the semiconductor wafer SW is cut into individual semiconductor chips and mounted on a package substrate or the like to complete the semiconductor device, but the description thereof is omitted.

なお、実施の形態1のドライクリーニング処理では、リモートプラズマ発生装置57dにおいて還元ガス、例えばNFガスおよびNHガスを添加したArガス(プラズマ励起用のガスとしてはArガスが多用されるが、その他の希ガスまたはそれらの混合ガスでもよい)を励起させてプラズマを生成し、このプラズマをチャンバ57内へ導入して自然酸化膜を還元反応により除去したが、プラズマを用いずに、HFガスとNHガスまたはNFガスとNHガス等の還元ガスをチャンバ57内へ導入して自然酸化膜を還元反応により除去してもよい。 In the dry cleaning process of the first embodiment, the remote plasma generator 57d uses Ar gas to which a reducing gas, for example, NF 3 gas and NH 3 gas is added (Ar gas is often used as the plasma excitation gas, Other rare gases or mixed gases thereof may be excited to generate plasma, and this plasma is introduced into the chamber 57 to remove the natural oxide film by a reduction reaction. However, HF gas is used without using plasma. A reducing gas such as NH 3 gas or NF 3 gas and NH 3 gas may be introduced into the chamber 57 to remove the natural oxide film by a reduction reaction.

また、リモートプラズマ装置に限定されるものではなく、その他の特性に問題がなければ、通常のプラズマ装置を用いても問題はない。リモートプラズマは基板に損傷を与えない利点がある。   Further, the present invention is not limited to the remote plasma apparatus, and if there is no problem in other characteristics, there is no problem even if a normal plasma apparatus is used. Remote plasma has the advantage of not damaging the substrate.

また、プラズマを用いて処理する場合は、上記ガスの組み合わせに限らず、窒素、水素、フッ素(これらの複合ラジカルを含む)のそれぞれのラジカルまたは反応種を生成するものであれば、特にこのプロセスに対して有害なものでなければ、その他のガスの組み合わせでもよい。すなわち、窒素、水素およびフッ素ラジカル生成ガス(混合ガス含む)とプラズマ励起ガスとその他の添加ガス等との混合ガス雰囲気を適宜用いればよい。   In the case of processing using plasma, this process is not limited to the combination of the above gases, as long as it generates each radical or reactive species of nitrogen, hydrogen, and fluorine (including these complex radicals). Other gas combinations may be used as long as they are not harmful. That is, a mixed gas atmosphere of nitrogen, hydrogen, and fluorine radical generating gas (including mixed gas), plasma excitation gas, and other additive gases may be used as appropriate.

また、還元ガス等の反応ガスは上記ガスに限らず、酸化膜と比較的低温で反応して気化する反応種を生成するものであればよい。   Further, the reactive gas such as the reducing gas is not limited to the above gas, and any reactive gas that reacts with the oxide film at a relatively low temperature to generate vaporized species may be used.

このように、実施の形態1によれば、接続孔20の内部にバリアメタル膜(チタン膜21上に窒化チタン膜22を積み重ねた積層膜)を形成する前に行うドライクリーニング処置により、接続孔20の底面および側面に化学量論的組成から僅かにずれた生成物が残留するが、この生成物はドライクリーニング処理の後に行う150℃よりも高い温度の熱処理により除去されるので、接続孔20の底面におけるバリアメタル膜とニッケルシリサイド層18との接触抵抗のばらつきを低減することができ、また接続孔20の側面におけるバリアメタル膜の剥がれを防ぐことができるので、接続孔20部分における電気的特性のばらつきを低減することができる。また、実施の形態1は上下層の配線を接続する接続孔部分にも適用することができ、同様の効果を得ることができる。例えば実施の形態1に例示したデュアルダマシン配線の形成工程において、接続孔32および配線溝33の内部にバリアメタル膜34を形成する前に行うドライクリーニング処理の後に実施の形態1を実施することにより、接続孔32部分における電気的特性のばらつきを低減することができる。   As described above, according to the first embodiment, the connection hole is formed by the dry cleaning treatment performed before the barrier metal film (the laminated film in which the titanium nitride film 22 is stacked on the titanium film 21) is formed in the connection hole 20. A product slightly deviating from the stoichiometric composition remains on the bottom surface and the side surface of 20 but this product is removed by a heat treatment at a temperature higher than 150 ° C. performed after the dry cleaning treatment. Variation in contact resistance between the barrier metal film and the nickel silicide layer 18 on the bottom surface of the contact hole 20 can be reduced, and peeling of the barrier metal film on the side surface of the connection hole 20 can be prevented. Variations in characteristics can be reduced. The first embodiment can also be applied to connection hole portions connecting upper and lower layer wirings, and the same effect can be obtained. For example, in the formation process of the dual damascene wiring exemplified in the first embodiment, the first embodiment is performed after the dry cleaning process performed before forming the barrier metal film 34 in the connection hole 32 and the wiring groove 33. The variation in electrical characteristics in the connection hole 32 portion can be reduced.

(実施の形態2)
前述した実施の形態1で説明したように、バリアメタル膜の形成工程において行われるドライクリーニング処理では、半導体ウエハSWの主面上、側面および裏面の一部に生成物が残留する。このため、ドライクリーニング処理用のチャンバ57に備わる180℃に設定されたシャワーヘッド57cによって半導体ウエハSWを100から150℃の温度で加熱して(NHSiFの組成の生成物を除去し、さらに、加熱処理用のチャンバ55,56により半導体ウエハを150℃よりも高い温度で加熱して(NHSiFから僅かに組成のずれた生成物の除去を行っている。
(Embodiment 2)
As described in the first embodiment, in the dry cleaning process performed in the barrier metal film forming process, the product remains on the main surface, side surface, and part of the back surface of the semiconductor wafer SW. For this reason, the semiconductor wafer SW is heated at a temperature of 100 to 150 ° C. by the shower head 57 c set at 180 ° C. provided in the chamber 57 for the dry cleaning process to remove the product of the composition of (NH 4 ) 2 SiF 6. Further, the semiconductor wafer is heated at a temperature higher than 150 ° C. by the heat treatment chambers 55 and 56 to remove the product slightly deviated from the composition of (NH 4 ) 2 SiF 6 .

実施の形態2では、ドライクリーニング処理用のチャンバ57に備わるシャワーヘッド57CHの温度を180℃よりも高い温度、例えば250℃に設定し、ドライクリーニング処理の直後に、シャワーヘッド57CHにより半導体ウエハSWを180から220℃の温度で加熱して(NHSiFの組成の生成物および(NHSiFから僅かに組成のずれた生成物の除去を行う。 In the second embodiment, the temperature of the shower head 57CH provided in the dry cleaning process chamber 57 is set to a temperature higher than 180 ° C., for example, 250 ° C., and immediately after the dry cleaning process, the semiconductor wafer SW is loaded by the shower head 57CH. 180 is heated at a temperature of 220 ° C. to remove the (NH 4) the product of the composition of 2 SiF 6 and (NH 4) shifted product slightly composition from 2 SiF 6.

実施の形態2によるバリアメタル膜の形成方法を図15および図16を用いて説明する。図15はバリアメタル膜の成膜工程図、図16(a)、(b)および(c)はそれぞれバリアメタル膜の成膜装置に備わるドライクリーニング処理用チャンバにおける半導体ウエハの処理工程を説明するためのチャンバの概略断面図である。なお、バリアメタル膜の成膜装置は、前述した実施の形態1の成膜装置50を用いる。   A barrier metal film forming method according to the second embodiment will be described with reference to FIGS. FIG. 15 illustrates a barrier metal film deposition process, and FIGS. 16A, 16B, and 16C illustrate a semiconductor wafer processing process in a dry cleaning processing chamber provided in the barrier metal film deposition apparatus, respectively. It is a schematic sectional drawing of the chamber for. The barrier metal film forming apparatus uses the film forming apparatus 50 of the first embodiment described above.

まず、前述した実施の形態1と同様にして、半導体ウエハSWをローダ53からドライクリーニング処理用のチャンバ57へ真空搬送する(図15の工程P1,P2)。チャンバ57に備わるシャワーヘッド57CHは常に一定温度に維持されており、その温度は例えば250℃である。   First, as in the first embodiment, the semiconductor wafer SW is vacuum-transferred from the loader 53 to the dry cleaning processing chamber 57 (steps P1 and P2 in FIG. 15). The shower head 57CH provided in the chamber 57 is always maintained at a constant temperature, and the temperature is, for example, 250 ° C.

チャンバ57へ半導体ウエハSWを搬入する時は、図16(a)に示すように、ウエハステージ57aを下降させ、ウエハリフトピン57bを上昇させて、ウエハリフトピン57b上に半導体ウエハSWを載せる。シャワーヘッド57CHと半導体ウエハSWとの距離は、例えば16.5±12.7mm、半導体ウエハSWとウエハステージ57aとの距離は、例えば25.4±17.8mmに設定される。   When the semiconductor wafer SW is carried into the chamber 57, as shown in FIG. 16A, the wafer stage 57a is lowered, the wafer lift pins 57b are raised, and the semiconductor wafer SW is placed on the wafer lift pins 57b. The distance between the shower head 57CH and the semiconductor wafer SW is set to, for example, 16.5 ± 12.7 mm, and the distance between the semiconductor wafer SW and the wafer stage 57a is set to, for example, 25.4 ± 17.8 mm.

続いて半導体ウエハSWの主面上をドライクリーニング処理する時は、図16(b)に示すように、ウエハステージ57aを上昇させ、ウエハリフトピン57bを下降させて、ウエハステージ57a上に半導体ウエハSWを載せる。シャワーヘッド57CHと半導体ウエハSWとの距離は、例えば17.8±5.1mmに設定される。ドライクリーニング処理が行われた半導体ウエハSWの主面上、側面および裏面の一部には、還元反応により生成された生成物((NHSiF)が残留する。 Subsequently, when the dry cleaning process is performed on the main surface of the semiconductor wafer SW, as shown in FIG. 16B, the wafer stage 57a is raised and the wafer lift pins 57b are lowered to place the semiconductor wafer SW on the wafer stage 57a. Put on. The distance between the shower head 57CH and the semiconductor wafer SW is set to 17.8 ± 5.1 mm, for example. A product ((NH 4 ) 2 SiF 6 ) generated by the reduction reaction remains on the main surface, the side surface, and a part of the back surface of the semiconductor wafer SW subjected to the dry cleaning process.

続いて半導体ウエハSWを熱処理する時は、図16(c)に示すように、ウエハステージ57aを下降させ、ウエハリフトピン57bを上昇させて、半導体ウエハSWを温度250℃に設定されたシャワーヘッド57CHへ近づける。シャワーヘッド57CHと半導体ウエハSWとの距離は、例えば3.8±2.6mm、半導体ウエハSWとウエハステージ57aとの距離は、例えば5.9mm以上に設定される。   Subsequently, when the semiconductor wafer SW is heat-treated, as shown in FIG. 16C, the wafer stage 57a is lowered, the wafer lift pins 57b are raised, and the semiconductor wafer SW is set at a temperature of 250 ° C. Move closer. The distance between the shower head 57CH and the semiconductor wafer SW is set to, for example, 3.8 ± 2.6 mm, and the distance between the semiconductor wafer SW and the wafer stage 57a is set to, for example, 5.9 mm or more.

熱処理時には、シャワーヘッド57CHの加熱温度(250℃)を利用して半導体ウエハSWが加熱されるので、半導体ウエハSWの温度は150℃よりも高くなり、上記ドライクリーニング処置時に半導体ウエハSWの主面上、側面および裏面の一部に残留した(NHSiFの組成の生成物が除去され、さらに(NHSiFから僅かに組成のずれた生成物も除去される。 At the time of heat treatment, the semiconductor wafer SW is heated using the heating temperature (250 ° C.) of the shower head 57CH, so that the temperature of the semiconductor wafer SW becomes higher than 150 ° C., and the main surface of the semiconductor wafer SW is subjected to the dry cleaning treatment. A product having a composition of (NH 4 ) 2 SiF 6 remaining on a part of the upper surface, the side surface and the back surface is removed, and a product slightly deviated from the composition of (NH 4 ) 2 SiF 6 is also removed.

次に、搬送用ロボット62aによって半導体ウエハSWをドライクリーニング処理用のチャンバ57から冷却・受渡用のチャンバ60(またはチャンバ61)へ第1搬送室51aを介して真空搬送し、チャンバ60(またはチャンバ61)に備わるステージ上に載せる(図15の工程P3)。チャンバ60(またはチャンバ61)のステージ上に半導体ウエハSWを載せることにより、半導体ウエハSWは冷却される。   Next, the semiconductor wafer SW is vacuum-transferred from the dry cleaning processing chamber 57 to the cooling / delivery chamber 60 (or chamber 61) via the first transfer chamber 51a by the transfer robot 62a, and the chamber 60 (or chamber) 61) (step P3 in FIG. 15). By placing the semiconductor wafer SW on the stage of the chamber 60 (or the chamber 61), the semiconductor wafer SW is cooled.

その後は前述した実施の形態1と同様に、搬送用ロボット62bによってチタン成膜用のチャンバ58においてチタン膜を堆積し(図15の工程P4)、窒化チタン成膜用のチャンバ59においてチタン膜上に窒化チタン膜を堆積した後(図15の工程P5)、半導体ウエハSWを冷却・受渡用のチャンバ60(またはチャンバ61)へ真空搬送する(図15の工程P6)。さらに、搬送用ロボット62aによって半導体ウエハSWをアンローダ54へ真空搬出し(図15の工程P7)、アンローダ54に置かれたフープへ載せる。   Thereafter, as in the first embodiment described above, a titanium film is deposited in the titanium film forming chamber 58 by the transfer robot 62b (step P4 in FIG. 15), and the titanium film is deposited on the titanium film in the titanium nitride film forming chamber 59. After depositing a titanium nitride film (process P5 in FIG. 15), the semiconductor wafer SW is vacuum transferred to the cooling / delivery chamber 60 (or chamber 61) (process P6 in FIG. 15). Further, the semiconductor wafer SW is unloaded to the unloader 54 by the transfer robot 62a (step P7 in FIG. 15) and placed on the hoop placed on the unloader 54.

このように、実施の形態2によれば、ドライクリーニング処理において半導体ウエハSWの側面および裏面の一部に生成した不要な生成物は、ドライクリーニング処理に続くシャワーヘッド57CHによる180から220℃の熱処理によって除去されるので、前述した実施の形態1で行う熱処理用のチャンバ55,56における熱処理工程が不要となり、前述した実施の形態1よりも製造工程数を削減することができる。   As described above, according to the second embodiment, unnecessary products generated on part of the side surface and the back surface of the semiconductor wafer SW in the dry cleaning process are heat-treated at 180 to 220 ° C. by the shower head 57CH following the dry cleaning process. Therefore, the heat treatment process in the heat treatment chambers 55 and 56 performed in the first embodiment described above becomes unnecessary, and the number of manufacturing steps can be reduced as compared with the first embodiment described above.

(実施の形態3)
前述した実施の形態1で説明したように、バリアメタル膜の形成工程において行われるドライクリーニング処理では、一般に半導体ウエハSWをウエハステージ57aに単に置くだけであり、このため、半導体ウエハSWの主面上のみならず、半導体ウエハSWの側面および裏面の一部にも(NHSiFの組成の生成物が残留する。半導体ウエハSWの側面および裏面の一部に残留した生成物は、半導体ウエハSWを他のチャンバへ搬送する場合などにおいて剥がれて、汚染や発塵の原因となる。そこで、前述した実施の形態1および2では、ドライクリーニング処置に続いて、同じチャンバ57内で半導体ウエハSWに熱処理を施すことにより、半導体ウエハSWの主面上に残留する生成物を除去すると同時に、半導体ウエハSWの側面および裏面の一部に残留する生成物を除去している。
(Embodiment 3)
As described in the first embodiment, in the dry cleaning process performed in the barrier metal film forming process, the semiconductor wafer SW is generally simply placed on the wafer stage 57a. The product of the composition of (NH 4 ) 2 SiF 6 remains not only on the top but also on a part of the side surface and the back surface of the semiconductor wafer SW. The product remaining on a part of the side surface and the back surface of the semiconductor wafer SW is peeled off when the semiconductor wafer SW is transported to another chamber or the like, causing contamination and dust generation. Therefore, in the first and second embodiments described above, by performing heat treatment on the semiconductor wafer SW in the same chamber 57 following the dry cleaning treatment, the product remaining on the main surface of the semiconductor wafer SW is removed at the same time. The product remaining on a part of the side surface and the back surface of the semiconductor wafer SW is removed.

実施の形態3では、ドライクリーニング処理時において半導体ウエハSWの裏面へのドライクリーニングガスの回り込みを防ぐことにより、半導体ウエハSWの側面および裏面の一部への生成物の生成を防止する。従って、前述した実施の形態1においてドライクリーニング処理後に続いて行われるシャワーヘッド57cによる半導体ウエハSWの熱処理、すなわちチャンバ57に備わるウエハステージ57aを下降させ、ウエハリフトピン57bを上昇させて、半導体ウエハSWを温度180℃に設定されたシャワーヘッド57cへ近づけることによる熱処理(図9(c))は不要となる。なお、ドライクリーニング処理により半導体ウエハSWの主面上に残留する生成物は、熱処理用のチャンバ55,56における150℃よりも高い温度の熱処理により除去することができる。   In the third embodiment, during the dry cleaning process, the generation of products on the side surface and part of the back surface of the semiconductor wafer SW is prevented by preventing the dry cleaning gas from flowing into the back surface of the semiconductor wafer SW. Accordingly, the heat treatment of the semiconductor wafer SW by the shower head 57c performed after the dry cleaning process in the first embodiment described above, that is, the wafer stage 57a provided in the chamber 57 is lowered and the wafer lift pins 57b are raised to raise the semiconductor wafer SW. The heat treatment (FIG. 9C) by bringing the temperature close to the shower head 57 c set at a temperature of 180 ° C. becomes unnecessary. The product remaining on the main surface of the semiconductor wafer SW by the dry cleaning process can be removed by a heat treatment at a temperature higher than 150 ° C. in the heat treatment chambers 55 and 56.

実施の形態3によるドライクリーニング処理の方法を図17を用いて説明する。図17(a)、(b)および(c)はそれぞれバリアメタル膜の成膜装置に備わるドライクリーニング処理用チャンバの概略断面図である。   A dry cleaning method according to the third embodiment will be described with reference to FIG. FIGS. 17A, 17B, and 17C are schematic cross-sectional views of a dry cleaning processing chamber provided in the barrier metal film forming apparatus, respectively.

図17(a)は、半導体ウエハSWの側面および裏面の一部への生成物の生成を防止する第1のドライクリーニング処理方法を説明する図である。半導体ウエハSWはウエハステージ57a上に置かれており、昇降機構を有するシーリング57eを半導体ウエハSWの主面上の周囲に接触させて押さえることにより、半導体ウエハSWの周囲および裏面へのドライクリーニングガスの回り込みを防いでいる。   FIG. 17A is a view for explaining a first dry cleaning processing method for preventing the generation of products on part of the side surface and the back surface of the semiconductor wafer SW. The semiconductor wafer SW is placed on the wafer stage 57a, and a sealing 57e having an elevating mechanism is held in contact with the periphery on the main surface of the semiconductor wafer SW to press dry cleaning gas to the periphery and the back surface of the semiconductor wafer SW. Is prevented.

図17(b)は、半導体ウエハSWの側面および裏面の一部への生成物の生成を防止する第2のドライクリーニング処理方法を説明する図である。半導体ウエハSWは静電チャック機能を有するウエハステージ57a上に置かれており、半導体ウエハSWの裏面とウエハステージ57aとの間に隙間を形成することなく、ドライクリーニング処理を行うことができる。静電チャックは、ウエハステージ57aの上に誘電層を設けてウエハステージ57aと半導体ウエハSWとの間に電圧を印加し、両者間に発生した力によって半導体ウエハSWを吸着する機構である。吸着方式の違いによって誘電体として絶縁材料を使用するクーロン力型とジョンソン・ラベック力型とに分類されるが、低電圧で強い吸着力を有するジョンソン・ラベック力型はアルミナなどのセラミック製の静電チャックに多く、耐熱性と耐プラズマ性の面で有利であることから普及している。静電チャックは機械的な保持具を使用しないことから半導体ウエハSWの全面を均一に処理することができる。   FIG. 17B is a diagram for explaining a second dry cleaning processing method for preventing generation of a product on part of the side surface and the back surface of the semiconductor wafer SW. The semiconductor wafer SW is placed on a wafer stage 57a having an electrostatic chuck function, and a dry cleaning process can be performed without forming a gap between the back surface of the semiconductor wafer SW and the wafer stage 57a. The electrostatic chuck is a mechanism in which a dielectric layer is provided on the wafer stage 57a, a voltage is applied between the wafer stage 57a and the semiconductor wafer SW, and the semiconductor wafer SW is attracted by the force generated therebetween. Depending on the adsorption method, it is classified into a Coulomb force type that uses an insulating material as a dielectric and a Johnson Labek force type. The Johnson Labek force type that has a strong adsorption force at low voltage is a ceramic static type such as alumina. It is popular for electric chucks and is popular because it is advantageous in terms of heat resistance and plasma resistance. Since the electrostatic chuck does not use a mechanical holder, the entire surface of the semiconductor wafer SW can be processed uniformly.

さらに、昇降機構を有するシャドウリング57fを半導体ウエハSWの主面上の周囲に備えており、半導体ウエハSWに接触させずに半導体ウエハSWの周囲をシャドウリング57fによって覆い、ウエハステージ57aの周囲から上方に向けてHeガス等の不活性ガスを流す。これにより、半導体ウエハSWの周囲および裏面へのドライクリーニングガスの回り込みを防いでいる。   Further, a shadow ring 57f having a lifting mechanism is provided on the periphery of the main surface of the semiconductor wafer SW. The periphery of the semiconductor wafer SW is covered with the shadow ring 57f without contacting the semiconductor wafer SW, and from the periphery of the wafer stage 57a. An inert gas such as He gas is allowed to flow upward. This prevents the dry cleaning gas from entering the periphery and back surface of the semiconductor wafer SW.

図17(c)は、半導体ウエハSWの側面および裏面の一部への生成物の生成を防止する第3のドライクリーニング処理方法を説明する図である。半導体ウエハSWはウエハステージ57a上に置かれており、昇降機構を有するシーリング57eを半導体ウエハSWの主面上の周囲に接触させて押さえることにより、半導体ウエハSWの周囲および裏面へのドライクリーニングガスの回り込みを防いでいる。   FIG. 17C is a diagram for explaining a third dry cleaning processing method for preventing generation of a product on part of the side surface and the back surface of the semiconductor wafer SW. The semiconductor wafer SW is placed on the wafer stage 57a, and a sealing 57e having an elevating mechanism is held in contact with the periphery on the main surface of the semiconductor wafer SW to press dry cleaning gas to the periphery and the back surface of the semiconductor wafer SW. Is prevented.

さらに、シーリング57eの下部にはシーリング57eにつながる排気室57gが備わっており、ウエハステージ57aは排気室57g内に置かれている。排気室57gにはHeガス等の不活性ガスを排気室57gへ導入する配管と排気室57gから排気する配管とが設けられており、半導体ウエハSWの周囲および裏面へ不活性ガスを流入できる構造となっている。   Further, an exhaust chamber 57g connected to the ceiling 57e is provided below the ceiling 57e, and the wafer stage 57a is placed in the exhaust chamber 57g. The exhaust chamber 57g is provided with a pipe for introducing an inert gas such as He gas into the exhaust chamber 57g and a pipe for exhausting from the exhaust chamber 57g so that the inert gas can flow into and around the semiconductor wafer SW. It has become.

このように、実施の形態3によれば、ドライクリーニング処理において半導体ウエハSWの側面および裏面の一部に不要な生成物が生成せず、ドライクリーニング処理に続くシャワーヘッド57cによる半導体ウエハSWの熱処理が不要となるので、前述した実施の形態1よりも製造工程数を削減することができる。   As described above, according to the third embodiment, unnecessary products are not generated on the side surface and the back surface of the semiconductor wafer SW in the dry cleaning process, and the heat treatment of the semiconductor wafer SW by the shower head 57c following the dry cleaning process. Therefore, the number of manufacturing steps can be reduced as compared with the first embodiment described above.

以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。   As mentioned above, the invention made by the present inventor has been specifically described based on the embodiment. However, the present invention is not limited to the embodiment, and various modifications can be made without departing from the scope of the invention. Needless to say.

以下に、本願に開示された発明の概要を項に分けて簡潔に示す。
1.絶縁膜に開口した接続孔の内部にバリアメタル膜を介して金属膜を埋め込む半導体装置の製造方法であって、前記絶縁膜に前記接続孔を形成した後、前記接続孔の内部に前記バリアメタル膜を堆積する前に以下の工程を含む半導体装置の製造方法:
(a)第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程;
(b)前記ウエハステージの上方に設置されたシャワーヘッドを介して還元ガスを供給し、前記接続孔の内部をドライクリーニング処理する工程;
(c)前記シャワーヘッドの加熱温度を利用(シャワーヘッドの輻射等)した第1の温度で前記半導体ウエハに対して第1の熱処理する工程;
(d)前記半導体ウエハを前記第1のチャンバから第2のチャンバへ搬送する工程;
(e)前記第2のチャンバにおいて、前記第1の温度よりも高い第2の温度で前記半導体ウエハに対して第2の熱処理する工程。
The outline of the invention disclosed in the present application will be briefly described below.
1. A method of manufacturing a semiconductor device in which a metal film is embedded in a connection hole opened in an insulating film via a barrier metal film, and the barrier metal is formed in the connection hole after the connection hole is formed in the insulating film. A semiconductor device manufacturing method including the following steps before depositing a film:
(A) placing a semiconductor wafer on a wafer stage provided in the first chamber;
(B) a step of supplying a reducing gas via a shower head installed above the wafer stage and performing a dry cleaning process on the inside of the connection hole;
(C) a step of performing a first heat treatment on the semiconductor wafer at a first temperature using a heating temperature of the shower head (such as radiation of the shower head);
(D) transporting the semiconductor wafer from the first chamber to a second chamber;
(E) performing a second heat treatment on the semiconductor wafer at a second temperature higher than the first temperature in the second chamber;

なお、本願では、主にバリアメタル形成前の接続孔内洗浄プロセスについて説明したが、本項1、8、または11の各サブパラグラフのプロセスは、その他のウエハ処理の前処理(ドライ洗浄)として適用できることは言うまでもない。   In this application, the cleaning process in the connection hole before the formation of the barrier metal has been mainly described. However, the process of each sub-paragraph of this item 1, 8, or 11 is performed as a pre-process (dry cleaning) of other wafer processing. Needless to say, it can be applied.

すなわち、熱処理または各種の膜形成等のウエハ処理前の洗浄(例えばシリコン表面の自然酸化膜を比較的低温(例えば摂氏400度以下)で昇華、蒸発または気化する物質に変換する処理)としてドライ洗浄を実行する際に、ドライ洗浄の結果生成し、ウエハ表面(上面、側面、裏面を含む)に堆積、結露または凝結した洗浄残渣(洗浄処理生成物を含む)をドライ洗浄室と同室で、洗浄機構の一部または全部を利用して、第1の温度(ウエハ上面温度)で熱処理することによって前記洗浄残渣の一部を気化させて除去した後、ウエハを別の処理室に移動させ、そこで第1の温度よりも高い第2の温度(ウエハ上面温度)で熱処理することによって残留する残渣を気化させるものである。   That is, dry cleaning as cleaning before wafer processing such as heat treatment or formation of various films (for example, processing for converting a natural oxide film on a silicon surface into a substance that sublimes, evaporates or vaporizes at a relatively low temperature (for example, 400 degrees Celsius or lower) When cleaning is performed, cleaning residues (including cleaning processing products) that are generated as a result of dry cleaning and deposited, condensed or condensed on the wafer surface (including top, side, and back) are cleaned in the same room as the dry cleaning chamber. A part or all of the mechanism is used to heat and remove a part of the cleaning residue by heat treatment at a first temperature (wafer upper surface temperature), and then the wafer is moved to another processing chamber. Residual residues are vaporized by heat treatment at a second temperature (wafer upper surface temperature) higher than the first temperature.

第1の熱処理は比較的低温で出来るため、装置構造を比較的簡単に出来ること、またはスループットを確保できる利点がある。また、この処理によって、ハンドリングに必要な裏面、側面の残渣は比較的完全に(ハンドリングによる汚染の伝播を回避する限度で)除去できる利点がある。第2の熱処理が必要なのは、生成物が非化学量論的な組成を含むと気化温度が上昇する場合があるほか、複雑な構造を有する実際のウエハ上面では、地形学的特徴に依存して、実効的気化温度が上昇する場合があるからである。
2.前記項1記載の半導体装置の製造方法おいて、前記第1の温度は100以上150℃未満である。
3.前記項1記載の半導体装置の製造方法において、前記第2の温度は150から400℃である。
4.前記項1記載の半導体装置の製造方法において、前記第2の温度は165から350℃である。
5.前記項1記載の半導体装置の製造方法において、前記第2の温度は180から220℃である。
6.前記項1記載の半導体装置の製造方法において、前記第2の温度は200℃である。
7.前記項1記載の半導体装置の製造方法において、前記第1のチャンバと前記第2のチャンバとの間の前記半導体ウエハの搬送は、真空搬送される。
8.絶縁膜に開口した接続孔の内部にバリアメタル膜を介して金属膜を埋め込む半導体装置の製造方法であって、前記絶縁膜に前記接続孔を形成した後、前記接続孔の内部に前記バリアメタル膜を堆積する前に以下の工程を含む半導体装置の製造方法:
(a)第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程;
(b)前記ウエハステージの上方に設置されたシャワーヘッドを介して還元ガスを供給し、前記半導体ウエハの主面上のシリコンの表面をドライクリーニング処理する工程;
(c)前記シャワーヘッドの加熱温度を利用した第1の温度で前記半導体ウエハに対して第1の熱処理する工程、
ここで、前記シャワーヘッドは180℃よりも高い温度に維持される。
Since the first heat treatment can be performed at a relatively low temperature, there is an advantage that the apparatus structure can be made relatively simple or the throughput can be secured. In addition, this process has an advantage that residues on the back and side surfaces necessary for handling can be removed relatively completely (to the extent that propagation of contamination due to handling is avoided). The second heat treatment is necessary because the vaporization temperature may increase if the product contains a non-stoichiometric composition, and the actual wafer top surface with a complex structure depends on topographical features. This is because the effective vaporization temperature may increase.
2. In the method of manufacturing a semiconductor device according to the item 1, the first temperature is not less than 100 and less than 150 ° C.
3. In the method of manufacturing a semiconductor device according to Item 1, the second temperature is 150 to 400 ° C.
4). In the method of manufacturing a semiconductor device according to Item 1, the second temperature is 165 to 350 ° C.
5. In the method of manufacturing a semiconductor device according to Item 1, the second temperature is 180 to 220 ° C.
6). In the method of manufacturing a semiconductor device according to Item 1, the second temperature is 200 ° C.
7). In the method for manufacturing a semiconductor device according to the item 1, the transfer of the semiconductor wafer between the first chamber and the second chamber is performed by vacuum transfer.
8). A method of manufacturing a semiconductor device in which a metal film is embedded in a connection hole opened in an insulating film via a barrier metal film, and the barrier metal is formed in the connection hole after the connection hole is formed in the insulating film. A semiconductor device manufacturing method including the following steps before depositing a film:
(A) placing a semiconductor wafer on a wafer stage provided in the first chamber;
(B) a step of supplying a reducing gas through a shower head installed above the wafer stage to dry-clean the silicon surface on the main surface of the semiconductor wafer;
(C) performing a first heat treatment on the semiconductor wafer at a first temperature using a heating temperature of the showerhead;
Here, the shower head is maintained at a temperature higher than 180 ° C.

すなわち、熱処理または各種の膜形成等のウエハ処理前の洗浄(例えばシリコン表面の自然酸化膜を比較的低温(例えば摂氏400度以下)で昇華、蒸発または気化する物質に変換する処理)としてドライ洗浄を実行する際に、ドライ洗浄の結果生成し、ウエハ表面(上面、側面、裏面を含む)に堆積、結露または凝結した洗浄残渣(洗浄処理生成物を含む)をドライ洗浄室と同室で、洗浄機構の一部または全部を利用して、十分に高い温度(ウエハ上面温度)で熱処理することによって前記洗浄残渣のほぼ全部を気化させて除去するものである。   That is, dry cleaning as cleaning before wafer processing such as heat treatment or formation of various films (for example, processing for converting a natural oxide film on a silicon surface into a substance that sublimes, evaporates or vaporizes at a relatively low temperature (for example, 400 degrees centigrade or less)). When cleaning is performed, cleaning residues (including cleaning processing products) that are generated as a result of dry cleaning and deposited, condensed or condensed on the wafer surface (including top, side, and back) are cleaned in the same room as the dry cleaning chamber. By using a part or all of the mechanism and performing heat treatment at a sufficiently high temperature (wafer upper surface temperature), almost all of the cleaning residue is vaporized and removed.

この場合は一回の熱処理でしかも同室で出来るため、装置の処理室を有効に利用できる利点がある。
9.前記項8記載の半導体装置の製造方法において、前記第1の温度は180から220℃である。
10.前記項8記載の半導体装置の製造方法において、前記第1の温度は200℃である。
11.絶縁膜に開口した接続孔の内部にバリアメタル膜を介して金属膜を埋め込む半導体装置の製造方法であって、前記絶縁膜に前記接続孔を形成した後、前記接続孔の内部に前記バリアメタル膜を堆積する前に以下の工程を含む半導体装置の製造方法:
(a)第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程;
(b)前記ウエハステージの上方に設置されたシャワーヘッドを介して還元ガスを供給し、前記接続孔の内部をドライクリーニング処理する工程;
(c)前記半導体ウエハを前記第1のチャンバから第2のチャンバへ搬送する工程;
(d)前記第2のチャンバにおいて、第2の温度で前記半導体ウエハを熱処理(前記項1の第2の熱処理に対応)する工程、
ここで、前記工程(b)では、前記半導体ウエハの側面および裏面に前記還元ガスが供給されない。
In this case, since it can be performed in a single heat treatment and in the same chamber, there is an advantage that the processing chamber of the apparatus can be used effectively.
9. 9. The method for manufacturing a semiconductor device according to Item 8, wherein the first temperature is 180 to 220 ° C.
10. 9. The method for manufacturing a semiconductor device according to Item 8, wherein the first temperature is 200.degree.
11. A method of manufacturing a semiconductor device in which a metal film is embedded in a connection hole opened in an insulating film via a barrier metal film, and the barrier metal is formed in the connection hole after the connection hole is formed in the insulating film. A semiconductor device manufacturing method including the following steps before depositing a film:
(A) placing a semiconductor wafer on a wafer stage provided in the first chamber;
(B) a step of supplying a reducing gas via a shower head installed above the wafer stage and performing a dry cleaning process on the inside of the connection hole;
(C) transferring the semiconductor wafer from the first chamber to a second chamber;
(D) a step of heat-treating the semiconductor wafer at a second temperature in the second chamber (corresponding to the second heat-treatment according to item 1);
Here, in the step (b), the reducing gas is not supplied to the side surface and the back surface of the semiconductor wafer.

すなわち、熱処理または各種の膜形成等のウエハ処理前の洗浄(例えばシリコン表面の自然酸化膜を比較的低温(例えば摂氏400度以下)で昇華、蒸発または気化する物質に変換する処理)としてドライ洗浄を実行する際に、ドライ洗浄の結果生成し、ウエハ表面(このとき上面の周辺部、側面、裏面に堆積物が付着しないようにしてドライ洗浄を実行することが必要である)に堆積、結露または凝結した洗浄残渣(洗浄処理生成物を含む)をドライ洗浄室と別室で、十分に高い温度(ウエハ上面温度)で熱処理することによって前記洗浄残渣のほぼ全部を気化させて除去するものである。
12.前記項11記載の半導体装置の製造方法において、前記第2の温度は150から400℃である。
13.前記項11記載の半導体装置の製造方法において、前記第2の温度は165から350℃である。
14.前記項11記載の半導体装置の製造方法において、前記第2の温度は180から220℃である。
15.前記項11記載の半導体装置の製造方法において、前記第2の温度は200℃である。
16.前記項11記載の半導体装置の製造方法において、前記工程(b)は以下の工程を含む:
(b1)前記ウエハステージ上に置かれた前記半導体ウエハの周辺部をシーリングにより押さえる工程。
17.前記項11記載の半導体装置の製造方法において、前記工程(b)は以下の工程を含む:
(b1)前記半導体ウエハを静電チャックにより前記ウエハステージ上に吸着する工程;
(b2)前記半導体ウエハの周辺部を前記半導体ウエハと接触させずにシャドウリングにより覆い、前記ウエハステージの周辺部から不活性ガスを流入させる工程。
18.前記項11記載の半導体装置の製造方法において、前記工程(b)は以下の工程を含む:
(b1)前記ウエハステージ上に置かれた前記半導体ウエハの周辺部をシーリングにより押さえる工程;
(b2)前記ウエハステージの裏面側から不活性ガスを流入させる工程。
19.前記項11記載の半導体装置の製造方法において、前記第1のチャンバと前記第2のチャンバとの間の前記半導体ウエハの搬送は、真空搬送される。
20.前記項1、8または11記載の半導体装置の製造方法において、前記金属膜はタングステン膜であり、前記バリアメタル膜はチタン膜上に窒化チタン膜を積み重ねた積層膜、または窒化タングステン膜である。
21.前記項1、8または11記載の半導体装置の製造方法において、前記金属膜は銅膜であり、前記バリアメタル膜は窒化チタン膜、窒化タンタル膜、窒化タンタル膜上にタンタル膜を積み重ねた積層膜、または窒化タンタル膜上にルテニウム膜を積み重ねた積層膜である。
22.前記項1、8または11記載の半導体装置の製造方法において、前記金属膜はアルミニウム膜であり、前記バリアメタル膜はチタン膜上に窒化チタン膜を積み重ねた積層膜、または窒化タングステン膜である。
That is, dry cleaning as cleaning before wafer processing such as heat treatment or formation of various films (for example, processing for converting a natural oxide film on a silicon surface into a substance that sublimes, evaporates or vaporizes at a relatively low temperature (for example, 400 degrees centigrade or less)). Is generated as a result of dry cleaning, and is deposited and condensed on the wafer surface (at this time, it is necessary to execute dry cleaning so that deposits do not adhere to the periphery, side and back of the upper surface). Alternatively, the condensed cleaning residue (including the cleaning processing product) is heat-treated at a sufficiently high temperature (wafer upper surface temperature) in a separate chamber from the dry cleaning chamber to vaporize and remove almost all of the cleaning residue. .
12 12. The method for manufacturing a semiconductor device according to Item 11, wherein the second temperature is 150 to 400 ° C.
13. 12. The method for manufacturing a semiconductor device according to Item 11, wherein the second temperature is 165 to 350 ° C.
14 12. The method for manufacturing a semiconductor device according to Item 11, wherein the second temperature is 180 to 220 ° C.
15. 12. The method for manufacturing a semiconductor device according to Item 11, wherein the second temperature is 200.degree.
16. 12. The method for manufacturing a semiconductor device according to Item 11, wherein the step (b) includes the following steps:
(B1) A step of pressing a peripheral portion of the semiconductor wafer placed on the wafer stage by sealing.
17. 12. The method for manufacturing a semiconductor device according to Item 11, wherein the step (b) includes the following steps:
(B1) adsorbing the semiconductor wafer onto the wafer stage by an electrostatic chuck;
(B2) A step of covering the peripheral portion of the semiconductor wafer with a shadow ring without contacting the semiconductor wafer and allowing an inert gas to flow from the peripheral portion of the wafer stage.
18. 12. The method for manufacturing a semiconductor device according to Item 11, wherein the step (b) includes the following steps:
(B1) a step of pressing a peripheral portion of the semiconductor wafer placed on the wafer stage by sealing;
(B2) A step of flowing an inert gas from the back side of the wafer stage.
19. 12. The manufacturing method of a semiconductor device according to Item 11, wherein the semiconductor wafer is transferred between the first chamber and the second chamber by vacuum.
20. 12. In the method for manufacturing a semiconductor device according to Item 1, 8 or 11, the metal film is a tungsten film, and the barrier metal film is a laminated film in which a titanium nitride film is stacked on a titanium film, or a tungsten nitride film.
21. 12. The manufacturing method of a semiconductor device according to Item 1, 8 or 11, wherein the metal film is a copper film, and the barrier metal film is a titanium nitride film, a tantalum nitride film, and a laminated film in which a tantalum film is stacked on the tantalum nitride film. Or a laminated film in which ruthenium films are stacked on a tantalum nitride film.
22. 12. In the method for manufacturing a semiconductor device according to Item 1, 8 or 11, the metal film is an aluminum film, and the barrier metal film is a laminated film in which a titanium nitride film is stacked on a titanium film, or a tungsten nitride film.

1 半導体基板
4 素子分離領域
6 p型ウェル
8 n型ウェル
9 ゲート絶縁膜
10n ゲート電極
10p ゲート電極
11 ソース・ドレイン拡張領域
12 ソース・ドレイン拡張領域
13 酸化シリコン膜
15 サイドウォール
16 ソース・ドレイン拡散領域
17 ソース・ドレイン拡散領域
18 ニッケルシリサイド層
19a 第1絶縁膜
19b 第2絶縁膜
20 接続孔
21 チタン膜
22 窒化チタン膜
23 タングステン膜
24 ストッパ絶縁膜
25 絶縁膜
26 配線溝
27 バリアメタル膜
28 キャップ絶縁膜
29 層間絶縁膜
30 ストッパ絶縁膜
31 絶縁膜
32 接続孔
33 配線溝
34 バリアメタル膜
35 窒化シリコン膜
36 酸化シリコン膜
37 バンプ下地電極
38 バンプ電極
50 成膜装置
51a 第1搬送室
51b 第2搬送室
52 ゲートバルブ
53 ローダ
54 アンローダ
55,56,57 チャンバ
57a ウエハステージ
57b ウエハリフトピン
57c,57CH シャワーヘッド
57d リモートプラズマ発生装置
57e シーリング
57f シャドウリング
57g 排気室
58,59,60,61 チャンバ
62a,62b 搬送用ロボット
M1,M2,M3,M4,M5,M6 配線
SW 半導体ウエハ
1 semiconductor substrate 4 element isolation region 6 p-type well 8 n-type well 9 gate insulating film 10 n gate electrode 10 p gate electrode 11 source / drain extension region 12 source / drain extension region 13 silicon oxide film 15 sidewall 16 source / drain diffusion region 17 Source / drain diffusion region 18 Nickel silicide layer 19a First insulating film 19b Second insulating film 20 Connection hole 21 Titanium film 22 Titanium nitride film 23 Tungsten film 24 Stopper insulating film 25 Insulating film 26 Wiring groove 27 Barrier metal film 28 Cap insulation Film 29 Interlayer insulating film 30 Stopper insulating film 31 Insulating film 32 Connection hole 33 Wiring groove 34 Barrier metal film 35 Silicon nitride film 36 Silicon oxide film 37 Bump base electrode 38 Bump electrode 50 Film forming apparatus 51a First transfer chamber 51b Second transfer Chamber 52 Gate valve 53 Loader 54 Unloader 55, 56, 57 Chamber 57a Wafer stage 57b Wafer lift pin 57c, 57CH Shower head 57d Remote plasma generator 57e Sealing 57f Shadow ring 57g Exhaust chamber 58, 59, 60, 61 Chamber 62a, 62b Transport robot M1, M2, M3, M4, M5, M6 Wiring SW Semiconductor wafer

Claims (5)

絶縁膜に開口した接続孔の内部にバリアメタル膜を介して金属膜を埋め込む半導体装置の製造方法であって、前記絶縁膜に前記接続孔を形成した後、前記接続孔の内部に前記バリアメタル膜を堆積する前に以下の工程を含む半導体装置の製造方法:
(a)第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程;
(b)前記ウエハステージの上方に設置されたシャワーヘッドを介してプラズマ励起された還元ガスを供給し、前記接続孔の内部にドライクリーニング処理を施して、前記接続孔の内部の自然酸化膜を還元反応により除去する工程;
(c−1)前記(b)工程の後、前記半導体ウエハを前記ウエハステージから上昇させて、前記半導体ウエハの主面を前記シャワーヘッドに近接させる工程;
(c−2)前記(c−1)工程の後、前記シャワーヘッドの加熱温度を利用した第1の温度で前記半導体ウエハを熱処理して、前記還元ガスと前記自然酸化膜との前記還元反応により生成され、前記接続孔の内部に残留する化学量論的組成の生成物を除去する工程;
(d)前記(c−2)工程の後、前記半導体ウエハを前記第1のチャンバから第2のチャンバへ搬送する工程;
(e)前記(d)工程の後、前記第2のチャンバにおいて、前記第1の温度よりも高い第2の温度で前記半導体ウエハを熱処理して、前記還元ガスと前記自然酸化膜との前記還元反応により生成され、前記接続孔の内部に残留する非化学量論的組成の生成物を除去する工程、
前記還元ガスはNFガスとNHガス、またはNFガスとHガスであり、
前記第1の温度は100から150℃であり、
前記第2の温度は150から400℃であり、
前記金属膜は銅膜であり、
前記バリアメタル膜は窒化タンタル膜、窒化タンタル膜上にタンタル膜を積み重ねた積層膜、または窒化タンタル膜上にルテニウム膜を積み重ねた積層膜である。
A method of manufacturing a semiconductor device in which a metal film is embedded in a connection hole opened in an insulating film via a barrier metal film, and the barrier metal is formed in the connection hole after the connection hole is formed in the insulating film. A semiconductor device manufacturing method including the following steps before depositing a film:
(A) placing a semiconductor wafer on a wafer stage provided in the first chamber;
(B) Supplying a plasma-excited reducing gas through a shower head installed above the wafer stage, and performing a dry cleaning process on the inside of the connection hole, thereby forming a natural oxide film inside the connection hole. Removing by reduction reaction;
(C-1) After the step (b), the step of raising the semiconductor wafer from the wafer stage to bring the main surface of the semiconductor wafer close to the shower head;
(C-2) After the step (c-1), the semiconductor wafer is heat-treated at a first temperature using the heating temperature of the shower head, and the reduction reaction between the reducing gas and the natural oxide film Removing a product of stoichiometric composition produced by the method and remaining in the connection hole;
(D) a step of transferring the semiconductor wafer from the first chamber to the second chamber after the step (c-2);
(E) After the step (d), in the second chamber, the semiconductor wafer is heat-treated at a second temperature higher than the first temperature, and the reducing gas and the natural oxide film are heated. Removing a non-stoichiometric product produced by a reduction reaction and remaining inside the connection hole;
The reducing gas is NF 3 gas and NH 3 gas, or NF 3 gas and H 2 gas,
The first temperature is from 100 to 150 ° C .;
The second temperature is Ri 400 ° C. der 150,
The metal film is a copper film;
The barrier metal film is a tantalum nitride film, a stacked film in which a tantalum film is stacked on a tantalum nitride film, or a stacked film in which a ruthenium film is stacked on a tantalum nitride film.
請求項1記載の半導体装置の製造方法において、前記第2の温度は165から350℃である。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the second temperature is 165 to 350.degree. 請求項1記載の半導体装置の製造方法において、前記第2の温度は180から220℃である。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the second temperature is 180 to 220.degree. 請求項1記載の半導体装置の製造方法において、前記第2の温度は200℃である。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the second temperature is 200.degree. 請求項1記載の半導体装置の製造方法において、前記第1のチャンバと前記第2のチャンバとの間の前記半導体ウエハの搬送は、真空搬送される。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the semiconductor wafer is transferred between the first chamber and the second chamber by vacuum.
JP2012262017A 2012-11-30 2012-11-30 Manufacturing method of semiconductor device Expired - Fee Related JP5507654B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2012262017A JP5507654B2 (en) 2012-11-30 2012-11-30 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012262017A JP5507654B2 (en) 2012-11-30 2012-11-30 Manufacturing method of semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2006138949A Division JP2007311540A (en) 2006-05-18 2006-05-18 Method of manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JP2013110418A JP2013110418A (en) 2013-06-06
JP5507654B2 true JP5507654B2 (en) 2014-05-28

Family

ID=48706835

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012262017A Expired - Fee Related JP5507654B2 (en) 2012-11-30 2012-11-30 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP5507654B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10211084B2 (en) 2015-08-03 2019-02-19 Samsung Electronics Co., Ltd. Chuck table and substrate processing system including the same

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9214357B1 (en) * 2014-08-06 2015-12-15 Psk Inc. Substrate treating apparatus and method
US20160181111A1 (en) * 2014-12-19 2016-06-23 Lam Research Corporation Silicon etch and clean
JP6502206B2 (en) 2015-08-07 2019-04-17 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
JP7030858B2 (en) * 2020-01-06 2022-03-07 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4057198B2 (en) * 1999-08-13 2008-03-05 東京エレクトロン株式会社 Processing apparatus and processing method
KR100360399B1 (en) * 2000-03-07 2002-11-13 삼성전자 주식회사 Method of manufacturing semiconductor capacitor having a hemispherical grain layer
JP2004221155A (en) * 2003-01-10 2004-08-05 Tokyo Electron Ltd Removal method of oxide film, its heating method, and its processing apparatus
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2005098913A1 (en) * 2004-04-09 2005-10-20 Tokyo Electron Limited METHOD FOR FORMING Ti FILM AND TiN FILM, CONTACT STRUCTURE, COMPUTER READABLE STORING MEDIUM AND COMPUTER PROGRAM

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10211084B2 (en) 2015-08-03 2019-02-19 Samsung Electronics Co., Ltd. Chuck table and substrate processing system including the same

Also Published As

Publication number Publication date
JP2013110418A (en) 2013-06-06

Similar Documents

Publication Publication Date Title
JP5042517B2 (en) Manufacturing method of semiconductor device
TWI414041B (en) Semiconductor device manufacturing method
JP5358165B2 (en) Manufacturing method of semiconductor integrated circuit device
TWI407510B (en) Semiconductor device manufacturing method
JP5214261B2 (en) Manufacturing method of semiconductor device
US7888217B2 (en) Method for fabricating a gate dielectric of a field effect transistor
TWI604562B (en) Method of selective nitridation
TWI594331B (en) Semiconductor device and method of manufacturing the same
JP5507654B2 (en) Manufacturing method of semiconductor device
US7964500B2 (en) Method of manufacturing semiconductor integrated circuit device
JP2008244059A (en) Manufacturing method of semiconductor device
US20090149020A1 (en) Method of manufacturing a semiconductor device
US8994089B2 (en) Interlayer polysilicon dielectric cap and method of forming thereof
US7670952B2 (en) Method of manufacturing metal silicide contacts
JP2005093909A5 (en)
JP2007227698A (en) Manufacturing method of semiconductor device
JP2009021331A (en) Method of manufacturing semiconductor apparatus

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131203

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140131

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140319

R150 Certificate of patent or registration of utility model

Ref document number: 5507654

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees