JP5361119B2 - Reduction of electrostatic charge by roughening the susceptor - Google Patents

Reduction of electrostatic charge by roughening the susceptor Download PDF

Info

Publication number
JP5361119B2
JP5361119B2 JP2006194506A JP2006194506A JP5361119B2 JP 5361119 B2 JP5361119 B2 JP 5361119B2 JP 2006194506 A JP2006194506 A JP 2006194506A JP 2006194506 A JP2006194506 A JP 2006194506A JP 5361119 B2 JP5361119 B2 JP 5361119B2
Authority
JP
Japan
Prior art keywords
substrate support
substrate
microinches
coating
support surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006194506A
Other languages
Japanese (ja)
Other versions
JP2007051367A (en
Inventor
ヨン チョイ スー
スー パク ビオム
シャン クワンヤン
エム. ホワイト ジョン
イム ドン−キル
パク チュン−ヘー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/182,168 external-priority patent/US8372205B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007051367A publication Critical patent/JP2007051367A/en
Application granted granted Critical
Publication of JP5361119B2 publication Critical patent/JP5361119B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate

Abstract

A substrate support and method for fabricating the same are provided. In one embodiment of the invention, a substrate support includes an electrically conductive body having a substrate support surface that is covered by an electrically insulative coating. At least a portion of the coating centered on the substrate support surface has a surface finish of between about 200 to about 2000 micro-inches. In another embodiment, a substrate support includes an anodized aluminum body having a surface finish on the portion of the body adapted to support a substrate thereon of between about 200 to about 2000 micro-inches. In one embodiment, a substrate support assembly includes an electrically conductive body having a substrate support surface, a substrate support structure that is adapted to support the conductive body and the conductive body is covered by an electrically insulative coating.

Description

開示の背景Disclosure background

発明の分野
[0001]本発明の実施形態は一般に、半導体処理に利用される基板サポートおよびこの製造方法を提供する。
Field of Invention
[0001] Embodiments of the present invention generally provide a substrate support for use in semiconductor processing and a method for manufacturing the same.

背景技術の説明Background art description

[0002]液晶ディスプレイやフラットパネルは普通、コンピュータ、テレビモニター、携帯情報端末(PDA)および携帯電話などのアクティブマトリックスディスプレイに使用される。一般に、フラットパネルは、1層の液晶材料を挟持する2つのガラスプレートを備える。ガラスプレートの少なくとも1つが、電源に結合され、上部に配置された少なくとも1つの導電膜を含んでいる。電源から導電膜に供給された電力は結晶材料の配向を変化させ、ディスプレイ上に見られるテキストやグラフィックなどのパターンを作成する。フラットパネルを生成する為に頻繁に使用される一製造プロセスはプラズマ化学気相堆積法(PECVD)である。   [0002] Liquid crystal displays and flat panels are commonly used in active matrix displays such as computers, television monitors, personal digital assistants (PDAs) and mobile phones. In general, a flat panel includes two glass plates that sandwich a single layer of liquid crystal material. At least one of the glass plates is coupled to a power source and includes at least one conductive film disposed thereon. The power supplied from the power source to the conductive film changes the orientation of the crystal material, creating patterns such as text and graphics that can be seen on the display. One manufacturing process frequently used to produce flat panels is plasma enhanced chemical vapor deposition (PECVD).

[0003]プラズマ化学気相堆積法は一般に、フラットパネルや半導体ウェーハなどの基板上に薄膜を堆積させるのに採用される。プラズマ化学気相堆積法は一般に、基板を含有する真空チャンバに前駆ガスを導入することによって遂行される。前駆ガスは通常、チャンバの上部近くに置かれた分配プレートを介して方向付けられる。チャンバの前駆ガスは、チャンバに結合された1つ以上のRFソースからチャンバにRF電力を印加することによってプラズマにエネルギを付与(例えば励起)される。励起されたガスは反応して、温度コントロールされた基板サポート上に位置決めされた基板の表面上に1層の材料を形成する。基板が1層の低温ポリシリコンを受取る用途において、基板サポートは摂氏400度を上回って加熱されることがある。反応時に生成された揮発性副生成物は排出システムを介してチャンバから送出される。   [0003] Plasma enhanced chemical vapor deposition is commonly employed to deposit thin films on substrates such as flat panels and semiconductor wafers. Plasma chemical vapor deposition is generally accomplished by introducing a precursor gas into a vacuum chamber containing a substrate. The precursor gas is usually directed through a distribution plate placed near the top of the chamber. The chamber precursor gas is energized (eg, excited) into the plasma by applying RF power to the chamber from one or more RF sources coupled to the chamber. The excited gas reacts to form a layer of material on the surface of the substrate positioned on the temperature controlled substrate support. In applications where the substrate receives a layer of low temperature polysilicon, the substrate support may be heated above 400 degrees Celsius. Volatile by-products generated during the reaction are delivered from the chamber through an exhaust system.

[0004]一般に、フラットパネル製造に利用される大面積基板は大きく、しばしば550mm×650mmを超え、4平方メートル以上の表面積が想定されている。これに相応して、大面積基板を処理するのに利用される基板サポートは、基板の大表面積を収容するために比例して大きい。通常、高温使用目的の基板サポートが鋳造されて、1つ以上の加熱素子および熱電対をアルミニウム本体にカプセル化する。基板サポートのサイズによって、1つ以上の補強部材が、一般に、基板サポート内に配置されて、基板サポートの剛性および高い動作温度(つまり、一部の膜の水素含有を最小化するために摂氏350度を超え摂氏500度近い)での性能を改良する。次いでアルミニウム基板サポートは、保護コーティングを与えるために陽極化される。   [0004] In general, large area substrates utilized in flat panel manufacturing are large, often exceeding 550 mm x 650 mm, and assuming a surface area of 4 square meters or more. Correspondingly, the substrate support used to process large area substrates is proportionally large to accommodate the large surface area of the substrate. Typically, a substrate support intended for high temperature use is cast to encapsulate one or more heating elements and thermocouples in an aluminum body. Depending on the size of the substrate support, one or more stiffening members are typically placed within the substrate support to reduce the rigidity and high operating temperature of the substrate support (ie, 350 degrees Celsius to minimize the hydrogen content of some membranes). To improve performance at over 500 degrees Celsius). The aluminum substrate support is then anodized to provide a protective coating.

[0005]このように構成された基板サポートは良好な処理性能を呈していたが、大面積基板上に形成された次世代デバイスにとって有害な可能性のある、膜厚の小さな局所的ばらつきが観察されており、これはしばしばより小さな膜厚のスポットとして現れる。ばらつきは、平滑な基板サポート表面に伴うガラス厚および平坦さであり、通常、約50マイクロインチであり、ガラス基板にわたる一定のロケーションにおける局所的なキャパシタンスばらつきを作成することによって、堆積ばらつき、例えば堆積膜厚の小さなスポットとなる局所的プラズマ不均一性を作成することができると思われる。基板サポートのエイジングおよび修正プラズマ調整は、とりわけ処理するために基板をチャンバに転送する前に延長チャンバ真空パージと連動して実行される場合に、薄いスポット形成を軽減することを示した。しかしながら、本方法に必要とされる時間および材料の結果的な消費と、コストおよびスループットに対するこの好ましくない影響は、より効果的な解決策を得ることを望ましいものとする。   [0005] Although the substrate support configured in this manner exhibited good processing performance, small local variations in thickness were observed that could be harmful to next-generation devices formed on large area substrates This often appears as a spot with a smaller film thickness. The variation is the glass thickness and flatness associated with a smooth substrate support surface, typically about 50 microinches, by creating a local capacitance variation at a fixed location across the glass substrate, eg deposition variation, eg deposition It appears that local plasma inhomogeneities can be created that result in small film thickness spots. Substrate support aging and modified plasma conditioning have been shown to reduce thin spot formation, especially when performed in conjunction with an extended chamber vacuum purge prior to transferring the substrate to the chamber for processing. However, the resulting time and material consumption required for the method and this unfavorable impact on cost and throughput make it desirable to obtain a more effective solution.

[0006]基板サイズが、約370mm×470mmから約1200mm×1040mm、あるいは1800mm×2200mmにまで増大すると、他の新たな欠陥モードが、フラットパネルディスプレイデバイスの製造において重大な問題となってくる。次世代基板のサイズが増大し続けると、欠陥削減の重要性は、各基板におけるフラットパネル製造業者による実質的な投資により、ますます重要となる。更に、膜の均一性に対するより精密な許容差を要求するデバイスの重大な寸法削減の連続的展開によって、膜厚ばらつきの削減および/または排除は、大面積基板上に形成された次世代デバイスの経済的生成にとって重要な要因となる。   [0006] As the substrate size increases from about 370 mm x 470 mm to about 1200 mm x 1040 mm, or even 1800 mm x 2200 mm, other new defect modes become a significant problem in the manufacture of flat panel display devices. As next-generation substrates continue to increase in size, the importance of defect reduction becomes more and more important due to substantial investment by flat panel manufacturers on each substrate. Furthermore, with the continuous development of critical dimensional reduction of devices that require more precise tolerances for film uniformity, film thickness variation reduction and / or elimination can be achieved for next-generation devices formed on large area substrates. It is an important factor for economic generation.

[0007]更に、基板製造プロセスの有効性はしばしば、デバイス歩留まりおよび所有コスト(CoO)という2つの関連する重要な要因によって測定される。電子デバイスを生成するためのコスト、ひいては市場でのデバイス製造業者の競争力に直接影響するために、これらの要因は重要である。多数の要因によって影響されるCoOは、処理ハードウェアの初期コストおよび消耗ハードウェアの交換コストによって大きく影響される。CoOを削減する取り組みにおいて、電子デバイス製造業者はしばしば、処理ハードウェアおよび消耗コストを最適化して、粒子およびプロセス歩留まり性能に影響を与えることなく最大利益幅を達成しようと多くの時間を費やす。CoO算出における別の重要な要因はシステムの信頼性およびシステムの稼働率である。システムが基板を処理できない時間が長いほど、多くのメモリが、クラスターツールで基板を処理する機会の損失ゆえにユーザによって失われるために、これらの要因はクラスターツールの収益性および/または有用性にとって非常に重要である。従って、クラスターツールのユーザおよび製造業者は、稼働率が高い確実なプロセス、確実なハードウェアおよび確実なシステムを開発しようと多くの時間を費やす。   [0007] In addition, the effectiveness of the substrate manufacturing process is often measured by two related important factors: device yield and cost of ownership (CoO). These factors are important because they directly affect the cost of producing electronic devices and thus the competitiveness of device manufacturers in the market. CoO affected by a number of factors is greatly affected by the initial cost of processing hardware and the replacement cost of consumable hardware. In efforts to reduce CoO, electronic device manufacturers often spend a lot of time trying to optimize processing hardware and wear costs to achieve maximum margins without impacting particle and process yield performance. Another important factor in CoO calculation is system reliability and system availability. These factors are very important to the profitability and / or usefulness of the cluster tool because the longer the system is unable to process the substrate, the more memory is lost by the user due to the loss of opportunity to process the substrate with the cluster tool. Is important to. Therefore, cluster tool users and manufacturers spend a lot of time trying to develop reliable processes, reliable hardware and reliable systems with high availability.

[0008]大面積基板のPECVDタイププロセスの問題と思われる一欠陥は、静電放電(ESD)の金属ラインアーク問題として産業界で既知の欠陥である。基板サイズが大きくなるほど、プラズマ堆積時により長くかつより大きなESD金属ラインで誘導された誘導電流は、プラズマ誘導アークから基板へのダメージを主要な再発問題とするほど大きいと思われる。ESD放電ラインに接続されているフラットパネルディスプレイ用途におけるゲート金属ラインは一般に約5〜10マイクロメーター(μm)の幅であり、かつ約1メートルまたは2メートルであってもよいのに対して、通常の半導体用途においては、ゲート金属ラインは、最大数十ミリメートルの長さでおよそ90ナノメーターのサイズであるために、この問題は一般に、より小さな半導体デバイス製造用途(例えば150mm〜300mmの円形シリコン基板)では生じない。フラットパネルディスプレイ基板のESDラインの幅は、通常1mmより大きなサイズであり、また約1メートル〜2メートルの長さであってもよい。従ってフラットパネルディスプレイ用途におけるESD金属ラインは、基板にアークダメージをもたらすプラズマ処理中に多量の電荷を収集可能なアンテナとして作用することを意図していると思われる。従って、グランドに対する放電経路の抵抗を増加させることによるプラズマとの相互作用ゆえにアークの可能性を削減するというより大きな必要性がある。半導体基板よりもかなり大きなフラットパネル基板の厚さ(例えば0.7mm)は、大きなまたは小さなサイズのフラットパネルディスプレイタイプの基板から大きく変化していない。   [0008] One defect that appears to be a problem with PECVD-type processes for large area substrates is a defect known in the industry as an electrostatic discharge (ESD) metal line arc problem. As the substrate size increases, the induced current induced in the longer and larger ESD metal lines during plasma deposition appears to be so large that damage from the plasma-induced arc to the substrate is a major recurrence issue. Gate metal lines in flat panel display applications connected to ESD discharge lines are typically about 5-10 micrometers (μm) wide and may be about 1 meter or 2 meters, whereas In semiconductor applications of this type, the gate metal lines are up to several tens of millimeters in length and approximately 90 nanometers in size, so this problem is generally less common in smaller semiconductor device manufacturing applications (eg, 150-300 mm circular silicon substrates). ) Does not occur. The width of the ESD line of the flat panel display substrate is usually larger than 1 mm and may be about 1 to 2 meters long. Thus, ESD metal lines in flat panel display applications are intended to act as antennas that can collect large amounts of charge during plasma processing that causes arc damage to the substrate. Accordingly, there is a greater need to reduce the possibility of arcing due to interaction with the plasma by increasing the resistance of the discharge path to ground. The thickness of a flat panel substrate that is significantly larger than a semiconductor substrate (eg, 0.7 mm) has not changed significantly from a large or small size flat panel display type substrate.

[0009]1200mm×1040mm以上の基板の処理において生じた別の欠陥は、PECVDプロセスなどの、基板上でプラズマプロセスを実行後に基板のバックサイドに見られる粒子数の増加である。ガラス基板サイズが大きくなるほど、プラズマ処理中に静電荷をトラップする能力は大きくなり、これによって処理チャンバに見られる粒子を、これらがトラップされた電荷によって保持されている基板表面に引き付けられるようにすると思われる。   [0009] Another defect that has arisen in processing 1200 mm × 1040 mm or larger substrates is an increase in the number of particles found on the backside of the substrate after performing a plasma process on the substrate, such as a PECVD process. The larger the glass substrate size, the greater the ability to trap electrostatic charges during plasma processing, thereby allowing particles found in the processing chamber to be attracted to the substrate surface where they are held by the trapped charge. Seem.

[0010]アークおよび静電荷の問題は、異なる基板材料のサイズおよび特性ゆえにフラットパネルディスプレイ用途と半導体用途では異なると思われる。摩擦電気プロセス、あるいは2つの材料を相互に接触させてから、相互に分離するプロセスによって発生された静電荷は、多数の要因によって影響され、このうちの2つは、2つのコンポーネント間の表面接触量と、2つの材料の動作関数である。フラットパネル用途と半導体用途との差は、これらの用途の各々で使用される(複数の)基板材料(例えば、ガラス対シリコン(またはアルミニウム))の特性の差であり、これは動作関数として既知の材料特性に関連している。一般的に、動作関数は、自由電子(材料の最も外側のシェルを周回する電子)上に保持する材料の能力について説明している。一般的に、より大きな動作関数を有する材料(例えば、シリコン)は、所与の材料と接触して置かれて、次いで材料から分離される場合に、より低い動作関数を有する材料(例えば、ガラス)よりも電子を放棄する可能性が小さい。(2000年11月にRyne C.Allenによって記された参照「Triboelectric Generation:Getting Charged」in EE−Evaluation Engineeringを参照のこと。)従って、静電荷発生問題は、処理された基板が接触する材料に左右され、フラットパネルディスプレイ基板対半導体基板で発生された電荷量および電荷極性は同じではない。   [0010] The arc and electrostatic charge problems appear to be different for flat panel display applications and semiconductor applications due to the size and characteristics of different substrate materials. The electrostatic charge generated by the triboelectric process, or the process of bringing two materials into contact with each other and then separating them from each other, is affected by a number of factors, two of which are surface contacts between the two components. The quantity and the motion function of the two materials. The difference between flat panel and semiconductor applications is the difference in properties of the substrate material (s) (eg, glass versus silicon (or aluminum)) used in each of these applications, which is known as an operating function Related to material properties. In general, the operating function describes the material's ability to hold on free electrons (electrons that orbit the outermost shell of the material). In general, a material with a higher operating function (eg, silicon) is placed in contact with a given material and then separated from the material (eg, glass) with a lower operating function. ) Is less likely to give up electrons. (See the reference “Triboelectric Generation: Getting Charged” in EE-Evaluation Engineering written by Ryne C. Allen in November 2000.) Thus, the problem of electrostatic charge generation is a problem with materials that the treated substrate contacts. The charge amount and charge polarity generated by the flat panel display substrate versus the semiconductor substrate is not the same.

[0011]第2の摩擦電気要因、つまり経路間の接触量は、コンポーネント間の接触が大きいほど、接触コンポーネントと、場合によってはアークとの間で転送されることになる電荷が多くなることを意味している。2つのコンポーネントの表面粗さ量は、2つの経路間の接触量に直接影響する。従って、1998年6月2日に出願された米国特許第6,063,203号などの一部の従来技術の用途が、1〜8マイクロメーターのRaにサセプタ(基板サポート)の表面を粗面化するプロセスを提案しているのに対して、参照は、粗さを削減し、かつ2つの基板コンポーネント間の接触を増大させる粗面化サセプタ表面を研磨する最終ステップを必要としている。粗さの削減、つまり2つの基板コンポーネント間の接触の増大は、基板と基板サポート間の摩擦電気電荷転送を増大させることによって、アークを形成したり粒子を引き付けたりするのに十分なトラップ電荷を発生させる可能性を増大させる。代替の理論は、従来技術において説明されたように粗面化表面を研磨するステップは、2つの経路(つまりサセプタ表面とガラス基板)間の改良された電気接触などの、基板サポート表面を粗面化することによって受ける利点の一部を除去することであると考えられる点である。改良された電気接触は、プラズマ処理中の2つの経路間の電荷ビルドアップを削減すると考えられている粗面化表面の鋭い先端や高いポイントでのより大きな接触ストレスによって作成され、これによって基板表面へのアークおよび粒子吸引の可能性を削減すると思われる。   [0011] The second triboelectric factor, the amount of contact between paths, is that the greater the contact between the components, the more charge that will be transferred between the contact component and possibly the arc. I mean. The amount of surface roughness of the two components directly affects the amount of contact between the two paths. Therefore, some prior art applications, such as US Pat. No. 6,063,203, filed June 2, 1998, roughened the surface of the susceptor (substrate support) to 1-8 micrometers Ra. The reference requires a final step of polishing the roughened susceptor surface that reduces roughness and increases contact between the two substrate components. Reduced roughness, ie increased contact between the two substrate components, increases trapping charge sufficient to form arcs and attract particles by increasing triboelectric charge transfer between the substrate and substrate support. Increase the possibility of generating. An alternative theory is that the step of polishing the roughened surface as described in the prior art roughens the substrate support surface, such as improved electrical contact between the two paths (ie, the susceptor surface and the glass substrate). This is a point that is considered to remove a part of the advantage received by the conversion. Improved electrical contact is created by the sharp tip of the roughened surface, which is believed to reduce charge build-up between the two paths during plasma processing, and by greater contact stress at high points, thereby increasing the substrate surface. It seems to reduce the potential for arcing and particle attraction.

[0012]従って、上記の生じたこれらの問題のすべてを解決する改良された基板サポートの必要性がある。   [0012] Accordingly, there is a need for an improved substrate support that solves all of these problems that arise above.

発明の概要Summary of the Invention

[0013]基板サポートおよびこの製造方法が提供されている。本発明の一実施形態では、基板サポートは、基板サポート表面を有する導電性本体と、該本体上に配置された絶縁性コーティングと、約200〜約2000マイクロインチの表面仕上げ部を有する該基板サポート表面の中央で配置された該コーティングの少なくとも一部とを含んでいる。   [0013] A substrate support and method of manufacturing the same are provided. In one embodiment of the present invention, the substrate support includes a conductive body having a substrate support surface, an insulating coating disposed on the body, and a surface finish of about 200 to about 2000 micro inches. And at least a portion of the coating disposed in the center of the surface.

[0014]一実施形態では、基板サポートは、基板サポート表面を有する本体と、処理中に該本体を構造的にサポートするように適合された1つ以上のサポートを有する基板サポート構造体と、約200〜約2000マイクロインチの表面仕上げ部への堆積後に処置された該基板サポート表面上に配置された絶縁性コーティングとを備える大面積基板をサポートするように適合されている。   [0014] In one embodiment, the substrate support includes a body having a substrate support surface, a substrate support structure having one or more supports adapted to structurally support the body during processing, and It is adapted to support large area substrates with an insulating coating disposed on the substrate support surface that has been treated after deposition on a surface finish of 200 to about 2000 microinches.

[0015]別の実施形態では、基板サポートは、大面積基板を基板サポート表面上にサポートするのに適したアルミニウム本体を提供するステップと、約330〜約2000マイクロインチの表面粗さを有する陽極化コーティングを該基板サポート表面上に形成するステップとを含むプロセスによって製造される。   [0015] In another embodiment, the substrate support provides an aluminum body suitable for supporting a large area substrate on the substrate support surface, and an anode having a surface roughness of about 330 to about 2000 microinches. Forming a curable coating on the substrate support surface.

[0016]更に別の実施形態では、基板サポートは、基板サポート表面を有する導電性本体を備える大面積基板をサポートするように適合されており、ここで該基板サポート表面は、はだかアルミニウムであり、約140〜約2000マイクロインチの表面仕上げ部を有する。   [0016] In yet another embodiment, the substrate support is adapted to support a large area substrate comprising a conductive body having a substrate support surface, wherein the substrate support surface is bare aluminum. It has a surface finish of about 140 to about 2000 microinches.

[0017]更に別の実施形態では、基板サポートは、約140〜約1000マイクロインチの表面仕上げ部に処置された基板サポート表面を有するはだかアルミニウムと、処理中に該アルミニウム本体を構造的にサポートするように適合された1つ以上のサポートを有する基板サポート構造体とを備える大面積基板をサポートするように適合されている。   [0017] In yet another embodiment, the substrate support has a substrate support surface treated with a surface finish of about 140 to about 1000 microinches and structurally supports the aluminum body during processing. And is adapted to support a large area substrate comprising a substrate support structure having one or more supports adapted to.

[0018]上記簡潔に要約されている本発明のより具体的な説明が、添付の図面に図示されている実施形態を参照してなされてもよい。しかしながら、添付の図面は本発明の通常の実施形態のみを図示し、ゆえに、本発明は等しく効果的な実施形態を認めてもよいために、この範囲を制限するものとみなされるべきではない点に注目すべきである。   [0018] A more specific description of the invention, briefly summarized above, may be made by reference to the embodiments illustrated in the accompanying drawings. However, the attached drawings illustrate only typical embodiments of the invention, and therefore the invention should not be construed as limiting the scope thereof as it may recognize equally effective embodiments. Should be noted.

[0031]理解を容易にするために、図面に共通の同一の要素を指し示すのに、同一の参照番号が可能な限り使用されている。   [0031] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the drawings.

詳細な説明Detailed description

[0032]本発明は一般に、大面積基板サポートおよびその製造方法を提供する。本発明は、カリフォルニア州サンタクララのアプライドマテリアルズ社の一部門であるAKTから入手可能なプラズマ化学気相堆積(PECVD)システムなどの、大面積基板を処理するためのプラズマ化学気相堆積システムを参照して、以下事例的に説明する。一実施形態では、処理チャンバは、少なくとも約2000cmの表面積を有する大面積基板を処理するように適合されている。別の実施形態では、処理チャンバは、少なくとも約6,716cm(例えば730mm×920mm)の表面積を有する基板を処理するように適合されている。しかしながら、本発明は、物理気相堆積システム、イオン注入システム、エッチングシステム、他の化学気相堆積システム、および基板を基板サポート上に処理することが望ましい他のシステムなどの他のシステム構成において実用性を有することが理解されるべきである。 [0032] The present invention generally provides large area substrate supports and methods of manufacturing the same. The present invention relates to a plasma enhanced chemical vapor deposition system for processing large area substrates, such as a plasma enhanced chemical vapor deposition (PECVD) system available from AKT, a division of Applied Materials, Inc. of Santa Clara, California. Reference will be made to a case example below. In one embodiment, the processing chamber is adapted to process large area substrates having a surface area of at least about 2000 cm 2 . In another embodiment, the processing chamber is adapted to process a substrate having a surface area of at least about 6,716 cm 2 (eg, 730 mm × 920 mm). However, the present invention is practical in other system configurations such as physical vapor deposition systems, ion implantation systems, etching systems, other chemical vapor deposition systems, and other systems where it is desirable to process a substrate on a substrate support. It should be understood that it has sex.

[0033]図1は、プラズマ化学気相堆積システム100の一実施形態の断面図である。システム100は一般に、ガスソース104に結合されたチャンバ102を含んでいる。チャンバ102は、プロセス容積112を画成する壁106と、底部108と蓋アセンブリ110とを有する。プロセス容積112は通常、チャンバ102に対する大面積ガラス基板140の移動を容易にする壁106のポート(図示せず)を介してアクセスされる。壁106および底部108は通常、アルミニウムや、処理と両立する他の材料のユニタリブロックから製造される。蓋アセンブリ110は、種々のポンプコンポーネント(図示せず)に結合された排出ポート(図示せず)にプロセス容積112を結合させるポンププレナム114を含有する。   FIG. 1 is a cross-sectional view of one embodiment of a plasma enhanced chemical vapor deposition system 100. System 100 generally includes a chamber 102 coupled to a gas source 104. The chamber 102 has a wall 106 that defines a process volume 112, a bottom 108, and a lid assembly 110. Process volume 112 is typically accessed through a port (not shown) in wall 106 that facilitates movement of large area glass substrate 140 relative to chamber 102. Wall 106 and bottom 108 are typically manufactured from unitary blocks of aluminum or other materials compatible with processing. The lid assembly 110 includes a pump plenum 114 that couples the process volume 112 to an exhaust port (not shown) coupled to various pump components (not shown).

[0034]蓋アセンブリ110は、壁106によってサポートされており、またチャンバ102にサービス提供するために除去可能である。蓋アセンブリ110は一般にアルミニウムから構成される。分配プレート118が蓋アセンブリ110の内側120に結合されている。分配プレート118は通常、アルミニウムから製造される。中央セクションは、プロセスと、ガスソース104から供給された他のガスとがプロセス容積112に送られる穴あきエリアを含んでいる。分配プレート118の穴あきエリアは、分配プレート118を介してチャンバ102に通過するガスの均一な分配を提供するように構成されている。   [0034] The lid assembly 110 is supported by the wall 106 and can be removed to service the chamber 102. The lid assembly 110 is generally constructed from aluminum. A distribution plate 118 is coupled to the inside 120 of the lid assembly 110. Distribution plate 118 is typically manufactured from aluminum. The central section includes a perforated area where the process and other gases supplied from the gas source 104 are routed to the process volume 112. The perforated area of distribution plate 118 is configured to provide a uniform distribution of gas passing through chamber 102 through distribution plate 118.

[0035]基板サポートアセンブリ138はチャンバ102内の中央に配置されている。基板サポートアセンブリ138は、処理中に大面積ガラス基板140(以後「基板140」)をサポートする。   [0035] The substrate support assembly 138 is centrally located within the chamber 102. The substrate support assembly 138 supports the large area glass substrate 140 (hereinafter “substrate 140”) during processing.

コーティングサセプタ設計
[0036]一実施形態では、基板サポートアセンブリ138は一般に、基板140をサポートする本体124の少なくとも一部の上で絶縁性コーティング180によってカバーされている導電性本体124を含んでいる。絶縁性コーティング180は約200〜約2000マイクロインチの表面仕上げ部を有しており、基板サポートアセンブリ138の高価なエイジングやプラズマ処置なしで堆積の均一性を改良すると思われる。表面仕上げ部は、平均表面粗さ(Ra)や相加平均(AA)によって特徴付けられる。絶縁性コーティング180はまた本体124の他の部分をカバーしてもよい。より粗い表面はガラス基板厚のばらつきの効果を相殺して、より均一なキャパシタンスを基板全体に提供することによって、プラズマおよび堆積均一性を高めることができ、また堆積膜における薄いスポットの形成を実質的に排除することができると思われる。
Coating susceptor design
[0036] In one embodiment, the substrate support assembly 138 generally includes a conductive body 124 that is covered by an insulating coating 180 over at least a portion of the body 124 that supports the substrate 140. Insulative coating 180 has a surface finish of about 200 to about 2000 microinches and is believed to improve deposition uniformity without expensive aging or plasma treatment of substrate support assembly 138. The surface finish is characterized by average surface roughness (Ra) or arithmetic average (AA). Insulative coating 180 may also cover other parts of body 124. A rougher surface can counteract the effects of glass substrate thickness variations, provide more uniform capacitance across the substrate, increase plasma and deposition uniformity, and substantially reduce the formation of thin spots in the deposited film. Seems to be eliminated.

[0037]また、基板と絶縁性コーティング180間の小さな接触は、小さな接触表面積によってもたらされる電荷転送を削減し、あるいは粗さの増大は、2つのパーツ間の帯電差を削減する電気接触を改良すると思われるため、基板表面へのESD金属ラインアークおよび粒子吸引の可能性が削減される。   [0037] Also, a small contact between the substrate and the insulative coating 180 reduces the charge transfer provided by the small contact surface area, or an increase in roughness improves the electrical contact that reduces the charge difference between the two parts. As such, the possibility of ESD metal line arc and particle attraction to the substrate surface is reduced.

[0038]導電性本体124は金属や他の同等の導電性材料から製造される。絶縁性コーティング180は、とりわけ酸化物、窒化シリコン、二酸化シリコン、二酸化アルミニウム、五酸化タンタル、シリコンカーバイド、ポリイミドなどの誘電金属であってもよく、これらは、フレームスプレー、プラズマスプレー、高エネルギーコーティング、化学気相堆積法、スプレー、接着膜、スパッタリングおよびカプセル化を含むがこれらに制限されない種々の堆積またはコーティングプロセスによって適用されてもよい。   [0038] The conductive body 124 is fabricated from metal or other equivalent conductive material. Insulative coating 180 may be a dielectric metal such as oxide, silicon nitride, silicon dioxide, aluminum dioxide, tantalum pentoxide, silicon carbide, polyimide, among others, flame spray, plasma spray, high energy coating, It may be applied by a variety of deposition or coating processes including but not limited to chemical vapor deposition, spraying, adhesion films, sputtering and encapsulation.

[0039]一実施形態では、基板サポートアセンブリ138は、少なくとも1つの埋め込み加熱素子132および熱電対190をカプセル化するアルミニウム導電性本体124を含んでいる。少なくとも1つの第1の補強部材116は一般に、加熱素子132に近接する本体124に埋め込まれている。第2の補強部材166は、第1の補強部材116に対向する加熱素子132の側面の本体124内に配置されてもよい。補強部材116および166は金属、セラミックまたは他の剛性材料から構成されてもよい。一実施形態では、補強部材116および166は酸化アルミニウムファイバから構成される。あるいはまた、補強部材116および166は、酸化アルミニウム粒子と結びついた酸化アルミニウムファイバ、シリコンカーバイドファイバ、酸化シリコンファイバまたは類似の材料から構成されてもよい。補強部材116および166はルーズな材料を含んでいてもよく、あるいはプレートなどの事前製造形状であってもよい。あるいはまた、補強部材116および166は他の形状および形態を備えていてもよい。一般に、補強部材116および166は、以下に説明される鋳造プロセス中に部材116、166にアルミニウムを浸す多孔性を有する。   In one embodiment, the substrate support assembly 138 includes an aluminum conductive body 124 that encapsulates at least one embedded heating element 132 and a thermocouple 190. At least one first reinforcing member 116 is generally embedded in the body 124 proximate the heating element 132. The second reinforcing member 166 may be disposed in the main body 124 on the side surface of the heating element 132 that faces the first reinforcing member 116. The reinforcing members 116 and 166 may be constructed from metal, ceramic or other rigid material. In one embodiment, the reinforcing members 116 and 166 are comprised of aluminum oxide fibers. Alternatively, the reinforcing members 116 and 166 may be composed of aluminum oxide fiber, silicon carbide fiber, silicon oxide fiber or similar material associated with aluminum oxide particles. Reinforcing members 116 and 166 may include a loose material or may be a prefabricated shape such as a plate. Alternatively, the reinforcing members 116 and 166 may have other shapes and forms. Generally, the reinforcing members 116 and 166 have a porosity that immerses the members 116, 166 in aluminum during the casting process described below.

[0040]基板サポートアセンブリ138に配置されている電極などの加熱素子132は電源130に結合されており、基板サポートアセンブリ138およびこの上に位置決めされている基板140を所定の温度にコントロール可能に加熱する。通常、加熱素子132は、摂氏約150〜少なくとも約460度の均一な温度に基板140を維持する。   [0040] A heating element 132, such as an electrode, disposed on the substrate support assembly 138 is coupled to the power source 130 to heat the substrate support assembly 138 and the substrate 140 positioned thereon in a controllable manner to a predetermined temperature. To do. Typically, the heating element 132 maintains the substrate 140 at a uniform temperature of about 150 to at least about 460 degrees Celsius.

[0041]一般に、基板サポートアセンブリ138は下部サイド126と、基板をサポートする上部サイド134とを有する。下部サイド126は、これに結合されたステムカバー144を有する。ステムカバー144は一般に、ステム142の取り付け用の搭載表面を提供する基板サポートアセンブリ138に結合されたアルミニウムリングである。   [0041] In general, the substrate support assembly 138 has a lower side 126 and an upper side 134 that supports the substrate. The lower side 126 has a stem cover 144 coupled thereto. The stem cover 144 is typically an aluminum ring coupled to a substrate support assembly 138 that provides a mounting surface for attachment of the stem 142.

[0042]一般に、ステム142はステムカバー144から延び、(示されたような)高い位置と低い位置との間に基板サポートアセンブリ138を移動させるリフトシステム(図示せず)に基板サポートアセンブリ138を結合させる。ベローズ146は、サポートアセンブリ138の移動を容易にする一方で、プロセス容積112とチャンバ102の外部の大気との間の真空シールを提供する。ステム142は、基板サポートアセンブリ138とシステム100の他のコンポーネント間の電気的かつ熱電対リード用の導管を付加的に提供する。   [0042] Generally, the stem 142 extends from the stem cover 144 and causes the substrate support assembly 138 to be lifted (not shown) to move the substrate support assembly 138 between a high position (as shown) and a low position. Combine. Bellows 146 facilitates movement of support assembly 138 while providing a vacuum seal between process volume 112 and the atmosphere outside chamber 102. The stem 142 additionally provides a conduit for electrical and thermocouple leads between the substrate support assembly 138 and other components of the system 100.

[0043]電源122によって分配パネル118(またはチャンバの蓋アセンブリ内またはこの近くに位置決めされた他の電極)に供給されたRF電力が、基板サポートアセンブリ138と分配プレート118間のプロセス容積112に配置されたガスを励起するように、基板サポートアセンブリ138は一般に接地される。電源122からのRF電力は一般に、基板のサイズに相応して選択されて、化学気相堆積プロセスを駆動する。   [0043] RF power supplied by the power source 122 to the distribution panel 118 (or other electrodes positioned in or near the chamber lid assembly) is placed in the process volume 112 between the substrate support assembly 138 and the distribution plate 118. The substrate support assembly 138 is generally grounded so as to excite the generated gas. The RF power from the power source 122 is generally selected according to the size of the substrate to drive the chemical vapor deposition process.

[0044]基板サポートアセンブリ138は外接シャドウフレーム148を付加的にサポートする。一般に、シャドウフレーム148は、基板140および基板サポートアセンブリ138の縁への堆積を防止するため、基板はサポートアセンブリ138に付着しない。   [0044] The substrate support assembly 138 additionally supports the circumscribing shadow frame 148. In general, the shadow frame 148 prevents deposition on the edges of the substrate 140 and substrate support assembly 138 so that the substrate does not adhere to the support assembly 138.

[0045]基板サポートアセンブリ138は、これを介して配置された、複数のリフトピン150を受容する複数のホール128を有する。リフトピン150は通常セラミックや陽極化アルミニウムから構成される。一般に、リフトピン150は、リフトピン150が正常な位置にある(つまり、サポートアセンブリ138に対して引っ込められている)場合に基板サポートアセンブリ138の上部サイド134と実質的に同一平面であるか、またはこれからわずかに引き込まれている第1の端160を有する。第1の端160は一般に、リフトピン150がホール128から落ちないようにするためにフレア加工されている。さらに、リフトピン150は、サポートアセンブリ138の下部サイド126を超えて延びる第2の端164を有する。リフトピン150は、上部サイド134から突出するようにリフトプレート154によって基板サポートアセンブリ138に対して起動されてもよく、これによってサポートアセンブリ138に対して間隔をあけて基板を置くことができる。   [0045] The substrate support assembly 138 has a plurality of holes 128 disposed therethrough for receiving a plurality of lift pins 150. The lift pins 150 are usually made of ceramic or anodized aluminum. In general, the lift pins 150 are substantially flush with or from the upper side 134 of the substrate support assembly 138 when the lift pins 150 are in a normal position (ie, retracted relative to the support assembly 138). It has a first end 160 that is slightly retracted. The first end 160 is generally flared to prevent the lift pin 150 from falling out of the hole 128. In addition, the lift pin 150 has a second end 164 that extends beyond the lower side 126 of the support assembly 138. The lift pins 150 may be activated relative to the substrate support assembly 138 by the lift plate 154 so as to protrude from the upper side 134, thereby allowing the substrate to be spaced from the support assembly 138.

[0046]リフトプレート154はサポート表面の下部サイド126に近接して配置される。リフトプレート154は、ステム142の一部に外接するカラー156によってアクチュエータに接続される。ベローズ146は、チャンバ102の外部の環境からのプロセス容積112の分離を維持する一方で、ステム142およびカラー156を独立して移動させる上部部分168および下部部分170を含んでいる。一般に、リフトプレート154は、基板サポートアセンブリ138およびリフトプレート154が移動して相互に近づくに伴い、リフトピン150を上部サイド134から延ばすように起動される。   [0046] The lift plate 154 is disposed proximate to the lower side 126 of the support surface. The lift plate 154 is connected to the actuator by a collar 156 that circumscribes a portion of the stem 142. Bellows 146 includes an upper portion 168 and a lower portion 170 that move stem 142 and collar 156 independently while maintaining separation of process volume 112 from the environment outside chamber 102. In general, the lift plate 154 is activated to extend the lift pins 150 from the upper side 134 as the substrate support assembly 138 and the lift plate 154 move toward each other.

[0047]図2および図2Aは、基板サポートアセンブリ138の別の実施形態の部分的断面図であり、本実施形態は以後、混乱を回避するためにサポートアセンブリ200とラベル付けされる。サポートアセンブリ200は、アルミニウムであってもよく、かつ陽極化コーティング210によって実質的にカバーされている本体202を含んでいる。本体202は、1つ以上の結合部材や、加熱素子132をこの中に埋め込んでいる単一の鋳造本体から構成されてもよい。本発明の利点を享受するように適合可能な基板サポートアセンブリの例は、2002年12月2日に出願された米国特許出願第10/308,385号、および2001年8月1日に出願された第09/921,104号に説明されており、両者ともその全体を参照として本明細書に組み込まれている。   [0047] FIGS. 2 and 2A are partial cross-sectional views of another embodiment of a substrate support assembly 138, which is hereinafter labeled with a support assembly 200 to avoid confusion. The support assembly 200 may include aluminum and includes a body 202 that is substantially covered by an anodized coating 210. The body 202 may be composed of one or more coupling members and a single cast body having heating elements 132 embedded therein. Examples of substrate support assemblies that can be adapted to enjoy the benefits of the present invention include US patent application Ser. No. 10 / 308,385 filed Dec. 2, 2002, and filed Aug. 1, 2001. No. 09 / 921,104, both of which are incorporated herein by reference in their entirety.

[0048]本体202は一般に、基板サポート表面204と対向搭載表面206とを含んでいる。搭載面206は(図1に見られる)ステム142に結合される。陽極化コーティング210は本体202の少なくとも基板サポート表面204をカバーし、基板140と基板サポート表面204間の分離層を提供する。   [0048] The body 202 generally includes a substrate support surface 204 and an opposing mounting surface 206. The mounting surface 206 is coupled to a stem 142 (seen in FIG. 1). The anodized coating 210 covers at least the substrate support surface 204 of the body 202 and provides a separation layer between the substrate 140 and the substrate support surface 204.

[0049]図2Aを参照すると、コーティング210は外面212および内面214を含んでいる。内面214は一般に本体202上に直接配置されている。一実施形態では、陽極化コーティングは、約0.3ミル(7.6マイクロメーター)〜約2.16ミル(54.9マイクロメーター)の厚さを有する。この範囲外の厚さを有する陽極化コーティングは、温度サイクリング中に失敗したり、PECVD堆積によって形成されたSiN、αSiおよびn+α−Siの大面積膜のスポッティングを十分に削減しないことがある。   [0049] Referring to FIG. 2A, the coating 210 includes an outer surface 212 and an inner surface 214. The inner surface 214 is generally disposed directly on the body 202. In one embodiment, the anodized coating has a thickness of about 0.3 mil (7.6 micrometers) to about 2.16 mil (54.9 micrometers). Anodized coatings with thicknesses outside this range may fail during temperature cycling or may not significantly reduce spotting of large area films of SiN, αSi and n + α-Si formed by PECVD deposition.

[0050]図2および図2Aを参照すると、基板サポート表面204上に位置決めされた外面212の一部218は、この上に基板140をサポートするように構成された形態を有する。外面212の一部218は、基板140上に堆積された膜の均一な厚さを促進する特定の粗さのコーティング表面仕上げ部216を有する。コーティング表面仕上げ部216は約200〜約2000マイクロインチの粗さを有する。コーティング表面仕上げ部216は好都合なことに膜厚の均一性の改良をもたらし、実際基板サポートを調整(例えばエイジング)せずに局所的な厚さの不均一性(薄い堆積のスポット)を実質的に排除することが分かっている。基板サポート調整の排除はプラズマエイジングプロセスで普通に消費される時間および材料の両方を保存しており、サイクル間の真空パージを排除し、この排除はシステムスループットの改良をもたらす。一実施形態では、コーティング表面仕上げ部216は約330マイクロインチの粗さを有する。   [0050] Referring to FIGS. 2 and 2A, a portion 218 of the outer surface 212 positioned on the substrate support surface 204 has a configuration configured to support the substrate 140 thereon. A portion 218 of the outer surface 212 has a specific roughness coating surface finish 216 that promotes a uniform thickness of the film deposited on the substrate 140. The coating surface finish 216 has a roughness of about 200 to about 2000 microinches. The coating surface finish 216 advantageously results in improved film thickness uniformity and substantially eliminates local thickness non-uniformity (thin deposition spots) without actually adjusting (eg, aging) the substrate support. Is known to be eliminated. The elimination of substrate support adjustment saves both the time and material normally consumed in the plasma aging process, eliminating the vacuum purge between cycles, which results in improved system throughput. In one embodiment, the coating surface finish 216 has a roughness of about 330 microinches.

[0051]陽極化コーティング210のコーティング表面仕上げ部216は、基板140の下にある外部基板サポート表面204の少なくとも一部220を処置することによって、さらに/または(特定の表面仕上げ部208を得るために)基板140をサポートする陽極化コーティング210を少なくとも処置することによって達成されてもよい。基板サポート表面204の表面仕上げ部208は、ビードブラスト、研削ブラスト、グラインド、エンボス加工、サンディング、テクスチャリング、エッチング、または特定の表面粗さを提供するための他の方法を含む多数の方法で形成されてもよい。一実施形態では、本体202の基板サポート表面204の表面仕上げ部208は約200〜約2000マイクロインチである。別の実施形態では、表面仕上げ部208は約330マイクロインチである。   [0051] The coating surface finish 216 of the anodized coating 210 may additionally / or (to obtain a particular surface finish 208) by treating at least a portion 220 of the external substrate support surface 204 underlying the substrate 140. And / or) by at least treating the anodized coating 210 that supports the substrate 140. The surface finish 208 of the substrate support surface 204 is formed in a number of ways, including bead blasting, grinding blasting, grinding, embossing, sanding, texturing, etching, or other methods to provide specific surface roughness. May be. In one embodiment, the surface finish 208 of the substrate support surface 204 of the body 202 is about 200 to about 2000 microinches. In another embodiment, the surface finish 208 is about 330 microinches.

[0052]任意で、基板140の下から位置決めされた部分220に隣接する基板サポート表面204のストリップ224は、製造コストを最小限にするために未処置のままにされてもよい。このことは、仕上げ部216とは異なる仕上げ部を有することもある未処置ストリップ224の上方に陽極化コーティング210のストリップ222をもたらすが、ストリップ222が基板140を超えると、ストリップ222の表面仕上げ部は膜堆積の均一性に何ら影響しない。一実施形態では、陽極化コーティング210のストリップ222は、これが隣接している陽極化コーティング210の部分218よりも平滑な表面仕上げ部を有する。   [0052] Optionally, the strip 224 of the substrate support surface 204 adjacent the portion 220 positioned from below the substrate 140 may be left untreated to minimize manufacturing costs. This results in a strip 222 of anodized coating 210 above the untreated strip 224 that may have a different finish than the finish 216, but when the strip 222 exceeds the substrate 140, the surface finish of the strip 222. Does not affect the uniformity of film deposition. In one embodiment, the strip 222 of the anodized coating 210 has a smoother surface finish than the portion 218 of the anodized coating 210 that it is adjacent to.

[0053]図3は、サポートアセンブリ138の製造方法300の一実施形態を描いている。この方法は、本体202の基板サポート表面204を準備することによるステップ302で開始する。準備ステップ302は一般に、基板サポート表面204を作動させるか、他の方法で処置することを伴うため、表面仕上げ部208は約200〜約2000マイクロインチである。表面仕上げ部208は平均表面粗さ(Ra)や相加平均(AA)によって特徴付けられる。一実施形態では、準備ステップ302は、ビードブラスト、研削ブラスト、グラインド、エンボス加工、サンディング、テクスチャリング、エッチング、または、例えば約330マイクロインチの特定の表面粗さを提供するための他の方法を含んでいてもよい。500マイクロインチ〜約2000マイクロインチより大きな表面粗さを達成するために、フライス加工、旋盤カッティング、ローレット切り、フレームカッティング、または他の類似の金属除去技術を使用することが普通である。   [0053] FIG. 3 depicts one embodiment of a method 300 of manufacturing the support assembly 138. The method begins at step 302 by preparing the substrate support surface 204 of the body 202. Since the preparatory step 302 generally involves actuating or otherwise treating the substrate support surface 204, the surface finish 208 is about 200 to about 2000 microinches. The surface finish 208 is characterized by an average surface roughness (Ra) and an arithmetic average (AA). In one embodiment, the preparation step 302 includes bead blasting, grinding blasting, grinding, embossing, sanding, texturing, etching, or other methods for providing a specific surface roughness of, for example, about 330 microinches. May be included. It is common to use milling, lathe cutting, knurling, frame cutting, or other similar metal removal techniques to achieve a surface roughness greater than 500 microinches to about 2000 microinches.

[0054]ステップ302の一実施形態では、基板サポート表面204は、約200〜約2000マイクロインチの範囲の所望の粗さを達成するために、例えばアルミニウム(Al)、アルミナ(Al)、チタン(Ti)またはステンレス鋼などの材料によってスプレーされたフレーム、アークまたはプラズマである。一態様において、基板サポート表面204は、約200〜約2000マイクロインチの粗さを達成するためにアークスプレーされたアルミニウム材料によってコーティングされる。 [0054] In one embodiment of step 302, the substrate support surface 204 is made of, for example, aluminum (Al), alumina (Al 2 O 3 ) to achieve a desired roughness in the range of about 200 to about 2000 microinches. A flame, arc or plasma sprayed with materials such as titanium (Ti) or stainless steel. In one aspect, the substrate support surface 204 is coated with an arc sprayed aluminum material to achieve a roughness of about 200 to about 2000 micro inches.

[0055]一実施形態では、基板サポート表面204は所定の表面仕上げ部にビードブラスト処理される。ビードブラスト処理は、ガーネット、セラミックまたはガラスビーズを本体202に衝突させることを含んでいてもよい。   [0055] In one embodiment, the substrate support surface 204 is bead blasted to a predetermined surface finish. The bead blasting may include impacting the garnet, ceramic or glass beads against the body 202.

[0056]別の実施形態では、ビーズは、約125〜約375ミクロンの平均直径を有する酸化アルミニウムである。ビーズは、約200〜約2000マイクロインチの表面仕上げ部208を生成するのに十分な出口速度を有するノズルを介して提供される。   [0056] In another embodiment, the beads are aluminum oxide having an average diameter of about 125 to about 375 microns. The beads are provided through a nozzle having an exit velocity sufficient to produce a surface finish 208 of about 200 to about 2000 microinches.

[0057]準備ステップ302の完了後、本体はステップ304で陽極化される。陽極化ステップ304は一般に、約0.3〜約2.16ミルの厚さを有する陽極化層を適用することを含んでいる。陽極化コーティング212の外面210上の結果として得られたコーティング表面仕上げ部216は約200〜約2000マイクロインチ、好ましくは約300〜約1000マイクロインチ、より好ましくは約330〜約500マイクロインチである。   [0057] After completion of the preparation step 302, the body is anodized at step 304. Anodization step 304 generally includes applying an anodization layer having a thickness of about 0.3 to about 2.16 mils. The resulting coating surface finish 216 on the outer surface 210 of the anodized coating 212 is about 200 to about 2000 microinches, preferably about 300 to about 1000 microinches, more preferably about 330 to about 500 microinches. .

[0058]図4は、サポートアセンブリ138の製造方法400の別の実施形態を描いている。この方法は、本体202を陽極化することによるステップ402で開始する。ステップ404で、陽極化コーティング210の外面212の少なくとも一部218が、粗面化されたコーティング表面仕上げ部216を提供するために処置される。あるいはまた、外面212の他の部分が処置されてもよい。   [0058] FIG. 4 depicts another embodiment of a method 400 of manufacturing the support assembly 138. The method begins at step 402 by anodizing the body 202. At step 404, at least a portion 218 of the outer surface 212 of the anodized coating 210 is treated to provide a roughened coating surface finish 216. Alternatively, other parts of the outer surface 212 may be treated.

[0059]処置ステップ404は、ビードブラスト、研削ブラスト、グラインド、フライス加工、エンボス加工、サンディング、テクスチャリング、エッチング、または特定の表面粗さを提供するための他の方法を含んでいてもよい。一実施形態では、処置ステップ404は、約200〜約2000マイクロインチ、好ましくは約300〜約1000マイクロインチ、より好ましくは約330〜約500マイクロインチの外面の表面仕上げ部となる。   [0059] The treatment step 404 may include bead blasting, grinding blasting, grinding, milling, embossing, sanding, texturing, etching, or other methods for providing a specific surface roughness. In one embodiment, treatment step 404 results in a surface finish of about 200 to about 2000 microinches, preferably about 300 to about 1000 microinches, more preferably about 330 to about 500 microinches.

[0060]図5は、均一な堆積厚さを高めるように構成されたサポートアセンブリ500の別の実施形態の部分的断面図を描いている。サポートアセンブリ500は、陽極化コーティング506によって実質的にカプセル化されたアルミニウムサポート本体502を含む。加熱素子504はサポート本体502に結合されて、サポートアセンブリ500の上面に位置決めされた基板140の温度をコントロールする。加熱素子504は抵抗ヒーターか、本体502に結合されているか、またはこれに対して配置されている他の温度コントロールデバイスであってもよい。あるいはまた、本体502の下部部分512は、加熱素子504と本体502間の直接接触を提供するために陽極化されないこともある。場合により、熱導電性材料の中間層(図示せず)が、加熱素子504と本体502の下部部分512との間に配置されてもよい。   [0060] FIG. 5 depicts a partial cross-sectional view of another embodiment of a support assembly 500 configured to increase uniform deposition thickness. Support assembly 500 includes an aluminum support body 502 substantially encapsulated by an anodized coating 506. The heating element 504 is coupled to the support body 502 to control the temperature of the substrate 140 positioned on the upper surface of the support assembly 500. The heating element 504 may be a resistance heater or other temperature control device coupled to or disposed relative to the body 502. Alternatively, the lower portion 512 of the body 502 may not be anodized to provide direct contact between the heating element 504 and the body 502. In some cases, an intermediate layer (not shown) of thermally conductive material may be disposed between the heating element 504 and the lower portion 512 of the body 502.

[0061]基板140をサポートする陽極化コーティング506の上部部分508は、基板140上の膜の均一な堆積を高めるように構成された表面仕上げ部510を有する。一実施形態では、表面仕上げ部510は、約200〜約2000マイクロインチ、好ましくは約300〜約1000マイクロインチ、より好ましくは約330〜約500マイクロインチの粗さを有する。表面仕上げ部510は、上記の方法を含む多数の方法によって作成されてもよい。   [0061] The upper portion 508 of the anodized coating 506 that supports the substrate 140 has a surface finish 510 configured to enhance uniform deposition of the film on the substrate 140. In one embodiment, the surface finish 510 has a roughness of about 200 to about 2000 microinches, preferably about 300 to about 1000 microinches, more preferably about 330 to about 500 microinches. The surface finish 510 may be created by a number of methods including those described above.

[0062]図6は、ヒーターアセンブリ600の別の実施形態を描いている。ヒーターアセンブリ600は、陽極化コーティング606を少なくとも部分的にこの上に形成しているアルミニウム本体602を含む。加熱素子604、つまり温度コントロールされた流体が循環される導管は、基板140の温度コントロールを容易にするために本体602の底面に対して配置される。場合により、熱導電性プレート614が、加熱素子604と本体602間の温度の均一性を高めるために、加熱素子604と本体602間に配置されてもよい。一実施形態では、熱導電性プレート614は銅プレートである。   [0062] FIG. 6 depicts another embodiment of a heater assembly 600. FIG. The heater assembly 600 includes an aluminum body 602 on which an anodized coating 606 is at least partially formed. A heating element 604, a conduit through which a temperature controlled fluid is circulated, is positioned relative to the bottom surface of the body 602 to facilitate temperature control of the substrate 140. In some cases, a thermally conductive plate 614 may be disposed between the heating element 604 and the body 602 to increase temperature uniformity between the heating element 604 and the body 602. In one embodiment, the thermally conductive plate 614 is a copper plate.

[0063]クランププレート608は、本体602に形成されたスレッドホール612内にスレッド接続する複数のファスナー610(このうちの1つが図6に示されている)によって本体602に結合される。クランププレート608は加熱素子604を本体602で挟持することによって、熱転送を高めることができる。   [0063] The clamp plate 608 is coupled to the body 602 by a plurality of fasteners 610 (one of which is shown in FIG. 6) that thread-connects within thread holes 612 formed in the body 602. The clamp plate 608 can enhance heat transfer by sandwiching the heating element 604 with the main body 602.

[0064]基板140をサポートする陽極化コーティング606の一部620は、基板140上の膜の均一な堆積を高めるように構成された表面仕上げ部622を有する。表面仕上げ部622は上記のものと同様に作成されてもよい。   [0064] The portion 620 of the anodized coating 606 that supports the substrate 140 has a surface finish 622 configured to enhance uniform deposition of the film on the substrate 140. The surface finish 622 may be created in the same manner as described above.

[0065]従って、大面積基板上に配置された膜の均一な堆積を高めるサポートアセンブリが提供される。基板をサポートするサポートアセンブリのアルミニウム本体をカバーする陽極化コーティングの少なくとも一部が、堆積の均一性を高める所定の表面粗さにテクスチャリングされることによって、サポートアセンブリの時間のかかるエイジングおよびこの関連コストを実質的に排除することができる。   [0065] Accordingly, a support assembly is provided that enhances uniform deposition of films disposed on large area substrates. Time aging of the support assembly and its relatedness by at least a portion of the anodized coating covering the aluminum body of the support assembly supporting the substrate being textured to a predetermined surface roughness that enhances deposition uniformity Cost can be substantially eliminated.

未コーティングサセプタ
[0066]図7および図7Aは、コーティングされておらず、かつ混乱を回避するために、以後、基板サポートアセンブリ700として分類される基板サポートアセンブリ138の別の実施形態の部分的断面図である。サポートアセンブリ700は、はだかまたは未コーティング本体702を含む。本体702は、1つ以上の結合部材、あるいは加熱素子132をこの中に埋め込んでいるユニタリ鋳造本体から構成されてもよい。一実施形態では、本体702は、例えばアルミニウム(Al)、チタン(Ti)またはステンレス鋼などの金属から作られる。
Uncoated susceptor
[0066] FIGS. 7 and 7A are partial cross-sectional views of another embodiment of a substrate support assembly 138 that is uncoated and hereafter classified as a substrate support assembly 700 to avoid confusion. . Support assembly 700 includes a bare or uncoated body 702. The body 702 may be composed of one or more coupling members, or a unitary casting body having a heating element 132 embedded therein. In one embodiment, the body 702 is made from a metal such as, for example, aluminum (Al), titanium (Ti), or stainless steel.

[0067]本体702は一般に基板サポート表面704および対向搭載表面706を含む。搭載表面760は(図1に見られる)ステム142に結合される。   [0067] The body 702 generally includes a substrate support surface 704 and an opposing mounting surface 706. Mounting surface 760 is coupled to stem 142 (seen in FIG. 1).

[0068]図7および図7Aを参照すると、基板サポート表面704は、その上の基板140をサポートするように構成された形態を有する。基板サポート表面704の基板接触部分720は、基板140上に堆積された膜の均一な厚さを促進する特定の粗さの表面仕上げ部714を有する。表面仕上げ部714は約80〜約2000マイクロインチの粗さを有する。表面仕上げ部714は好都合なことに改良された膜厚の均一性をもたらし、実際、局所的な厚さの不均一性(薄い堆積のスポット)を実質的に排除することが分かった。一実施形態で、表面仕上げ部714は約140マイクロインチより大きな粗さを有する。別の実施形態では、表面仕上げ部714は約340マイクロインチより大きな粗さを有する。一態様において、基板サポートアセンブリ700を形成するためのコストを削減するために、基板接触部分720、側縁734および対向搭載表面706の外部のエリア722を未粗面化または部分的に粗面化された状態のままにすることが望ましい。   [0068] Referring to FIGS. 7 and 7A, the substrate support surface 704 has a configuration configured to support the substrate 140 thereon. The substrate contact portion 720 of the substrate support surface 704 has a specific roughness surface finish 714 that promotes a uniform thickness of the film deposited on the substrate 140. The surface finish 714 has a roughness of about 80 to about 2000 microinches. It has been found that the surface finish 714 advantageously provides improved film thickness uniformity and, in fact, substantially eliminates local thickness non-uniformities (thin deposition spots). In one embodiment, surface finish 714 has a roughness greater than about 140 microinches. In another embodiment, surface finish 714 has a roughness greater than about 340 microinches. In one aspect, to reduce the cost of forming the substrate support assembly 700, the substrate contact portion 720, the side edges 734, and the area 722 outside the opposing mounting surface 706 are roughened or partially roughened. It is desirable to leave the state as it is.

[0069]図8は、サポートアセンブリ138の製造方法800の一実施形態を描いている。この方法は、本体702のサポート表面704を準備することによるステップ802で開始する。準備ステップ802は一般に、サポート表面704を作動させるか、他の方法で処置することを伴うため、表面仕上げ部714は約140〜約2000マイクロインチ、好ましくは約300〜約1000マイクロインチ、より好ましは約330〜約500マイクロインチである。仕上げ部714は平均表面粗さ(Ra)または相加平均(AA)によって特徴付けられる。一実施形態では、準備ステップ802は、ビードブラスト、研削ブラスト、グラインド、エンボス加工、サンディング、テクスチャリング、エッチング、または例えば約330マイクロインチの特定の表面粗さを提供するための他の方法を含んでいてもよい。500マイクロインチ〜約2000マイクロインチより大きな表面粗さを達成するために、フライス加工、旋盤カッティング、ローレット切り、フレームカッティング、または他の類似の金属除去技術を使用するのは普通である。   [0069] FIG. 8 depicts one embodiment of a method 800 of manufacturing the support assembly 138. The method begins at step 802 by providing a support surface 704 for the body 702. Since the preparation step 802 generally involves actuating or otherwise treating the support surface 704, the surface finish 714 is about 140 to about 2000 microinches, preferably about 300 to about 1000 microinches, more preferred. More preferably from about 330 to about 500 microinches. The finish 714 is characterized by an average surface roughness (Ra) or an arithmetic average (AA). In one embodiment, the preparation step 802 includes bead blasting, grinding blasting, grinding, embossing, sanding, texturing, etching, or other methods for providing a specific surface roughness of, for example, about 330 microinches. You may go out. It is common to use milling, lathe cutting, knurling, frame cutting, or other similar metal removal techniques to achieve a surface roughness greater than 500 microinches to about 2000 microinches.

[0070]一実施形態では、任意の表面コーティングステップ804が、基板がサポートされる粗い表面を形成するために使用される。表面コーティングステップ804は、約140〜約2000マイクロインチの範囲の所望の粗さを達成するために、従来のフレーム、アーク、または例えばアルミニウム(Al)、チタン(Ti)またはステンレス鋼などのプラズマスプレー金属の使用によって、サポート表面704上で実行されてもよい。一態様では、アルミニウムから作られた本体702のサポート表面704は、約140マイクロインチより大きな粗さを達成するためにアークスプレーアルミニウム材料によってコーティングされている。   [0070] In one embodiment, an optional surface coating step 804 is used to form a rough surface on which the substrate is supported. The surface coating step 804 is a conventional frame, arc, or plasma spray such as aluminum (Al), titanium (Ti) or stainless steel to achieve the desired roughness in the range of about 140 to about 2000 microinches. It may be performed on the support surface 704 by the use of metal. In one aspect, the support surface 704 of the body 702 made from aluminum is coated with an arc spray aluminum material to achieve a roughness greater than about 140 microinches.

[0071]任意のステップ804の別の実施形態では、セラミックまたは酸化金属コーティングが、約80〜2000マイクロインチの表面粗さを達成するために、従来のフレーム、アークまたはプラズマスプレープロセスの使用によってサポート表面704上に堆積される。例えば、酸化アルミニウム(Al)は、約140〜約2000マイクロインチの表面粗さを達成するために、サポート表面704上に堆積されてもよい。 [0071] In another embodiment of optional step 804, the ceramic or metal oxide coating is supported by use of a conventional flame, arc or plasma spray process to achieve a surface roughness of about 80-2000 microinches. Deposited on the surface 704. For example, aluminum oxide (Al 2 O 3 ) may be deposited on the support surface 704 to achieve a surface roughness of about 140 to about 2000 microinches.

基板サポート構造体
[0072]上記論じられた本発明の種々の態様は一般に、基板サポートアセンブリの種々の特性や特徴(例えば要素138、200、700)を改良することによって大面積基板上の基板プロセス結果を改良することができる種々の実施形態について検討されている。大面積基板上の所望かつ反復可能なプロセス結果を達成するために、一般に、基板と基板サポート間の接触が相対的に均一かつ反復可能であることを保証する必要がある。接触が相対的に均一かつ反復可能であることを保証するために、基板サポート表面は一般に、所望かつ反復可能な形状に形成され、かつこのままにされることが必要である。図9および図10を参照すると、基板構造体910のサイズおよび処理中に普通達成される温度(例えば、通常150℃〜460℃)によって、構造体サポート(例えば要素910)を基板サポート902に提供して、これが、基板サポート902が形成される材料の重力および軟化によって偏向することを防止することがしばしば必要とされることがある。この問題は普通、これらの温度でのアルミニウム材料の性質ゆえに、アルミニウムから作られた基板サポート902を使用する場合に生じる。高温処理中の偏向に抵抗するように適合された基板サポート902に対して本質的なサポート構造体の例示的設計は同一出願人による米国特許第6,554,907号に更に説明されており、これは、特許請求された本発明と矛盾しない限りその全体を参照として本明細書に組み込まれている。高温処理中の偏向に抵抗するように適合された基板サポート902に対して本質的なサポート構造体の例示的設計は、2005年6月2日に出願された同一出願人による米国特許出願第11/143,506号[AMAT9182]に更に説明されており、これは2004年7月12日に出願された米国仮特許出願第60/587,173号の利点を特許請求するものであり、特許請求された本発明と矛盾しない限りその全体を参照として本明細書に組み込まれている。
Substrate support structure
[0072] The various aspects of the present invention discussed above generally improve substrate process results on large area substrates by improving various properties and characteristics (eg, elements 138, 200, 700) of the substrate support assembly. Various embodiments that can be discussed are discussed. In order to achieve the desired and repeatable process results on large area substrates, it is generally necessary to ensure that the contact between the substrate and the substrate support is relatively uniform and repeatable. In order to ensure that the contact is relatively uniform and repeatable, the substrate support surface generally needs to be formed and left in the desired and repeatable shape. Referring to FIGS. 9 and 10, the structure support (eg, element 910) is provided to the substrate support 902 by the size of the substrate structure 910 and the temperatures typically achieved during processing (eg, typically between 150 ° C. and 460 ° C.). Thus, it may often be required to prevent deflection due to gravity and softening of the material from which the substrate support 902 is formed. This problem usually arises when using a substrate support 902 made from aluminum due to the nature of the aluminum material at these temperatures. An exemplary design of the support structure essential to the substrate support 902 adapted to resist deflection during high temperature processing is further described in commonly assigned US Pat. No. 6,554,907, This is incorporated herein by reference in its entirety as long as it does not conflict with the claimed invention. An exemplary design of an essential support structure for a substrate support 902 adapted to resist deflection during high temperature processing is described in commonly assigned US patent application Ser. No. 11 filed Jun. 2, 2005. No./143,506 [AMAT 9182], which claims the benefits of US Provisional Patent Application No. 60 / 587,173, filed July 12, 2004, and claims The entire contents of which are hereby incorporated by reference as long as they are consistent with the invention described.

[0073]図9は、図1に示された基板サポートアセンブリ138に代えて使用されてもよい基板サポート構造体910の分解等尺図を図示している。図10は、基板サポート表面904上に位置決めされた基板140を有する完全組立て配向の基板サポート構造体910の側面図を図示している。図9および図10の基板サポート構造体910は一般に、基板サポート902の下方にベース構造体914を含んでいる。一態様では、基板サポート902は、接続ポイント901でシャフト142によって接続およびサポートされているベース構造体914によってサポートされている。一実施形態では、ベース構造体914は、連続サポートを基板サポート902に提供して、種々のプロセスの実行前、実行中および実行後に基板サポート表面904が所望の所定の形状のままであることを保証するように適合されている。サポート構造体910と関連して説明された基板サポート902は一般に、上記の(例えば、要素202、502、602、702と関連して説明された)プロセスのうちのいずれかによって形成可能である。   [0073] FIG. 9 illustrates an exploded isometric view of a substrate support structure 910 that may be used in place of the substrate support assembly 138 shown in FIG. FIG. 10 illustrates a side view of a fully assembled oriented substrate support structure 910 having a substrate 140 positioned on a substrate support surface 904. The substrate support structure 910 of FIGS. 9 and 10 generally includes a base structure 914 below the substrate support 902. In one aspect, the substrate support 902 is supported by a base structure 914 that is connected and supported by a shaft 142 at a connection point 901. In one embodiment, the base structure 914 provides continuous support to the substrate support 902 to ensure that the substrate support surface 904 remains in the desired predetermined shape before, during and after various processes. It is adapted to guarantee. The substrate support 902 described in connection with the support structure 910 can generally be formed by any of the processes described above (eg, described in connection with elements 202, 502, 602, 702).

[0074]ベース構造体914は一般に、細長いベースサポートプレート915と、基板サポート902をサポートするように適合された複数の水平サポートプレート917とを含有する。図9に示された構成において、水平サポートは、ベースサポートプレート915に対してほぼ直角である配向で配置されている。一態様では、プレート915、917が、処理温度および圧力条件下で基板サポート902の重量をサポートおよび保有できる十分な強度および剛性の材料から製造されることが好ましい。例えば、プレート915、917は、アルミナ(Al)などのセラミック材料や、300シリーズステンレス鋼などの耐熱金属から作られる。 [0074] The base structure 914 generally contains an elongated base support plate 915 and a plurality of horizontal support plates 917 adapted to support the substrate support 902. In the configuration shown in FIG. 9, the horizontal support is arranged in an orientation that is substantially perpendicular to the base support plate 915. In one aspect, the plates 915, 917 are preferably made from a material that is strong and rigid enough to support and hold the weight of the substrate support 902 under processing temperature and pressure conditions. For example, the plates 915, 917 are made of a ceramic material such as alumina (Al 2 O 3 ) or a refractory metal such as 300 series stainless steel.

[0075]図9の図面は説明目的で分解された基板サポート構造体910を示しているが、基板サポート902はサポートプレート915、917のすぐ上に静止していることが理解される。基板サポート902およびサポートプレート915、917は処理中に相互に移動しないことが想定されている。また、図9を参照すると、1つのベースサポートプレート915と4つの個別の水平サポートプレート917が示されているのに対して、任意の数のサポートプレート915、917が使用されてもよいことが理解されるべきである。   [0075] Although the drawing of FIG. 9 shows the substrate support structure 910 disassembled for illustrative purposes, it is understood that the substrate support 902 is stationary immediately above the support plates 915, 917. It is assumed that the substrate support 902 and the support plates 915, 917 do not move relative to each other during processing. Also, referring to FIG. 9, one base support plate 915 and four individual horizontal support plates 917 are shown, whereas any number of support plates 915, 917 may be used. Should be understood.

[0076]一実施形態では、ベース構造体914は、基板サポート902およびサポートされた基板140に非平面プロファイルを与えるために、非平面形状で形成されてもよい(図10参照)。本実施形態では、ベース構造体914は、細長いベースサポートプレート915と、ベースサポートプレート915に対してほぼ直角に配置された複数の水平サポートプレート917と、基板サポート902をサポートし、かつ所望の非平面プロファイルを達成するために水平サポートプレート917上に置かれた様々な厚さの複数のシム918とを含有していてもよい。好ましくは、シム918の厚さは約0.4mm〜約3.5mmである。本実施形態では、シム918は水平サポートプレート917の端に位置決めされているが、シム918は水平サポートプレート917の他の部分に配置されてもよい。サポートプレート917の形状および/またはシム918の使用は、加熱された基板は処理中に基板サポート902の平面配向に従うため、処理中に基板に対する所望の平面配向を変換する基板サポート902の事前成形を許容することが想定されている。   [0076] In one embodiment, the base structure 914 may be formed in a non-planar shape to provide a non-planar profile to the substrate support 902 and the supported substrate 140 (see FIG. 10). In this embodiment, the base structure 914 supports an elongated base support plate 915, a plurality of horizontal support plates 917 disposed substantially perpendicular to the base support plate 915, a substrate support 902, and a desired non- It may contain a plurality of shims 918 of varying thickness placed on a horizontal support plate 917 to achieve a planar profile. Preferably, the shim 918 has a thickness of about 0.4 mm to about 3.5 mm. In this embodiment, the shim 918 is positioned at the end of the horizontal support plate 917, but the shim 918 may be disposed at other portions of the horizontal support plate 917. The shape of the support plate 917 and / or the use of shims 918 can be achieved by pre-forming the substrate support 902 that converts the desired planar orientation relative to the substrate during processing because the heated substrate follows the planar orientation of the substrate support 902 during processing. It is assumed to be acceptable.

[0077]本発明の教示を組み込む複数の好ましい実施形態が示され、かつ詳細に説明されたが、当業者は、これらの教示を依然として組み込んでいる多数の他の種々の実施形態を容易に考案することができる。   [0077] Although several preferred embodiments have been shown and described in detail which incorporate the teachings of the present invention, those of ordinary skill in the art will readily devise numerous other various embodiments that still incorporate these teachings. can do.

本発明の基板サポートアセンブリを有する処理チャンバの一実施形態の概略断面図を描いている。1 depicts a schematic cross-sectional view of one embodiment of a processing chamber having a substrate support assembly of the present invention. 基板サポートアセンブリの別の実施形態の部分的断面図である。FIG. 6 is a partial cross-sectional view of another embodiment of a substrate support assembly. 基板サポートアセンブリの別の実施形態の部分的断面図である。FIG. 6 is a partial cross-sectional view of another embodiment of a substrate support assembly. 基板サポートアセンブリの製造方法の一実施形態のフローチャートである。5 is a flowchart of an embodiment of a method for manufacturing a substrate support assembly. 基板サポートアセンブリの製造方法の別の実施形態のフローチャートである。6 is a flowchart of another embodiment of a method for manufacturing a substrate support assembly. 基板サポートアセンブリの別の実施形態の部分的断面図である。FIG. 6 is a partial cross-sectional view of another embodiment of a substrate support assembly. 基板サポートアセンブリの別の実施形態の部分的断面図である。FIG. 6 is a partial cross-sectional view of another embodiment of a substrate support assembly. 基板サポートアセンブリの別の実施形態の部分的断面図である。FIG. 6 is a partial cross-sectional view of another embodiment of a substrate support assembly. 基板サポートアセンブリの別の実施形態の部分的断面図である。FIG. 6 is a partial cross-sectional view of another embodiment of a substrate support assembly. 基板サポートアセンブリの製造方法の一実施形態のフローチャートである。5 is a flowchart of an embodiment of a method for manufacturing a substrate support assembly. 一実施形態における基板サポートアセンブリの分解等尺図である。2 is an exploded isometric view of a substrate support assembly in one embodiment. FIG. 図9の基板サポートアセンブリの側面図である。FIG. 10 is a side view of the substrate support assembly of FIG. 9.

符号の説明Explanation of symbols

100…システム、102…チャンバ、104…ガスソース、106…壁、108…底部、110…蓋アセンブリ、112…プロセス容積、114…ポンププレナム、116…補強部材、118…分配プレート、120…内側、122…電源、124…本体、126…下部サイド、128…ホール、130…電源、132…加熱素子、134…上部サイド、138…基板サポートアセンブリ、140…基板、142…ステム、144…ステムカバー、146…ベローズ、148…シャドウフレーム、150…リフトピン、154…リフトプレート、156…カラー、160…第1の端、164…第2の端、166…補強部材、168…上部部分、170…下部部分、180…絶縁性コーティング、190…熱電対、200…サポートアセンブリ、202…本体、204…基板サポート表面、206…対向搭載表面、208…表面仕上げ部、210…陽極化コーティング、212…外面、214…内面、216…コーティング表面仕上げ部、218…部分、220…部分、222…ストリップ、224…ストリップ、300…方法、302…ステップ、304…ステップ、400…方法、402…ステップ、404…ステップ、500…サポートアセンブリ、502…アルミニウムサポート本体、504…加熱素子、506…陽極化コーティング、508…上部部分、510…表面仕上げ部、512…下部部分、600…ヒーターアセンブリ、602…アルミニウム本体、604…加熱素子、606…陽極化コーティング、608…クランププレート、610…ファスナー、612…スレッドホール、614…熱導電性プレート、620…部分、622…表面仕上げ部、700…サポートアセンブリ、702…本体、704…基板サポート表面、706…搭載表面、714…表面仕上げ部、720基板接触部分、722…エリア、734…側縁、800…方法、802…ステップ、804…ステップ、901…接続ポイント、902…基板サポート、904…基板サポート表面、910…基板サポート構造体、914…ベース構造体、915…ベースサポートプレート、917…水平サポートプレート、918…シム。
DESCRIPTION OF SYMBOLS 100 ... System, 102 ... Chamber, 104 ... Gas source, 106 ... Wall, 108 ... Bottom, 110 ... Lid assembly, 112 ... Process volume, 114 ... Pump plenum, 116 ... Reinforcement member, 118 ... Distribution plate, 120 ... Inside, 122 ... Power source, 124 ... Body, 126 ... Lower side, 128 ... Hall, 130 ... Power source, 132 ... Heating element, 134 ... Upper side, 138 ... Substrate support assembly, 140 ... Substrate, 142 ... Stem, 144 ... Stem cover, 146 ... Bellows, 148 ... Shadow frame, 150 ... Lift pin, 154 ... Lift plate, 156 ... Collar, 160 ... First end, 164 ... Second end, 166 ... Reinforcing member, 168 ... Upper part, 170 ... Lower part 180 ... Insulating coating, 190 ... Thermocouple, 200 ... Support assembly 202 ... Body 204 ... Substrate support surface 206 ... Opposite mounting surface 208 ... Surface finish 210 ... Anodized coating 212 ... Outer surface 214 ... Inner surface 216 ... Coated surface finish 218 ... Portion 220 ... Part, 222 ... strip, 224 ... strip, 300 ... method, 302 ... step, 304 ... step, 400 ... method, 402 ... step, 404 ... step, 500 ... support assembly, 502 ... aluminum support body, 504 ... heating element, 506 ... anodized coating, 508 ... upper part, 510 ... surface finish, 512 ... lower part, 600 ... heater assembly, 602 ... aluminum body, 604 ... heating element, 606 ... anodized coating, 608 ... clamp plate, 610 ... Fasteners, 612 ... Red hole, 614 ... thermally conductive plate, 620 ... part, 622 ... surface finish, 700 ... support assembly, 702 ... main body, 704 ... substrate support surface, 706 ... mounting surface, 714 ... surface finish, 720 substrate contact part , 722 ... Area, 734 ... Side edge, 800 ... Method, 802 ... Step, 804 ... Step, 901 ... Connection point, 902 ... Substrate support, 904 ... Substrate support surface, 910 ... Substrate support structure, 914 ... Base structure 915 ... Base support plate, 917 ... Horizontal support plate, 918 ... Shim.

Claims (24)

大面積基板をサポートするように適合された基板サポートであって、
基板サポート表面を有する導電性本体と、
前記本体上に配置された絶縁性コーティングと、
前記基板サポート表面の中央に配置された前記コーティングの少なくとも一部であって、平均表面粗さ(Ra)が330〜2000マイクロインチの表面仕上げを有する前記コーティングの前記少なくとも一部と、
を備える、基板サポート。
A substrate support adapted to support a large area substrate,
A conductive body having a substrate support surface;
An insulating coating disposed on the body;
At least a portion of the coating disposed in the middle of the substrate support surface, the average surface roughness (Ra) having a surface finish of 330-2000 microinches;
With a substrate support.
前記導電性本体が、少なくともアルミニウム本体から部分的に製造されており、前記コーティングは陽極化層である、請求項1に記載の基板サポート。   The substrate support of claim 1, wherein the conductive body is at least partially fabricated from an aluminum body and the coating is an anodized layer. 前記陽極化コーティングは、0.3〜2.16ミルの厚さを有する、請求項2に記載の基板サポート。   The substrate support according to claim 2, wherein the anodized coating has a thickness of 0.3-2.16 mils. 前記基板サポート表面は、平均表面粗さが330〜500マイクロインチの表面仕上げを有する、請求項1に記載の基板サポート。   The substrate support of claim 1, wherein the substrate support surface has a surface finish with an average surface roughness of 330 to 500 microinches. 前記基板サポート表面は、ビードブラスト処理されている、請求項4に記載の基板サポート。   The substrate support of claim 4, wherein the substrate support surface is bead blasted. 前記基板サポート表面上に配置された前記コーティングは更に、
前記基板サポート表面の中央の前記コーティングの前記一部に外接し、かつ平均表面粗さが200マイクロインチ未満の表面仕上げを有するストリップを備える、請求項1に記載の基板サポート。
The coating disposed on the substrate support surface further comprises:
The substrate support of claim 1, comprising a strip circumscribing the portion of the coating in the center of the substrate support surface and having a surface finish having an average surface roughness of less than 200 microinches.
前記基板サポート表面は更に、
平均表面粗さが330〜500マイクロインチの表面仕上げを有する中央領域と、
前記中央領域に外接し、かつ平均表面粗さが300マイクロインチ未満の表面仕上げを有する周縁領域と、
を備える、請求項1に記載の基板サポート。
The substrate support surface further includes
A central region having a surface finish with an average surface roughness of 330 to 500 microinches;
A peripheral region circumscribing the central region and having a surface finish with an average surface roughness of less than 300 microinches;
The substrate support according to claim 1, comprising:
前記基板サポート表面の表面積は、少なくとも2,000cmである、請求項1に記載の基板サポート。 The substrate support of claim 1, wherein a surface area of the substrate support surface is at least 2,000 cm 2 . 大面積基板をサポートするように適合された基板サポートであって、
基板サポート表面を有する本体と、
処理中に前記本体を構造的にサポートするように適合された1つ以上のサポートを有する基板サポート構造体と、
前記基板サポート表面上に配置された絶縁性コーティングであって、堆積後に、平均表面粗さ(Ra)が330〜2000マイクロインチの表面仕上げに処置された絶縁性コーティングと、
を備える、基板サポート。
A substrate support adapted to support a large area substrate,
A body having a substrate support surface;
A substrate support structure having one or more supports adapted to structurally support the body during processing;
An insulating coating disposed on the substrate support surface, wherein after the deposition, the insulating coating is treated with a surface finish having an average surface roughness (Ra) of 330-2000 microinches;
With a substrate support.
前記本体はアルミニウムであり、前記コーティングは陽極化層である、請求項9に記載の基板サポート。   The substrate support of claim 9, wherein the body is aluminum and the coating is an anodized layer. 前記基板サポート表面は、ビードブラスト、研削ブラスト、グラインド、エンボス加工、サンディング、テクスチャリング、エッチング、フライス加工、旋盤カッティング、ローレット切りまたはフレームカッティングのうちの少なくとも1つによって処置される、請求項9に記載の基板サポート。   The substrate support surface is treated by at least one of bead blasting, grinding blasting, grinding, embossing, sanding, texturing, etching, milling, lathe cutting, knurling or frame cutting. Board support as described. 前記基板サポート表面は、125〜375ミクロンの平均直径を有する酸化アルミニウム媒体によってブラスト処理される、請求項9に記載の基板サポート。   The substrate support of claim 9, wherein the substrate support surface is blasted with an aluminum oxide medium having an average diameter of 125 to 375 microns. 大面積基板をサポートするように適合された基板サポート表面を有する導電性本体を提供するステップと、
前記基板サポート表面をコーティングするステップであって、前記コーティングはアルミニウムを含有する前記導電性本体上で実行された陽極化コーティングであり、前記コーティングは330〜1000マイクロインチの平均表面粗さ(Ra)を有するステップと、を備えるプロセスによって製造された基板サポート。
Providing a conductive body having a substrate support surface adapted to support a large area substrate;
Coating the substrate support surface, wherein the coating is an anodized coating performed on the conductive body containing aluminum, the coating having an average surface roughness (Ra) of 330 to 1000 microinches; A substrate support manufactured by a process comprising:
導電性本体アセンブリを提供する前記ステップは更に、
1つ以上のサポートを有する基板サポート構造体を提供する工程と、
前記1つ以上のサポート上に前記導電性本体を位置決めする工程と、
を備える、請求項13に記載の基板サポート。
The step of providing a conductive body assembly further comprises:
Providing a substrate support structure having one or more supports;
Positioning the conductive body on the one or more supports;
The substrate support of claim 13, comprising:
平均表面粗さが300〜2000マイクロインチの表面仕上げを前記本体上にもたらすために、コーティング前に前記基板サポート表面を処置するステップを更に備える、請求項13に記載の基板サポート。   The substrate support of claim 13, further comprising treating the substrate support surface prior to coating to provide a surface finish on the body with an average surface roughness of 300 to 2000 microinches. 前記基板サポート表面を処置する前記ステップは更に、ビードブラスト、研削ブラスト、グラインド、エンボス加工、サンディング、テクスチャリング、エッチング、フライス加工、旋盤カッティング、ローレット切りおよびフレームカッティングから成る群から選択された少なくとも1つの処置を実行するステップを備える、請求項15に記載の基板サポート。 The step of treating the substrate support surface further comprises at least one selected from the group consisting of bead blasting, grinding blasting, grinding, embossing, sanding, texturing, etching, milling, lathe cutting, knurling and frame cutting. The substrate support of claim 15 , comprising performing one procedure. 前記基板サポート表面を処置する前記ステップは更に、
前記基板サポート表面をビードブラスト処理するステップであって、ビードブラスト処理が、125〜375ミクロンの平均直径を有するビーズを前記基板サポート表面に衝突させることを含んでいるステップを備える、請求項15に記載の基板サポート。
The step of treating the substrate support surface further comprises:
Comprising the steps of bead blasting the substrate support surface, comprising the step of bead blasting includes the colliding beads having an average diameter of 125 to 375 microns on the substrate support surface to claim 15 Board support as described.
加熱素子を前記導電性本体にカプセル化するステップであって、前記導電性本体はアルミニウムを含有するステップを更に備える、請求項13に記載の基板サポート。   The substrate support of claim 13, further comprising encapsulating a heating element in the conductive body, wherein the conductive body contains aluminum. 前記基板サポート表面に対向する前記導電性本体に加熱素子を結合させるステップを更に備える、請求項13に記載の基板サポート。   The substrate support of claim 13, further comprising coupling a heating element to the conductive body opposite the substrate support surface. 大面積基板をサポートするように適合されたアルミニウム基板サポート表面を処置して、平均表面粗さ(Ra)が300〜2000マイクロインチの表面仕上げ部を得るステップと、
0.3〜2.16ミルの厚さに前記基板サポート表面を陽極化するステップであって、前記基板サポート表面の少なくとも前記中央部分に配置された前記陽極化コーティングの前記表面仕上げ部は、平均表面粗さが330〜2000マイクロインチの表面仕上げを有するステップと、
を備えるプロセスによって製造された基板サポート。
Treating an aluminum substrate support surface adapted to support a large area substrate to obtain a surface finish having an average surface roughness (Ra) of 300-2000 microinches;
Anodizing the substrate support surface to a thickness of 0.3-2.16 mils, wherein the surface finish of the anodized coating disposed on at least the central portion of the substrate support surface is an average Having a surface finish with a surface roughness of 330-2000 microinches;
Substrate support manufactured by a process comprising:
大面積基板をサポートするように適合された基板サポートであって、
基板サポート表面を有する導電性本体であって、前記基板サポート表面は、はだかアルミニウムであり、かつフライス加工、旋盤カッティング、ローレット切り、フレームカッティング、または他の類似の金属除去技術を使用して達成された、平均表面粗さ(Ra)が500〜2000マイクロインチの表面仕上げ部を有する導電性本体を備える、基板サポート。
A substrate support adapted to support a large area substrate,
A conductive body having a substrate support surface, wherein the substrate support surface is bare aluminum and is achieved using milling, lathe cutting, knurling, frame cutting, or other similar metal removal techniques. A substrate support comprising a conductive body having a surface finish with an average surface roughness (Ra) of 500 to 2000 microinches.
大面積基板をサポートするように適合された基板サポートであって、
平均表面粗さ(Ra)が330〜500マイクロインチの表面仕上げに処置された基板サポート表面を有するはだかアルミニウム本体と、
処理中に前記アルミニウム本体を構造的にサポートするように適合された1つ以上のサポートを有する基板サポート構造体と、
を備える、基板サポート。
A substrate support adapted to support a large area substrate,
A bare aluminum body having a substrate support surface treated with a surface finish having an average surface roughness (Ra) of 330-500 microinches;
A substrate support structure having one or more supports adapted to structurally support the aluminum body during processing;
With a substrate support.
前記基板サポート表面は、ビードブラスト、研削ブラスト、グラインド、エンボス加工、サンディング、テクスチャリング、エッチング、フライス加工、旋盤カッティング、ローレット切りおよびフレームカッティングから選択されたプロセスによって処置される、請求項22に記載の基板サポート。 The substrate support surface is bead blasted, grinding blasting, grinding, embossing, sanding, texturing, etching, milling, lathe cutting, is treated by a process selected from the knurled and frame cutting, according to claim 22 Board support. 前記基板サポート表面は、125〜375ミクロンの平均直径を有する酸化アルミニウム媒体によってブラスト処理される、請求項22に記載の基板サポート。 23. The substrate support of claim 22 , wherein the substrate support surface is blasted with an aluminum oxide medium having an average diameter of 125 to 375 microns.
JP2006194506A 2005-07-15 2006-07-14 Reduction of electrostatic charge by roughening the susceptor Active JP5361119B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/182,168 US8372205B2 (en) 2003-05-09 2005-07-15 Reducing electrostatic charge by roughening the susceptor
US11/182168 2005-07-15

Publications (2)

Publication Number Publication Date
JP2007051367A JP2007051367A (en) 2007-03-01
JP5361119B2 true JP5361119B2 (en) 2013-12-04

Family

ID=37610096

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006194506A Active JP5361119B2 (en) 2005-07-15 2006-07-14 Reduction of electrostatic charge by roughening the susceptor

Country Status (4)

Country Link
JP (1) JP5361119B2 (en)
KR (1) KR101441858B1 (en)
CN (1) CN1897784B (en)
TW (1) TWI375295B (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100927509B1 (en) * 2007-05-23 2009-11-17 어플라이드 머티어리얼스, 인코포레이티드 A method of depositing a silicon layer over a laser scribed transmissive conductive oxide layer suitable for use in the solar cell field
KR100938874B1 (en) * 2007-07-24 2010-01-27 주식회사 에스에프에이 Susceptor for Supporting Flat Display and Method for Manufacturing Thereof, and Chemical Vapor Deposition Apparatus Having the Same
CN102233580A (en) * 2010-05-06 2011-11-09 日月光半导体制造股份有限公司 Suction nozzle, and automatic carrying device
CN102842636B (en) * 2011-06-20 2015-09-30 理想能源设备(上海)有限公司 For the base plate heating pedestal of chemical gas-phase deposition system
TWI477630B (en) * 2011-10-18 2015-03-21 Au Optronics Corp Thin film deposition apparatus and bearing element thereof
CN111485226A (en) 2012-07-27 2020-08-04 应用材料公司 Roughened substrate support
CN103151235B (en) * 2013-02-20 2016-01-27 上海华力微电子有限公司 A kind of device improving etching homogeneity
CN105407620A (en) * 2015-12-14 2016-03-16 重庆瑞阳科技股份有限公司 Static conductive apparatus
CN107393803A (en) * 2017-07-28 2017-11-24 武汉华星光电技术有限公司 Lower electrode arrangement and dry ecthing board for dry ecthing board
KR102080231B1 (en) 2018-03-30 2020-02-21 (주)티티에스 Susceptor
KR20210128064A (en) * 2020-04-16 2021-10-26 주식회사 제우스 Energizing device for substrate processing

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5200157A (en) * 1986-02-17 1993-04-06 Toshiba Ceramics Co., Ltd. Susceptor for vapor-growth deposition
US5384682A (en) * 1993-03-22 1995-01-24 Toto Ltd. Electrostatic chuck
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
JP3788836B2 (en) * 1996-12-24 2006-06-21 東芝セラミックス株式会社 Vapor growth susceptor and manufacturing method thereof
JP3160229B2 (en) * 1997-06-06 2001-04-25 日本エー・エス・エム株式会社 Susceptor for plasma CVD apparatus and method for manufacturing the same
TWI272689B (en) * 2001-02-16 2007-02-01 Tokyo Electron Ltd Method and apparatus for transferring heat from a substrate to a chuck
JP3758979B2 (en) 2001-02-27 2006-03-22 京セラ株式会社 Electrostatic chuck and processing apparatus
US20040221959A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Anodized substrate support

Also Published As

Publication number Publication date
KR101441858B1 (en) 2014-09-19
TWI375295B (en) 2012-10-21
CN1897784A (en) 2007-01-17
CN1897784B (en) 2011-04-13
KR20070009450A (en) 2007-01-18
TW200707627A (en) 2007-02-16
JP2007051367A (en) 2007-03-01

Similar Documents

Publication Publication Date Title
JP5361119B2 (en) Reduction of electrostatic charge by roughening the susceptor
US8372205B2 (en) Reducing electrostatic charge by roughening the susceptor
US7732010B2 (en) Method for supporting a glass substrate to improve uniform deposition thickness
JP2005051200A5 (en)
US7619870B2 (en) Electrostatic chuck
JP5578762B2 (en) Plasma reactor substrate incorporating surface texturing
US20020134511A1 (en) Substrate supporting table,method for producing same, and processing system
US20080194169A1 (en) Susceptor with insulative inserts
JP4082924B2 (en) Electrostatic chuck holder and substrate processing apparatus
JP4777790B2 (en) Structure for plasma processing chamber, plasma processing chamber, and plasma processing apparatus
TWI492330B (en) Electrostatic chuck having spacer
TW201920715A (en) Thermal spraying method of component for plasma processing apparatus and component for plasma processing apparatus
KR20190005798A (en) Method of manufacturing electrostatic chuck and electrostsatic chuck
JP2005223185A (en) Electrostatic chuck and its manufacturing method
TWI717631B (en) Plasma processing device
US20080062610A1 (en) Electrostatic chuck device
CN104241183A (en) Manufacturing method of electrostatic suction cup, electrostatic suction cup and plasma processing device
JP5745394B2 (en) Substrate support, plasma reactor, and method of forming a susceptor
KR20100090559A (en) Electrostatic chuck having aerosol coating layer and fabrication method thereof
CN104241181A (en) Method for manufacturing electrostatic chuck, electrostatic chuck and plasma processing device thereof
JP4355159B2 (en) Electrostatic chuck holder and substrate processing apparatus
CN108538744A (en) Chuck assembly and semiconductor processing equipment
KR100677169B1 (en) The Electrostatic Churk for Flat Panel Display
CN115213808A (en) Polishing method for substrate mounting table and substrate processing apparatus
KR20080081689A (en) Electrostatic chuck and apparatus for plasma processing on a substrate using the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090713

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120605

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130319

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130618

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130621

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130717

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130806

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130903

R150 Certificate of patent or registration of utility model

Ref document number: 5361119

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250